JP2016510507A - 低収縮性誘電膜 - Google Patents

低収縮性誘電膜 Download PDF

Info

Publication number
JP2016510507A
JP2016510507A JP2015555161A JP2015555161A JP2016510507A JP 2016510507 A JP2016510507 A JP 2016510507A JP 2015555161 A JP2015555161 A JP 2015555161A JP 2015555161 A JP2015555161 A JP 2015555161A JP 2016510507 A JP2016510507 A JP 2016510507A
Authority
JP
Japan
Prior art keywords
silicon
plasma
processing region
substrate processing
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015555161A
Other languages
English (en)
Inventor
ソグォン ホン,
ソグォン ホン,
トゥアン トラン,
トゥアン トラン,
アビジート マリック,
アビジート マリック,
チンメイ リャン,
チンメイ リャン,
ニティン ケー. イングル,
ニティン ケー. イングル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016510507A publication Critical patent/JP2016510507A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

誘電体層を基板上に形成する方法が開示され、この方法は、基板処理チャンバの基板処理領域に流体連結された遠隔プラズマ領域に、第1の前駆体を導入することを含み得る。プラズマが遠隔プラズマ領域内で形成され、プラズマ放出物が生成され得る。プラズマ放出物は基板処理領域に案内され得る。少なくとも1つのSi−Si結合を含み得るシリコン含有前駆体が、基板処理領域に導入され得る。プラズマ放出物及びシリコン含有前駆体は処理領域内で反応し、基板上に形成されるとはじめは流動的であるシリコンベースの誘電体層を形成し得る。【選択図】図1

Description

関連出願の相互参照
[0001]本願は、2013年3月15日に出願された米国特許非仮出願第
13/834,333号の優先権を主張し、2013年1月25日に出願された「Low Shrinkage Dielectric Films」と題する米国特許仮出願第61/756,762号の効果を請求する。これら各出願の開示内容のすべては、参照によりあらゆる目的で本願に組み込まれる。
[0002]本願の技術は半導体処理及び装置に関する。より詳細には、本願の技術は誘電材料の形成に関する。
[0003]集積回路チップの製造者が各チップの回路素子の密度を増大させるにつれ、これら素子を隔てる間隙を充填する困難さが増している。回路素子の密度が増大すると、隣接する素子間の幅を狭められる必要が生じる。これら間隙の幅が高さよりも速く縮小すると、幅に対する高さの比(アスペクト比として知られる)は比例的に増大する。高く狭い間隙(即ち、高アスペクト比の間隙)は、浅く広い間隙(即ち、低アスペクト比の間隙)よりも、誘電材料の均一な膜での充填がより困難である。
[0004]高アスペクト比の間隙充填においてよく起こる困難さは、ボイド形成である。高アスペクト比間隙において、間隙を充填する誘電材料が間隙の上端周囲でより高速で堆積する傾向がある。間隙が完全に充填される前に、誘電材料はしばしば上部を閉塞し、その結果ボイドが発生する。間隙の上部が時期尚早に閉塞しない場合でも、間隙の側壁に沿った誘電膜の不均一な成長レートにより、間隙充填の中途で微弱なシーム(weak seam)が発生することがある。これらのシームは後に、デバイスの物理的一体性や誘電特性に悪影響を与えるクラックという結果をもたらすこととなる。
[0005]誘電性間隙充填におけるボイドや微弱なシームの形成を回避する1つの技術は、間隙をより低い堆積レートで充填することである。より低い堆積レートにより、誘電材料が間隙の内面に再分布する時間を与えることができ、上部側で余分な成膜が起こる機会が低減される。より低い堆積レートはまた、誘電膜堆積と同時に起こるエッチングやスパッタリングが増加した結果でもあり得る。例えば、間隙上部のコーナー部分におけるHDPCVD誘電材料は、間隙の側壁や底部の材料よりも速くエッチングする。このことにより間隙の上部側が開いたままとなり、従って側壁及び底部が完全に誘電材料で充填される機会が増大する。しかしながら、誘電膜堆積レートを低下させることにより、堆積の完了により時間がかかることになる。
堆積時間が長ければ長いほど、基板ウエハが堆積チャンバを通じて処理される速度は低下し、チャンバ効率の低下につながる。
[0006]従って、狭小且つ高アスペクト比の間隙をボイドフリー誘電膜で充填するための、改善されたシステム及び方法に対する需要がある。これらの課題やその他の課題が、本発明のシステム及び方法により対処される。
[0007]誘電体層を基板上に形成する方法が開示され、この方法は、基板処理チャンバの基板処理領域に流体連結された遠隔プラズマ領域に、第1の前駆体を導入することを含み得る。プラズマが遠隔プラズマ領域内で形成され、プラズマ放出物(effluents)が生成され得る。プラズマ放出物は基板処理領域に案内され得る。少なくとも1つのSi−Si結合(silicon−silicon bond)を含み得るシリコン含有前駆体が、基板処理領域に導入され得る。プラズマ放出物及びシリコン含有前駆体は処理領域内で反応し、基板上に形成されるとはじめは流動的であるシリコンベースの誘電体層を形成し得る。
[0008]シリコン含有前駆体はSi−Si結合を少なくとも2つ含むか、3つ又はそれ以上含んでもよい。第1の前駆体は、アンモニア、窒素、H、Ar、及びHeを含むグループから選択された、一又は複数の前駆体を含み、追加のキャリアガスや前駆体の組み合わせも含み得る。プラズマ放出物及びシリコン含有前駆体は、前駆体同士が基板処理領域に入るまで互いにコンタクトしないよう前駆体の分離を維持するように構成されたシャワーヘッドを通じて、処理領域に導入され得る。基板はシャワーヘッドから約3インチ又はそれ未満の距離で維持され、本開示の実施形態ではより近く又は遠くに維持されてもよい。基板処理中、基板処理領域はプラズマフリーであり、代替的な構成においては、直流プラズマ(direct plasma)が処理領域内に供給されてもよい。
[0009]シリコン含有前駆体は化学式Siを有し、ここでxは2に等しいかこれよりも大きく、yは2x+nであるかこれよりも大きい(nは2に等しいかこれよりも小さい任意の数である)。シリコン含有前駆体はまた、実質的に蒸気相で処理領域に導入されてもよい。この堆積方法は、約30°C未満か約30°Cに等しい温度で実施され、処理中の圧力は約5Torr未満か約5Torrに等しい。上記のプロセスで作成された、形成されたままの(as−formed)膜は、約1.4g/ccよりも大きいか約1.4g/ccに等しい密度を有し得る。
[0010]本方法は、シリコンベースの誘電体層が形成された後、シリコン含有前駆体の導入を停止することも含み得る。しかしながら、形成された誘電体層を高密度化するために、プラズマ放出物が基板処理領域に引き続き導入されてもよい。本方法は更に、形成されたシリコンベースの誘電体層をアニールすることを含み、アニール中、形成されたシリコンベースの誘電体層は約20%未満収縮することがある。
[0011]処理チャンバの基板処理領域内の基板上に誘電体層を形成する方法も記載する。この方法は、プラズマ放出物を生成するために、基板処理領域に流体連結された遠隔プラズマ領域でプラズマを形成する間、この遠隔プラズマ領域に第1の前駆体を導入することを含み得る。方法は、プラズマ放出物を基板処理領域に案内すること、及び、シリコン含有前駆体を基板処理領域に案内することを含み得る。本開示の実施形態で、シリコン含有前駆体は少なくとも1つのSi−Si結合を含み得る。方法はまた、基板上に形成されるとはじめは流動的であるシリコンベースの誘電体層を形成するために、プラズマ放出物とシリコン含有前駆体とを処理領域内で反応させることを含み得る。方法は更に、形成されたシリコンベースの誘電体層を少なくとも1つの追加の前駆体を用いて硬化することを含み得る。
[0012]用いられる少なくとも1つの追加の前駆体は、酸素含有前駆体、窒素含有前駆体、又は炭素含有前駆体であってよい。方法は、硬化された誘電体層を高密度化するために、プラズマ放出物を基板処理領域に案内することも含み得る。用いられるシリコン含有前駆体は化学式Siを有し、ここでxは2に等しいか2よりも大きく、yは2x+nであるか2x+nよりも大きく、nは2に等しいか2よりも小さい任意の数であり、シリコン含有前駆体は実質的に蒸気相で処理領域に導入される。シリコンベースの誘電体層を形成するために処理チャンバに導入される前駆体は、一又は複数の不活性前駆体、並びに、Si−Si含有結合とSi−H(silicon−hydrogen)含有結合とを有するシリコン含有前駆体を含み得る。方法は更に、形成されたシリコンベースの誘電体層をアニールするステップを含み得る。アニールの実施時、形成された誘電体層がアニールによって約20%未満収縮することがある。
[0013]このような技術は、従来技術と比較して多くの利点をもたらし得る。例えば、開示される堆積処理は、より高密度且つより高品質の膜を生産し得る。従って、アニールや生成後の工程における膜の収縮が低減されるか防止され得る。これら実施形態及びその他の実施形態、またその利点や特徴は、後述の記載及び下記の図面により詳細に述べられている。
[0014]本明細書の後述及び下記の図面を参照することにより、本開示の技術の性質や利点は更に理解され得る。
本開示の実施形態による、誘電膜を形成するプロセスのフロー図を示す。 本開示の実施形態による、誘電膜を形成するプロセスの別のフロー図を示す。 本開示の技術による基板処理チャンバの一部の概略断面図を示す。 本開示の技術によるシャワーヘッドの底面図を示す。 本開示の技術による例示的な基板処理システムの上面図を示す。
[0020]添付図面において、類似の要素及び/又は特徴は同じ数字の参照名を有し得る。更に、同じ種類の様々な構成要素は、類似の構成要素及び/又は特徴を区別する文字により、参照名に従って区別することができる。第1の数字の参照名のみが本明細書において使用されている場合、この説明は、文字の接尾語に関わらず、同じ第1の数字の参照名を有する類似の構成要素及び/又は特徴のすべてに適用可能である。
[0021]本技術は、シリコンベース材料を基板上に堆積するための改善されたプロセス及び化学構造プロファイルを含む。従来型のプロセスも同様の材料の膜を堆積し得るが、それらの膜は品質や密度の低下に悩まされることがある。従って、より低品質の膜を高密度化してより高品質の膜を作成する場合、その下にあるデバイスに悪影響を及ぼす膜収縮が発生することがある。例えば、デバイスの形状寸法が小さくなるほど、シリコンベース又はデバイスベースの壁の形状がより薄くなる。膜が構造内で堆積されるとき、収縮する膜は、パターンを変形させ得る応力を下部構造に対して発揮することがある。しかしながら、本技術は、従来の膜よりも本質的に収縮の少ない流動性の膜を形成し得る。これにより、より複雑なパターンが覆われ得る。例えば、デバイス内のトレンチが、高さと幅の比が約5:1、8:1、10:1など又はそれ以上の非常に高いアスペクト比を有することがあるので、下から上の(bottom−up)充填プロファイルを提供するためには、流動性の誘電膜が要求されることがある。これらの膜が高密度化されても収縮がより少ない場合、下部構造に加わる応力が低減され、全体としてプロセスの質が改善され得る。
[0022]誘電体層を基板上に形成する方法が開示され、この方法は、基板処理チャンバの基板処理領域に流体連結された遠隔プラズマ領域に、第1の前駆体を導入することを含み得る。プラズマが遠隔プラズマ領域内で形成され、プラズマ放出物が生成され得る。プラズマ放出物は基板処理領域に案内され得る。少なくとも1つのSi−Si結合を含み得るシリコン含有前駆体が、基板処理領域に導入され得る。プラズマ放出物及びシリコン含有前駆体は処理領域内で反応し、基板上に形成されるとはじめは流動的であるシリコンベースの誘電体層を形成し得る。
[0023]シリコンベース膜の堆積に用いられる前駆体は、堆積した膜の品質に影響する種々の追加の分子を含み得る。例えば水酸基の存在が、堆積した膜の流動性を増大させる。しかしながら、より高品質の膜を生産するには、最終的には膜からこれらの基を除去することが望ましい。これらの基を除去すること、或いは、例えば酸素、窒素、及び炭素を含む他の材料と置換することにより、堆積した膜又は形成された膜の密度が低減され得る。従って、膜を高密度化するために用いられる処理ステップが後で実施されるとき、膜は収縮し得、これにより下部構造に対する望ましくない応力が生み出されることがある。本発明者は、用いられる前駆体において、シリコン以外の材料の含有を減らすことにより、より多種の前駆体基をベースとした従来の膜と比較して、得られる膜の品質が改善され、且つ、収縮が低減され得るという利点があると結論づけた。
[0024]本発明をより深く理解しこれによる利益を享受するためにここで図1を参照すると、本開示の実施形態による誘電膜形成プロセスのフロー図が示されている。第1の工程の前に、基板は後述のような処理チャンバ内に送達され得る。基板は事前にパターニング済みであってもよく、比較的清浄であってもよい。ゲート、ビア、トレンチ、及びその他の構造の形成を含む様々な前処理(front end processing)が実施済みであってもよい。これらの構造はナノメートルスケールであり、例えば、充填を要するトレンチ又は間隙は約100nm未満の幅を有し、代替的には約75nm、約50nm、約40nm、約30nm、約25nm、約20nm、約10nmなどかこれらを下回り得る。次いで、パターニング済みの基板が、誘電材料を堆積するために基板処理領域に送達され得る。本開示の実施形態で、堆積処理が起こるチャンバと同じチャンバ内で事前工程が実施された場合には、基板は既に処理領域内に位置決めされていてもよい。工程110で、基板が存在しているチャンバの処理領域から分離された或いは移転(remove)された、処理チャンバのプラズマ領域に、第1の前駆体が導入され得る。第1の前駆体は、幾つかの前駆体材料のうちの1つを含み得る。例えば、第1の前駆体は、アルゴン、ヘリウム、窒素などを含む一又は複数の不活性ガスであり得る。代替的に又は付加的に、追加のガスが用いられてもよく、これらはアンモニア(NH)、水素、もしくは窒素及び/又は水素含有ガスであり得る。本開示の実施形態で、第1の前駆体は不活性ガスのみを含んでよく、例示的プロセスで、アルゴン又はヘリウムがプラズマ領域に送達される。
[0025]本明細書において、この分離されたプラズマ領域は、処理チャンバから分離した別個のモジュール内の遠隔プラズマ領域と称されるか、処理チャンバ内の一区画と称され得る。遠隔プラズマ領域内でプラズマが形成され、これにより第1の前駆体からプラズマ放出物が発生し得る。工程120で、発生したプラズマ放出物は基板処理領域へと案内される。これは幾つかの方式で実施され、圧力差、電場発生、又は、イオン及び/又は電子の処理領域への流入を案内するための何らかの他の既知のメカニズムを用いた方式を含み得る。工程120の前、後、又は同時に、シリコン含有前駆体が基板処理領域に導入され得る。本開示の実施形態で、シリコン含有前駆体は少なくとも1つのSi−Si結合を含む。
[0026]プラズマ放出物及びシリコン含有前駆体は、シリコンベースの誘電体層を基板上に形成するために、処理領域内で反応させられ得る。形成された或いは堆積した当初、形成された材料ははじめは流動的であり、これにより、材料は、基板上に画定されたパターンを充填するためにトレンチ内に流れ込むことが可能となる。誘電材料は、プラズマ種とシリコン含有前駆体との間の反応に基づくものであり得る。前駆体は互いにコンタクトするとすぐに反応を開始するので、前駆体は基板処理領域内に入るまで分離され得る。このような分離は、後述するデュアルチャネルシャワーヘッドなどの構成要素によって実施され得る。シャワーヘッドは、前駆体が基板処理領域内に入る又は送達されるまで、前駆体が互いにコンタクトすることを防止するように、前駆体の分離を維持するように構成され得る。従来技術は、膜に潜在的に流動性を付与するため、堆積したままの(as deposited)膜に水や水酸基を含有させることに依存してきた。しかしながら、先述のように、これらの基は高密度化すると収縮し得る弱い膜を形成することがある。しかしながら本技術は、流動性の発生を相乗的に可能にする種々のパラメータを用いる。
[0027]特定の理論に束縛されるものではないが、堆積した膜内のSi−Si結合の数は、生成された膜の収縮の量に直接的に対応し得る。例えば、膜に存在するSi−Si結合が多いほど高密度化の結果として発生する収縮は少なく、これは、付加的な重合の付与がより少なく、且つ望ましくない種の除去がより少ないためである。酸化ケイ素膜が形成される場合などは、はじめの堆積後に、形成された膜が追加の材料により酸化されて或いは追加の材料と反応させられて、これにより膜のポリマーマトリクスに酸素を組み込み且つ水素、窒素、炭素などの残留材料を除去することがある。膜から除去される材料が多いほど、アニールなどの高密度化の間に膜内にポアが残されることがある。このようなポアの除去は、膜がコンタクトしている表面に沿って応力を印加し、膜を圧縮することがある。これらの応力は、構造物を変形させる閾値を超えることがあり、これにより下部構造が破壊されることがある。従って、本技術は、Si−Si結合の数を最大化し追加の分子結合を最小限とするシリコン含有前駆体を用い得る。この方式で、硬化又はその他の工程において除去を必要とする材料が低減され、これにより、最終的な膜の収縮量が低減され得る。
[0028]本開示の実施形態で、本技術は、シラン及びポリシランをシリコン含有前駆体として用い得る。これらの材料は、Si−Si結合とSi−H結合のみからなっていてよい。例示的な前駆体は、少なくとも1つのSi−Si結合、少なくとも2つのSi−Si結合、少なくとも3つのSi−Si結合などを含み得る。例えば、前駆体は、ジシランをはじめとする任意のポリシラン同族体から選択され得る。シリコン含有前駆体はまた、ポリシランの種々の異性体から選択され得る。例えば、シリコン含有前駆体が5つのシリコン原子を有する場合、この組成物は、n−ペンタシラン、イソペンタシラン、ネオペンタシラン、2−シリルテトラシラン、2,2−ジシリルトリシランなどのうち任意のものを含み得る。シリコン含有前駆体は、例えばシクロヘキサシランなどの循環形式(cyclic form)又はシクロシランを含んでもよい。ポリシランはまた、シレン及びシリンなどの飽和又は不飽和化合物を含んでもよい。シリコン含有前駆体は、Siなどの一般的化学式であり得る。Xは2から無限大の任意の数であってよく、Yは2から無限大の任意の数であってよい。例えば、そのような最小の化学式はジシリン(S1)を表す。Yはまた、Xに基づく任意の因数であり得る。例えば、Yは、2X或いは2X+Nであり得、ここで、n=2、0、−2、−4、−6などであるか、Nは2に等しいか2よりも小さい任意の数であり得る。本技術で用いられる例示的なシランは、ジシラン、テトラシラン、シクロヘキサシランなどを含み得る。
[0029]記載されたこれらの材料の多くの蒸気圧は、多くの動作条件下で実質的に液相であり得るような材料である。本開示の技術において、シリコン含有前駆体が液相で用いられるが、実施形態で、シリコン含有前駆体は、蒸気又は実質的に蒸気の形態で処理チャンバに導入され得る。しかしながら、この場合、材料が蒸気の形態で導入されることを保証するために、追加の構成要素を用いる必要があり得る。シリコン含有前駆体を蒸気相で送達するために、多くの様々な機構が用いられ得る。例えば、加熱されたアンプルを備えた又は備えない蒸気引き(vapor draw)、バブラー、又は直接的な液体注入やその他の種々の技術が用いられ得る。しかしながら、用いられる前駆体に応じて更に問題が発生することがある。例えば、高次シランが液相で注入ノズルに送達される場合、材料を蒸気として基板処理領域に送達するために、注入ノズルは加熱され得る。しかしながら、高次シランの気化温度と高次シランの重合温度とは比較的近く、この技法ではノズルが閉塞され得る。更に、バブラーは蒸気の送達において不活性ガスを用いることがあるが、追加の不活性ガスも導入する。このとき、適切な膜形成レートをもたらす、実際のシリコン含有前駆体の適切な流れが送達されることを保証するための、更なる機構が必要となり得る。高次シリコン含有前駆体又はシランは、より多くのSi−Si結合を有し、これは膜収縮の低減につながるが、付加的に非常に低い蒸気圧を有することがあり、このことにより、蒸気相のシリコン含有前駆体を基板処理領域へ供給するための追加の構成要素や機構が必要となり得る。
[0030]ここでもいかなる特定の理論に限定されるものではなく、本開示の技術による誘電材料の流動性は、シリコン含有前駆体に対する処理温度や圧力、用いられるプラズマ出力、及び、シャワーヘッドもしくは前駆体送達機構と膜が形成される基板との間の距離を含む、処理パラメータの組み合わせに基づく。処理中、基板処理領域は、堆積中は比較的、実質的、又は完全にプラズマフリーであり得る。第1の前駆体のプラズマ放出物が基板処理領域に送達され得るが、放出物の生成に用いられるプラズマは、基板処理領域の外側で収容されていてもよい。最大量の反応が、シャワーヘッドの直下或いは前駆体がはじめに相互作用する箇所で発生し得る。プラズマ放出物は、再結合するための最小限の時間を有していたので、より多くの反応が前駆体間で発生し得る。これらの気相反応は、用いられる材料によって更に影響を受け得る。Si−Si結合は、Si−H結合、Si−O結合、及びその他のシリコンベースの結合よりも弱い結合であり得る。従って、本技術のシリコン含有前駆体において、これらのより多くの反応が発生する場合或いはより高いプラズマ出力が用いられる場合、より多くの数の結合が破壊され得る。起こり得る結果として、この領域に形成された誘電材料は、基板上に堆積すると低下した流動性を有するか流動性をまったく有さないことがある。基板からシャワーヘッドまでの距離が増加すると、反応の量がわずかに又は大幅に低下することがある。例えば、潜在的に、2つのうちの1つのSi−Si結合又は3つのうちの2つのSi−Si結合が破壊され、従って、この重合はより長いシリコン基の鎖を含み得、これにより、堆積する材料に流動性が少なくとも部分的に付与され得る。
[0031]従って、基板は、シャワーヘッド又は前駆体間の相互作用が始まる領域から、約3インチかそれ未満のある距離で維持され得る。本開示の実施形態で、基板は、シャワーヘッドから少なくとも0.1インチで維持され得る。基板はまた、約2インチ、約1インチ、約0.9、約0.8、約0.7、約0.6、約0.5、約0.4、約0.3、約0.2、約0.1インチ又はこれら未満の距離で維持されるか、約3インチ〜約0.01インチ、約2〜約0.1インチ、約1〜約0.2インチ、約0.8〜約0.2インチなどの間の距離で維持され得る。この距離は、処理パラメータや使用する一又は複数のシリコン含有前駆体との組み合わせで決定されてよい。
[0032]堆積処理中、基板は約400°C又はこれ未満で維持されるか、約300°C、約200°C、約100°C、約80°C、約75°C、約50°C、約25°C、約10°C、約0°C、約−10°C、約−20°C、約−30°C又はこれら未満で維持されるか、約30°C〜約−30°Cの間などの間で維持され得る。処理中、処理チャンバは約100Torr又はこれ未満で維持され得、約50Torr、約25Torr、約15Torr、約5Torr、約1Torr、約0.1Torr又はこれら未満で維持されるか、或いは約0.1mTorr〜約10Torrの間で維持され得る。温度及び圧力も、用いられる一又は複数のシリコン含有前駆体の蒸気圧に少なくとも部分的に基づいて設定され得る。非限定的な例として、シリコン含有前駆体としてテトラシランが用いられ、チャンバ温度が約−10°Cである場合、テトラシランの蒸気圧は約3Torr未満であり得る。従って、チャンバ圧力が約3Torrよりも大きい場合、テトラシランの凝集が発生する。プラズマ放出物のテトラシランとの相互作用は、凝集点を超えるエネルギーを付与し得る。更に、プラズマ源からの距離が増大し、プラズマ出力が(距離もしくは実際の発生電力又はこれら両方によって)低下すると、Si−Si結合破壊の量が低減し、これにより、より長いポリマー鎖が形成され得る。改善された重合(即ち、より長いSi−Si鎖)と、前駆体の凝集点又はこれに近い動作による利益とを組み合わせ、膜に流動性が少なくとも部分的に付与される。このプロセスはまた、追加の材料を削減してより長いシリコンポリマー鎖を作成し、これにより得られる膜の収縮量が低減され得る。本技術で使用するプラズマ源は、基板処理領域からは遠隔であり且つこれに流体連結されたチャンバ内で発生されたプラズマか、或いは代替的に、処理チャンバとは別個であるがこれと流体連結されたモジュール内で発生させたプラズマを含み得る。プラズマは、任意の既知の技術又は今後開発される任意の技術によるものであってよく、約0〜約2000ワットのプラズマ出力を発生し得る。本開示の実施形態で、プラズマ出力は、約1000ワット又はこれ未満であるか、約500、約300、約250、約200、約150、約100、約80、約60、約40、約20ワットなど又はこれ未満であり得る。
[0033]本開示のプロセスを用いて、Si結合及びH結合のみを含み得るシリコン含有前駆体から流動性の膜を作成するためのある量の凝集とある量の重合とが発生する、相乗的な組み合せが生み出され得る。得られた膜は、基板上に形成された当初は流動性を有するが、主にSi−Si結合及びSi−H結合で構成され得る。マトリクス内により多くのシリコン原子を有し、堆積した膜内により多くのSi−Si結合を有し得るこれらの膜は、従来の膜よりも収縮が少ない。有利には、形成されたままのこれらの膜が、従来の膜に比べて密度が増大し得ることを本発明者は更に結論付けた。堆積されたままの膜は、約1.2g/ccよりも大きいか約1.2g/ccに等しい密度を有し得る。更に、膜は、約1.3g/ccよりも大きいかこれに等しい、約1.4、約1.5、約1.6、約1.7、約1.8、約1.9、約2.0、約2.1g/ccなどか又はこれらよりも大きい密度を有し得る。
[0034]ある量の誘電材料が基板上に形成された後、追加の処理が実施され得る。誘電材料の品質向上のための一又は複数の高密度化工程が実施され得る。本開示の実施形態で、決められた量の誘電材料が形成されると、シリコン含有前駆体の導入及び流入が停止され得る。しかしながら、形成された誘電材料を高密度化するために、プラズマ放出物は生成され続け、基板処理領域内の形成された誘電体層へ案内され続けてもよい。付加的に又は代替的に、膜を更に高密度化するために、形成されたシリコンベースの誘電体層がアニールされてもよい。アニールは窒素などを用いたドライアニールであるか又は蒸気アニールであってよく、約200°C〜約200°Cより高温で実施され、約400°Cかこれよりも高温、約900°Cかこれよりも高温など、或いはより高温で実施され得る。アニール中、収縮する膜の量は従来の膜よりも少ない。例えば、アニール中、膜の収縮は50%未満であり得る。更に、本開示の技術で作成された膜の収縮は約40%又はこれ未満、約30%、約25%、約20%、約15%、約10%、約5%、約0%、約−5%、約−10%など又はこれら未満であり得る。本発明者らは、例示的な方法及びプロセスにおいて、負の収縮(negative shrinking)が起こり得ることも結論づけた。この負の収縮は、膜が処理中に膨張することを示唆し得る。
[0035]図1との組み合わせで説明した上述の例示的プロセスは、実質的にシリコンベースの膜を生産し、様々な構造や特性のポリシリコン膜を生産するために用いられ得る。このプロセスは、酸化物、窒化物、炭化物、及び半導体処理において有益な他の組成物を生成するため、追加の材料を組み込むために更に調整され得る。本開示の技術で追加の材料を組み込む更なる例を、図2に関連して記載する。図2は、本開示の実施形態による誘電膜を形成するプロセスの別のフロー図を示す。このプロセスは、図1に関連して説明した工程と同様の工程を含み得る。本開示の実施形態で、工程210において、プラズマ放出物を生成するために、基板処理領域に流体連結された遠隔プラズマ領域でプラズマを形成する間、この遠隔プラズマ領域に第1の前駆体を導入する。第1の前駆体は、先述した前駆体のうちの任意の一又は複数を含んでよく、アルゴン、ヘリウム、又は窒素などの一又は複数の不活性前駆体のみで構成されてよい。工程220で、プラズマ放出物は基板処理領域に案内され得る。
[0036]工程230で、少なくとも1つのSi−Si結合を有し得るシリコン含有前駆体が基板処理領域に導入され得る。シリコン含有前駆体は、先述した前駆体のうちの任意の一又は複数を含み得る。例えば、シリコン含有前駆体は化学式Siを有し、ここでxは2に等しいか2よりも大きく、yは2x+nであるか2x+nよりも大きく、nは2に等しいか2よりも小さい任意の数であり得る。更に、シリコン含有前駆体はまた、実質的に蒸気相で処理領域に導入されてもよい。本開示の実施形態で、シリコンベースの誘電体層を形成するために処理チャンバに導入される前駆体は、一又は複数の不活性前駆体、並びに、Si−Si含有結合及びSi−H含有結合からなるシリコン含有前駆体を含み得る。例えば、第1の前駆体はアルゴン又はヘリウムで構成され得る。工程240で、プラズマ放出物及びシリコン含有前駆体は、シリコンベースの誘電体層を基板上に形成するために、反応させられ得る。これらの工程は先述した方式のうち任意の方式で実施され得る。初期の膜が形成された後、工程250で、形成されたシリコンベースの誘電体層を少なくとも1つの追加の前駆体を用いて硬化する硬化処理工程が、任意選択的に実施され得る。
[0037]追加の前駆体は、オゾンなどの酸素含有前駆体、窒素含有前駆体、又は炭素含有前駆体のうちの一又は複数であってよい。これらの前駆体は、基板処理領域に案内されるプラズマ放出物と共に又はこれなしに、導入される或いは用いられ得る。追加の前駆体は、材料をシリコンベースの誘電材料へと更に置換するために用いられ得る。例えば、シリコンマトリクスに組み込まれる酸素を提供して炭化ケイ素膜を生成するため、オゾン又は別の酸素含有前駆体が用いられ得る。これにより、アニール工程や高密度化工程での収縮低減を更に助けるよう、形成されたマトリクスを追加の材料と共に圧密(pack)することを支援し得る。酸化物、窒化物、炭化物、オキシカーバイド、酸素窒化物、炭窒化物などを含む様々な膜が、この方式で形成され得る。追加の前駆体は、シリコンベースの誘電体の形成後、形成の終端近くで、流入されてよく、シリコン含有前駆体及び/又は第1の前駆体と共に、或いはシリコン含有前駆体及び/又は第1の前駆体なしに、導入され得る。チャンバの温度及び/又は圧力は、硬化工程中に変化してもよい。例えば、膜形成工程の温度が30°Cを下回る場合、例えば、チャンバ温度は約30°C、約50°C、約100°C、約200°Cなどかこれらよりも高温に上昇され得る。
[0038]追加の前駆体材料を任意選択的に導入した後、硬化された又は他の方式で形成された誘電体は、工程260で、任意選択的に高密度化され得る。シリコン含有前駆体及び/又は追加の前駆体の流入は停止され、次いで、様々な実施形態で、第1の前駆体の流入が実施されるか或いは継続され得る。第1の前駆体から発生したプラズマ放出物は、形成された又は硬化された誘電体層を高密度化するために、基板処理領域に案内され得る。本開示の実施形態で、工程260が工程250よりも前に実施されるよう、高密度化工程は硬化工程よりも前に実施され得る。工程270でのアニールを含むプロセスにおいては、任意選択的に、追加の工程が実施され得る。形成された、硬化された、及び/又は高密度化された誘電体は、膜の最終的な品質向上のために先述のようにアニールされ得る。UV、電子ビーム、及び他の硬化処理やアニール処理工程を含む種々の工程のうちの一又は複数を含む、更なる堆積後処理が実施され得る。アニール中、シリコンベースの誘電体層の収縮は約50%又はこれ未満であり得る。本開示の技術で生成された膜の収縮は、約40%、約30%、約25%、約20%、約15%、約10%、約5%などか又はこれら未満であり得る。任意選択的な工程はすべて、膜形成工程もしくは膜堆積工程と同じチャンバ又は異なるチャンバで実施され得る。真空を破壊することなく基板をチャンバ内に維持することにより、形成された膜に対する湿気やその他の影響が低減されるか防止され得る。
[0039]本技術は更に、シリコン含有前駆体の遠隔プラズマ領域への送達も包含する。代替的に、シリコン含有前駆体は、チャンバの遠隔領域内で印加されるか代替的にはチャンバの処理領域内で印加される、直流プラズマによって活性化され、シリコン含有前駆体は、チャンバの処理領域内のプラズマが生成されるエリアに送達される。本開示の実施形態で、シリコン含有前駆体は、チャンバの処理領域又はプラズマ領域に送達され、上記で定義したようなタイプのプラズマなどのプラズマによって活性化され得る。シリコン含有前駆体は、アルゴンを含み本開示の実施形態では水素も含み得る不活性キャリアなどの追加の流体と共に、送達され得る。このプロセスは、先述した種々の温度、圧力、及びプラズマ出力で実施され得る。例えば、直接的に印加されるプラズマ出力は500W未満であってよく、約300W、約200W、約100W、約80W、約70W、約60W、約50Wなどかこれら未満であってもよく、温度は、約100°Cかこれ未満であってよく、約75°C、約50°C、約25°C、約15°C、約10°C、約5°C、約0°C、約−5°Cなどかこれら未満であってもよい。更に、本開示のこの実施形態又はその他の実施形態で、基板が搭載されたペデスタルが電気的にバイアスされてもよい。ペデスタルをバイアスすることにより、ラジカル種を基板表面に案内するために用いられる、電場がもたらされ得る。
[0040]本開示のプロセスは、品質改善され収縮が低減された流動性の膜を生産するために、Si−Si結合を有するシリコン含有前駆体、温度、圧力、プラズマ、及び堆積距離を相乗的に利用する。本技術は、シリコン含有前駆体の相転移プロファイルを考慮することにより、形成された膜の密度を低下させ収縮を増大させ得る窒素、炭素、水、水酸基、及びその他の追加の材料を除去し得るため有利である。理解され得るように、堆積プロセスを必要に応じて更に調整するために、チャンバパラメータ及びプラズマ出力に対し更なる修正がなされてもよい。これらのプロセスの調整は、真空状態を破壊することなく、又は基板を追加のチャンバへ移動することなく実施され得るので有利である。これにより、従来技術に比べ全体としての処理時間が低減されコストが削減され得る。堆積処理パラメータ、化学構造、及び構成要素の更なる例が、例示的な処理チャンバ及び処理システムについての後述の記載において開示される。
例示的な処理システム
[0041]本発明の実施形態を実装し得る堆積チャンバは、高密度プラズマ化学気相堆積(HDP−CVD)チャンバ、プラズマ化学気相堆積(PECVD)チャンバ、準常圧化学気相堆積(SACVD)チャンバ、及び熱化学気相堆積チャンバ、及び他の種類のチャンバを含むことができる。本発明の実施形態を実装し得るCVDシステムの特定の例は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なCENTURAULTIMA(登録商標)HDP−CVDチャンバ/システム、及びPRODUCER(登録商標)PECVDチャンバ/システムを含む。
[0042]本発明の例示的方法で使用可能な基板処理チャンバの実施例は、Lubomirskyらに共同譲渡された2006年5月30日出願の「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」と題される米国仮特許出願第60/803,499号で示され且つ説明される実施例を含むことができ、その全体的な内容は、あらゆる目的のために参照により本明細書に組み込まれている。更なる例示的システムは、やはりあらゆる目的のために参照により本明細書に組み込まれる、米国特許第6,387,207号及び第6,830,624号に示され記載されたシステムを含み得る。
[0043]図3Aは、本開示の技術による基板処理チャンバ301の一部の概略断面図を示す。遠隔プラズマシステム(RPS)310はガスを処理し、次いでガスはガス入口アセンブリ311を通り移動し得る。ガス入口アセンブリ311内に、2つの個別のガス供給チャネルが存在し得る。第1のチャネル312は、遠隔プラズマシステム(RPS)310を通過するガスを運び、第2のチャネル313はRPS310を迂回する。本発明の実施形態において、第1のチャネル312はプロセスガス用に使用され、第2のチャネル313は処置ガス用に使用され得る。リッド(又は導電性頂部)321及び貫通孔のあるパーティション(シャワーヘッド353など)が、その間にある絶縁リング324と共に示され、これによりAC電位が、シャワーヘッド353に対してリッド321に印加されることを可能にする。プロセスガスは、第一のチャネル312を通ってチャンバプラズマ領域320内へ進み、チャンバプラズマ領域320内のプラズマのみによって又はRPS310と組み合わされて、励起され得る。チャンバプラズマ領域320及び/又はRPS310の組み合わせは、本明細書において遠隔プラズマシステムと呼ばれ得る。貫通孔のあるパーティション又はシャワーヘッド353は、チャンバプラズマ領域320を、シャワーヘッド353下方の基板処理領域370から分離する。シャワーヘッド353は、チャンバプラズマ領域320内に存在するプラズマが、基板処理領域370内のガスを直接に励起することを回避することを可能にするが、一方で、励起種がチャンバプラズマ領域320から基板処理領域370内へ進むことを可能にし得る。
[0044]シャワーヘッド353は、チャンバプラズマ領域320と基板処理領域370との間に位置決めされ、チャンバプラズマ領域302内で生成されたプラズマ放出物もしくは前駆体の励起された誘導体又は他のガスが、シャワーヘッドに含まれる一又は複数のプレートの厚さを横断する複数の貫通孔356を、通過することを可能にする。シャワーヘッド353はまた、シリコン含有前駆体などの、蒸気又はガスの形態の前駆体で充填されることができ、小孔355を通過して基板処理領域370へと進むが直接にチャンバプラズマ領域320内へ進まない、一又は複数の中空の容積351を有し得る。本開示の実施形態において、シャワーヘッド353は、貫通孔356の最小径350の長さより厚い。チャンバプラズマ領域320から基板処理領域370へ突入する励起種の有意な濃度を維持するために、貫通孔の最小径350の長さ326は、シャワーヘッド353を途中まで貫通する、貫通孔356のより大きな直径部分を形成することにより、制限され得る。本開示の実施形態において、貫通孔356の最小径350の長さは、貫通孔356の最小径と同じ程度の大きさ又はそれ未満であり得る。
[0045]図示の実施形態において、シャワーヘッド353は、例えばアルゴンなどのプラズマ蒸気/ガスを含有するプロセスガスを、貫通孔356を通じて分配し得る。更に、シャワーヘッド353は、プラズマ領域320とは別個に維持されるシリコン含有前駆体を、小孔355を通じて分配し得る。一又は複数のプロセスガスとシリコン含有前駆体とは、前駆体が処理領域370に個別に導入されるまで、シャワーヘッド353を介して流体的に分離されて維持され得る。前駆体は、処理領域に入ると互いとコンタクトし、流動性の誘電材料を基板380上に形成し得る。
[0046]実施形態で、貫通孔356の数は約60〜約2000であり得る。貫通孔356は様々な形状を有してよいが、円形に作製され得る。本開示の実施形態において、貫通孔356の最小径350は、約0.5mm〜約20mm、又は約1mm〜約6mmでありうる。貫通孔の断面形状の選択にも自由度があり、円錐形、円筒形、又はこれら2つの形状の組合せとされ得る。種々の実施形態において、基板処理領域370にガスを導入するために用いられる小孔355の数は、約100〜約5000又は約500〜約2000であり得る。小孔355の直径は約0.1mm〜約2mmであり得る。
図3Bは、本開示の技術によるシャワーヘッドの底面図を示す。シャワーヘッド353は、図3Aに示すシャワーヘッドに対応する。貫通孔356は、シャワーヘッド353の底部におけるより大きい内径(ID)及び頂部におけるより小さいIDで描かれている。小孔355は、貫通孔356の間も含めシャワーヘッドの表面に亘り実質的に均一に分布しており、これは本明細書に記載の他の実施形態よりも均一な混合を提供するのに役立つ。
[0048]追加のデュアルチャネルシャワーヘッド並びにこの処理システム及び処理チャンバは、特許請求の範囲の特徴、及び本明細書の説明と矛盾しない範囲で、2011年10月3日出願の特許出願第13/251714号明細書にさらに詳しく説明されており、当該出願は、あらゆる目的で参照することによって本願に組み込まれる。
[0049]シャワーヘッド353内の貫通孔356を通って到着するプラズマ放出物が、中空の容積351を起点として小孔355を通り到着するシリコン含有前駆体と組み合されると、基板処理領域370の内部で基板380が搭載されたペデスタル375などのペデスタルによって支持される基板上に、例示的な膜が作成される。基板処理領域370は、硬化などの他のプロセスのためにプラズマを支持するように装備され得るが、例示的な膜の堆積中、プラズマは存在しない。
[0050]プラズマは、シャワーヘッド353上方のチャンバプラズマ領域320、又はシャワーヘッド353下方の基板処理領域370において点火され得る。代替的に、チャンバのいかなる部分でもプラズマが形成されず、RPSユニット310においてのみ形成されてもよい。プラズマは、アルゴン、ヘリウム、水素、又はアンモニアのうちの一又は複数の流入によってラジカルプラズマ放出物を生成するために、チャンバプラズマ領域320に存在し得る。堆積中にチャンバプラズマ領域320内でプラズマを点火するために、典型的には高周波(RF)域内にあるAC電圧が、処理チャンバの導電性頂部(リッド321など)とシャワーヘッド353の間に印加される。RF電源は13.56MHzの高いRF周波数を発生させるが、その他の周波数を単独で又はその他の周波数を13.56MHz周波数と組み合わせて発生させ得る。
[0051]誘電体層の形成中又は基板処理領域370を縁取る内表面を洗浄する間、基板処理領域370内の底部プラズマをオンにするとき、頂部プラズマは低電力又は電力なしとされ得る。シャワーヘッド353とペデスタル375又はチャンバ底部との間にAC電圧を印加することにより、基板処理領域370内でプラズマが点火され得る。プラズマが存在している間、洗浄ガスが基板処理領域370内に導入され得る。
[0052]本開示の実施形態で、ペデスタル375は可動であってよく、上昇又は下降するように構成され、また、回転するように構成されてもよい。ペデスタル375は、基板温度を制御するための熱交換流体が通流する熱交換チャネルを有し得る。この構成により、基板を約0°C又はこれ未満〜約200°C又はこれ以上などの比較的低温で維持するために、基板温度を低下又は上昇させることが可能となる。熱交換流体は、エチレングリコール、水、又は、システムに熱を導入可能であるかシステムから熱を除去可能である何らかの他の流体を含み得る。ペデスタルのウエハ支持プラッタもまた、約200°C又はこれ未満〜約1100°C又はこれ以上までなどの比較的高温に到達するために、抵抗加熱素子を用いて抵抗加熱され得る。加熱素子の外側部分は支持プラッタの外周に隣接して伸び、加熱素子の内側部分は、より小さい半径を持つ同心円の軌道上に伸び得る。付加的に、より均一な温度を提供するために、抵抗加熱素子がプラッタを通って巻かれてもよい。加熱素子への配線は、ペデスタルのステムを通る。
[0053]チャンバプラズマ領域又はRPS内のある領域は、遠隔プラズマ領域と称され得る。実施形態において、ラジカル前駆体(例えば、ラジカルアルゴン前駆体)が、遠隔プラズマ領域内で作成され、基板処理領域へと進み、シリコン含有前駆体と結合し得る。実施形態において、シリコン含有前駆体は、ラジカルアルゴン前駆体によってのみ励起される。実施形態において、ラジカルアルゴン前駆体がシリコン含有前駆体に支配的な励起をもたらすことを保証するため、プラズマ出力は基本的に、遠隔プラズマ領域に対してのみ印加されうる。
[0054]チャンバプラズマ領域を用いる実施形態において、励起されたプラズマ放出物が、基板処理領域の堆積領域から仕切られたある区域で生成される。堆積領域(本明細書で基板処理領域とも称される)は、プラズマ放出物がシリコン含有前駆体と混合及び反応し、基板(例えば、半導体ウエハ)上に誘電材料を堆積する領域である。励起されたプラズマ放出物には、他の不活性ガス(例えばアンモニア)を含む追加のガスも添加され得る。実施形態において、シリコン含有前駆体は、基板プラズマ領域に入る前にプラズマを通過しない。本明細書において、基板処理領域は、誘電材料の堆積中「プラズマフリー」であると記載されうる。「プラズマフリー」は、領域にプラズマが不在であるということを必ずしも意味しない。プラズマ領域の中で作られたイオン化種及び自由電子は、パーティションもしくはシャワーヘッドのポア又は開孔を通って進むが、シリコン含有前駆体は、プラズマ領域に印加されるプラズマ出力により実質的に励起されない。チャンバプラズマ領域の中のプラズマの境界は画定することが難しく、シャワーヘッドの開孔を通って基板処理領域に侵入しうる。誘導結合プラズマの場合、基板処理領域の中で直接に、少量のイオン化が行われ得る。更に、形成中の膜の望ましい特徴を除去することなく、低強度のプラズマが基板処理領域内で作成され得る。励起されたプラズマ放出物の生成中の、チャンバプラズマ領域又は遠隔プラズマ領域よりもはるかに低強度のイオン密度を持つプラズマのいかなる要因も、本明細書で用いる「プラズマフリー」の範囲から逸脱しない。
[0055]プラズマ出力は、種々の周波数であるか複数の周波数の組合せであり得る。例示的な処理システムにおいて、プラズマは、シャワーヘッド353に対するリッド321に供給されるRF電力により供給される。種々の実施形態において、RF電力は、約10ワット〜約2000ワット、約100ワット〜約2000ワット、約200ワット〜約1500ワット、約100ワットもしくはこれ未満、又は約500ワットもしくはこれ未満であり得る。種々の実施形態において、例示の処理システムで適用されるRF周波数は、約200kHz未満の低いRF周波数、約10MHz〜15MHzの高いRF周波数、又は約1GHz又はこれよりも大きいマイクロ波周波数であり得る。プラズマ出力は、遠隔プラズマ領域内へと容量性結合(CCP)又は誘導性結合(ICP)され得る。
[0056]前駆体、任意のキャリアガス、及びプラズマ放出物の基板処理領域370への流入中、基板処理領域370は様々な圧力に維持されることができる。種々の実施形態において、圧力は、約0.1mTorr〜約100Torr、約1Torr〜約20Torr、約5Torr未満、又は約3Torr未満に維持され得る。
[0057]堆積システムの実施形態は、集積回路チップを作製するためにより大きな製造システムに組み込まれてもよい。図4は、本開示の実施形態による、堆積、エッチング、焼成及び硬化チャンバのそのようなシステムの1つ400を示す。図面では、一対のFOUP(前面開口型統一ポッド)402により様々なサイズの基板が供給され、基板はロボットアーム404によって受けられ、基板処理チャンバ408a〜fのうちの1つに配置される前に、低圧保持領域406の中に置かれ得る。第2のロボットアーム410を使用して、保持領域406から基板処理チャンバ408a〜fまで基板ウエハを搬送し、基板処理チャンバ408a〜fから保持領域406まで戻すことができる。各基板処理チャンバ408a〜fは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理気相堆積(PVD)、エッチング、前洗浄、ガス抜き、配向、及び他の基板処理に加えて、本明細書に記載される堆積処理を含む幾つかの基板処理工程を実施するように装備され得る。
[0058]基板処理チャンバ408a〜fは、基板ウエハ上で誘電膜を堆積し、アニールし、硬化し、及び/又はエッチングするための一又は複数のシステム構成要素を含み得る。一構成において、2対の処理チャンバ(例えば、408c〜d及び408e〜f)が、誘電材料を基板上に堆積するために使用され、第3の対の処理チャンバ(例えば、408a〜b)が、堆積された誘電体をエッチングするために使用され得る。別の構成において、3対全てのチャンバ(例えば、408a〜f)が、基板上の誘電膜を堆積、硬化、及び高密度化するように構成されてもよい。記載されたプロセスの任意の一又は複数が、種々の実施形態に示した製造システムから分離されたチャンバ(複数可)内で実行され得る。
[0059]上記の記載において、本発明の様々な実施形態の理解を促すため複数の詳細が説明目的で記載されている。しかしながら、特定の実施形態を、これらの詳細の幾つか又は更なる詳細と共に実施することができることが当業者には明らかであろう。
[0060]幾つかの実施形態を開示したが、本発明の実施形態の主旨から逸脱することなく、様々な変更例、代替構造物、及び同等物が使用可能であることが当業者には理解されるであろう。加えて、任意の数の周知のプロセス及び要素は、本発明が不必要に曖昧にならないよう、記載していない。従って、上記の記載により本発明の範囲を限定して解釈すべきでない。
[0061]値の範囲が付与されているところでは、文脈上そうでないと明示されていない限り、その範囲の上限値と下限値との間の各介在値は、下限値の最も小さい単位まで具体的に開示されている。任意の記載値やその記載範囲に介在する値と、任意の他の記載値やその記載範囲の介在値との間の小さい範囲の各々も包含される。これら小さい範囲の上限値及び下限値は、その範囲に個々に含まれ、又はその範囲から除外される場合があり、記載された範囲において任意に具体的に限界値が除外されていない限り、小さい範囲に限界値のいずれかが含まれる、どちらも含まれない、又は両方が含まれる各範囲も、本発明に含まれる。記載された範囲に一又は複数の限界値が含まれるところでは、これらの含有値のいずれか、又は両方を除外する範囲も含まれる。
[0062]本明細書及び特許請求の範囲で使用される単数形の「一つの(a、an)」、及び「前記(the)」は、文脈上明確な指示がない限り、複数の意味を含む。従って、例えば「開孔」を参照した時は複数の開孔を含み、「プレート」を参照した時は一又は複数のプレート及び当業者に周知のそれらの同等物他への参照を含む。
[0063]また、「備える」、「備えている」、「含有する」、「含有している」、「含む」、及び「含んでいる」という単語は、本明細書及び特許請求の範囲で使用された場合、記載された特徴、整数、構成要素、又はステップの存在を特定することを意図しているが、一又は複数のその他の特徴、整数、構成要素、ステップ、作動、又はグループの存在、又は追加を除外するものではない。

Claims (20)

  1. 処理チャンバの基板処理領域内で基板上に誘電体層を形成する方法であって、
    プラズマ放出物を生成するために、前記基板処理領域に流体連結された遠隔プラズマ領域でプラズマを形成する間、前記遠隔プラズマ領域に第1の前駆体を導入すること、
    前記プラズマ放出物を前記基板処理領域に案内すること、
    少なくとも1つのSi−Si結合を含むシリコン含有前駆体を、前記基板処理領域に導入すること、並びに
    前記基板上に形成された当初は流動的であるシリコンベースの誘電体層を形成するために、前記プラズマ放出物とシリコン含有前駆体とを前記基板処理領域内で反応させること
    を含む、方法。
  2. 前記シリコン含有前駆体は少なくとも2つのSi−Si結合を含む、請求項1に記載の方法。
  3. 前記第1の前駆体は、アンモニア、窒素、H、Ar、及びHeからなるグループから選択される一又は複数の前駆体を含む、請求項1に記載の方法。
  4. 前記プラズマ放出物及びシリコン含有前駆体は、前記前駆体同士が前記基板処理領域に入るまで互いにコンタクトしないよう前記前駆体の分離を維持するように構成されたシャワーヘッドを通じて、前記処理領域に導入される、請求項1に記載の方法。
  5. 前記基板は、前記シャワーヘッドから約3インチ又は約3インチ未満の距離で維持される、請求項4に記載の方法。
  6. 前記堆積処理中、前記基板処理領域はプラズマフリーである、請求項1に記載の方法。
  7. 前記シリコン含有前駆体は化学式Siを有し、xは2よりも大きいか2に等しく、yは2x+nであるか2x+nよりも大きく、nは2よりも小さいか2に等しい任意の数であり、前記シリコン含有前駆体は実質的に蒸気相で前記処理領域に導入される、請求項1に記載の方法。
  8. 約30°C未満か約30°Cに等しい温度で実施される、請求項1に記載の方法。
  9. 約5Torr未満か約5Torrに等しい圧力で実施される、請求項1に記載の方法。
  10. 前記形成されたままの膜は、約1.4g/ccよりも大きいか約1.4g/ccに等しい密度を有する、請求項1に記載の方法。
  11. 前記シリコンベースの誘電体層が形成された後、前記シリコン含有前駆体の前記導入を停止すること、及び、前記形成された誘電体層を高密度化するために、前記プラズマ放出物を前記基板処理領域に案内することを更に含む、請求項1に記載の方法。
  12. 前記形成されたシリコンベースの誘電体層をアニールすることを更に含む、請求項1に記載の方法。
  13. 前記アニール中、前記形成されたシリコンベースの誘電体層が約20%未満収縮する、請求項12に記載の方法。
  14. 処理チャンバの基板処理領域内で基板上に誘電体層を形成する方法であって、
    プラズマ放出物を生成するために、前記基板処理領域に流体連結された遠隔プラズマ領域でプラズマを形成する間、前記遠隔プラズマ領域に第1の前駆体を導入すること、
    前記プラズマ放出物を前記基板処理領域に案内すること、
    少なくとも1つのSi−Si結合を含むシリコン含有前駆体を、前記基板処理領域に導入すること、
    前記基板上に形成された当初は流動的であるシリコンベースの誘電体層を形成するために、前記プラズマ放出物とシリコン含有前駆体とを前記基板処理領域内で反応させること、並びに
    前記形成されたシリコンベースの誘電体層を、少なくとも1つの追加の前駆体を用いて硬化させること
    を含む、方法。
  15. 前記少なくとも1つの追加の前駆体は、酸素含有前駆体、窒素含有前駆体、又は炭素含有前駆体を含む、請求項14に記載の方法。
  16. 前記硬化された誘電体層を高密度化するために、前記基板処理領域に前記プラズマ放出物を案内することを更に含む、請求項14に記載の方法。
  17. 前記シリコン含有前駆体は化学式Siを有し、xは2よりも大きいか2に等しく、yは2x+nであるか2x+nよりも大きく、nは2よりも小さいか2に等しい任意の数であり、前記シリコン含有前駆体は実質的に蒸気相で前記処理領域に導入される、請求項14に記載の方法。
  18. 前記シリコンベースの誘電体層を形成するために前記処理チャンバに導入される前記前駆体は、一又は複数の不活性前駆体、並びに、Si−Si含有結合とSi−H含有結合とからなるシリコン含有前駆体からなる、請求項14に記載の方法。
  19. 前記形成されたシリコンベースの誘電体層をアニールすることを更に含む、請求項14に記載の方法。
  20. 前記アニール中、前記形成されたシリコンベースの誘電体層が約20%未満収縮する、請求項14に記載の方法。
JP2015555161A 2013-01-25 2013-12-19 低収縮性誘電膜 Pending JP2016510507A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361756762P 2013-01-25 2013-01-25
US61/756,762 2013-01-25
US13/834,333 US9018108B2 (en) 2013-01-25 2013-03-15 Low shrinkage dielectric films
US13/834,333 2013-03-15
PCT/US2013/076714 WO2014116376A1 (en) 2013-01-25 2013-12-19 Low shrinkage dielectric films

Publications (1)

Publication Number Publication Date
JP2016510507A true JP2016510507A (ja) 2016-04-07

Family

ID=51223395

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015555161A Pending JP2016510507A (ja) 2013-01-25 2013-12-19 低収縮性誘電膜

Country Status (5)

Country Link
US (1) US9018108B2 (ja)
JP (1) JP2016510507A (ja)
KR (1) KR20150109379A (ja)
TW (1) TW201437416A (ja)
WO (1) WO2014116376A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018085072A1 (en) * 2016-11-01 2018-05-11 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
JP2021511672A (ja) * 2018-01-26 2021-05-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化ケイ素の薄膜のための処理方法
JP2022549243A (ja) * 2019-09-20 2022-11-24 アプライド マテリアルズ インコーポレイテッド 誘電体材料を堆積する方法及び装置

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
DE102014223465A1 (de) * 2014-11-18 2016-05-19 Evonik Degussa Gmbh Verfahren zur Erzeugung von dotierten, polykristallinen Halbleiterschichten
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
TWI701357B (zh) 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6590420B2 (ja) * 2015-11-04 2019-10-16 国立研究開発法人産業技術総合研究所 窒素化合物の製造方法及び製造装置
WO2017223323A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable amorphous silicon films for gapfill applications
KR102271768B1 (ko) * 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
KR20230144106A (ko) 2017-11-11 2023-10-13 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理

Family Cites Families (1482)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861009A (en) 1954-02-19 1958-11-18 Rubner Rebecca Process of decorating a sheet
US2889704A (en) 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3109703A (en) 1961-02-06 1963-11-05 Nylonge Corp Method for the production of cleaning devices
US3142714A (en) 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
USRE28375E (en) 1971-02-12 1975-03-25 Recording and display method and apparatus
US3720784A (en) 1971-02-12 1973-03-13 Bell Telephone Labor Inc Recording and display method and apparatus
US3806223A (en) 1972-03-30 1974-04-23 Corning Glass Works Planar optical waveguide
US4233537A (en) 1972-09-18 1980-11-11 Rudolf Limpaecher Multicusp plasma containment apparatus
US3999918A (en) 1974-07-02 1976-12-28 Log Etronics Inc. Apparatus for making a printing plate from a porous substrate
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4212663A (en) 1978-01-26 1980-07-15 Corning Glass Works Reactants delivery system for optical waveguide manufacturing
US4185252A (en) 1978-05-10 1980-01-22 The United States Of America As Represented By The Secretary Of The Army Microstrip open ring resonator oscillators
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4309225A (en) 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
GB2064987B (en) 1979-11-14 1983-11-30 Toray Industries Process for producing transparent shaped article having enhanced anti-reflective effect
US4425146A (en) 1979-12-17 1984-01-10 Nippon Telegraph & Telephone Public Corporation Method of making glass waveguide for optical circuit
US4385802A (en) 1980-06-09 1983-05-31 Corning Glass Works Long wavelength, low-loss optical waveguide
DE3031147A1 (de) 1980-08-18 1982-03-18 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von glas mit einem vorbestimmten brechzahlprofil und alkalifreies glas aus einem oxis eines grundstoffes, das mit einem oder mehreren weiteren stoffen dotiert ist
ATE8514T1 (de) 1980-09-18 1984-08-15 L'etat Belge, Represente Par Le Secretaire General Des Services De La Programmation De La Politique Scientifique Verfahren zum kristallisieren von filmen und so erhaltene filme.
US4425907A (en) 1980-09-25 1984-01-17 Exxon Research And Engineering Co. Reflector-coupled fluorescent solar collector
JPS5775738U (ja) 1980-10-27 1982-05-11
US4351658A (en) 1980-12-12 1982-09-28 Corning Glass Works Manufacture of optical fibers
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4378987A (en) 1981-10-15 1983-04-05 Corning Glass Works Low temperature method for making optical fibers
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4525733A (en) 1982-03-03 1985-06-25 Eastman Kodak Company Patterning method for reducing hillock density in thin metal films and a structure produced thereby
US4511520A (en) 1982-07-28 1985-04-16 American Can Company Method of making perforated films
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4734345A (en) 1982-10-07 1988-03-29 Matsushita Electric Industrial Co., Ltd. Semiconductor IC and method of making the same
US4521447A (en) 1982-10-18 1985-06-04 Sovonics Solar Systems Method and apparatus for making layered amorphous semiconductor alloys using microwave energy
US4496216A (en) 1982-12-30 1985-01-29 Polaroid Corporation Method and apparatus for exposing photosensitive material
US4520472A (en) 1983-02-07 1985-05-28 Rca Corporation Beam expansion and relay optics for laser diode array
US4507588A (en) 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
US4565157A (en) 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4528009A (en) 1983-06-01 1985-07-09 Corning Glass Works Method of forming optical fiber having laminated core
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4545646A (en) 1983-09-02 1985-10-08 Hughes Aircraft Company Process for forming a graded index optical material and structures formed thereby
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
KR890004881B1 (ko) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4568631A (en) 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
GB8414878D0 (en) 1984-06-11 1984-07-18 Gen Electric Co Plc Integrated optical waveguides
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4572841A (en) 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
JPH0697660B2 (ja) 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
JPH0647727B2 (ja) 1985-12-24 1994-06-22 キヤノン株式会社 堆積膜形成法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
DE3601632A1 (de) 1986-01-21 1987-07-23 Leybold Heraeus Gmbh & Co Kg Verfahren zum herstellen von extraktionsgittern fuer ionenquellen und durch das verfahren hergestellte extraktionsgitter
US5294285A (en) 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
US4690830A (en) 1986-02-18 1987-09-01 Solarex Corporation Activation by dehydrogenation or dehalogenation of deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4868005A (en) 1986-04-09 1989-09-19 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
US4715921A (en) 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4704367A (en) 1986-04-21 1987-11-03 Alvis John R Suppression of hillock growth through multiple thermal cycles by argon implantation
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
KR900005118B1 (ko) 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3706271A1 (de) 1987-02-26 1988-09-08 Erwin Strigl Vorrichtung zum messen des intensitaetsprofils eines laserstrahls
JPS63223712A (ja) 1987-03-13 1988-09-19 Hitachi Ltd 光導波路およびその製造方法
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US5874350A (en) 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JPS6456874A (en) 1987-03-27 1989-03-03 Canon Kk Microwave plasma cvd device
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4762808A (en) 1987-06-22 1988-08-09 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of fluorohydridodisilanes
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4830705A (en) 1987-07-16 1989-05-16 Texas Instruments Incorporated Method for etch of GaAs
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4927704A (en) 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
US4885471A (en) 1988-04-22 1989-12-05 Taunton Technologies, Inc. Ultraviolet radiometer
US4844945A (en) 1988-05-18 1989-07-04 Hewlett-Packard Company Process for producing patterns in dielectric layers formed by plasma enhanced chemical vapor deposition (PECVD)
US5093149A (en) 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
DE3923390A1 (de) 1988-07-14 1990-01-25 Canon Kk Vorrichtung zur bildung eines grossflaechigen aufgedampften films unter verwendung von wenigstens zwei getrennt gebildeten aktivierten gasen
US5167558A (en) 1988-07-22 1992-12-01 Zenith Electronics Corporation System for registering and assembling tension masks and CRT faceplates
DE3929604A1 (de) 1988-09-12 1990-03-15 Schott Glaswerke Innenbeschichtung eines rohres
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5244841A (en) 1988-11-10 1993-09-14 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material and flowing while depositing
US5204288A (en) 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02222134A (ja) 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US4932749A (en) 1989-03-17 1990-06-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes George Claude Optical waveguides formed from multiple layers
US5186120A (en) 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5032435A (en) 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
US5114770A (en) 1989-06-28 1992-05-19 Canon Kabushiki Kaisha Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5142385A (en) 1989-07-18 1992-08-25 Massachusetts Institute Of Technology Holographic lithography
JPH0814021B2 (ja) 1989-07-20 1996-02-14 松下電器産業株式会社 スパッタ装置
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
DE69033663T2 (de) 1989-08-28 2001-06-21 Hitachi Ltd Verfahren zur Behandlung eines Aluminium enthaltenden Musters
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH0680657B2 (ja) 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 半導体装置の製造方法
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
JP2938118B2 (ja) 1990-03-20 1999-08-23 株式会社東芝 真空容器内の水素の排気方法とその装置
US5298365A (en) 1990-03-20 1994-03-29 Hitachi, Ltd. Process for fabricating semiconductor integrated circuit device, and exposing system and mask inspecting method to be used in the process
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5266502A (en) 1990-04-03 1993-11-30 Olympus Optical Co., Ltd. STM memory medium
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5447570A (en) 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5160408A (en) 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
DE9004934U1 (de) 1990-04-30 1991-08-29 Rofin-Sinar Laser GmbH, 2000 Hamburg Vorrichtung zum Übertragen von Laserlicht
US5578350A (en) 1990-07-03 1996-11-26 Fraunhofer-Gesellschaft Method for depositing a thin layer on a substrate by laser pulse vapor deposition
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
WO1992002844A1 (en) 1990-08-01 1992-02-20 Diomed Limited High power light source
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
JPH0719777B2 (ja) 1990-08-10 1995-03-06 株式会社半導体プロセス研究所 半導体装置の製造方法
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JP3020580B2 (ja) 1990-09-28 2000-03-15 株式会社日立製作所 マイクロ波プラズマ処理装置
US5133986A (en) 1990-10-05 1992-07-28 International Business Machines Corporation Plasma enhanced chemical vapor processing system using hollow cathode effect
US5078922A (en) 1990-10-22 1992-01-07 Watkins-Johnson Company Liquid source bubbler
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
EP0488112B1 (en) 1990-11-30 1994-08-03 Central Glass Company, Limited Method of forming thin film of amorphous silicon by plasma CVD
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP3101330B2 (ja) 1991-01-23 2000-10-23 キヤノン株式会社 マイクロ波プラズマcvd法による大面積の機能性堆積膜を連続的に形成する方法及び装置
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JP3044824B2 (ja) 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
JP3375646B2 (ja) 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
DE69231390D1 (de) 1991-06-10 2000-10-05 At & T Corp Anisotropische Ablagerung von Dielektrika
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5284805A (en) 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5507881A (en) 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
DE69224583T2 (de) 1991-10-15 1998-07-23 Canon Kk Trägermaterial für Flüssigkeitsaufzeichnungskopf, Herstellungsverfahren dafür, Flüssigkeitsaufzeichnungskopf und Flüssigkeitsaufzeichnungsvorrichtung
DE4137606C1 (ja) 1991-11-15 1992-07-30 Schott Glaswerke, 6500 Mainz, De
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5270264A (en) 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5253319A (en) 1992-02-24 1993-10-12 Corning Incorporated Planar optical waveguides with planar optical elements
CA2062629C (en) 1992-03-10 1999-06-15 John Barry French Apparatus and method for liquid sample introduction
JP2830591B2 (ja) 1992-03-12 1998-12-02 日本電気株式会社 半導体光機能素子
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
KR100292330B1 (ko) 1992-05-01 2001-09-17 이데이 노부유끼 반도체장치와그제조방법및실리콘절연기판의제조방법
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP2895703B2 (ja) 1992-07-14 1999-05-24 三菱電機株式会社 露光装置およびその露光装置を用いた露光方法
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5415835A (en) 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5317900A (en) 1992-10-02 1994-06-07 The Lyle E. & Barbara L. Bergquist Trust Ultrasensitive helium leak detector for large systems
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JPH06140704A (ja) 1992-10-26 1994-05-20 Mitsubishi Electric Corp レーザ光照射装置
MY109592A (en) 1992-11-16 1997-03-31 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate, and apparatus and method for evaluating semiconductor crystals.
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
TW337513B (en) 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5686734A (en) 1993-01-22 1997-11-11 Canon Kabushiki Kaisha Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5739898A (en) 1993-02-03 1998-04-14 Nikon Corporation Exposure method and apparatus
US5665167A (en) 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP2637891B2 (ja) 1993-03-26 1997-08-06 日本電気株式会社 光導波路の製造方法
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
CA2136639A1 (en) 1993-04-16 1994-10-27 Ronald D. Goodman Method and apparatus for coating a glass substrate
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
EP0624896B1 (en) 1993-05-13 1999-09-22 Applied Materials, Inc. Contamination control in plasma contouring the plasma sheath using materials of differing rf impedances
US5387288A (en) 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
KR100255689B1 (ko) 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5483055A (en) 1994-01-18 1996-01-09 Thompson; Timothy V. Method and apparatus for performing an automatic focus operation for a microscope
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5474955A (en) 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
US5348774A (en) 1993-08-11 1994-09-20 Alliedsignal Inc. Method of rapidly densifying a porous structure
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
IL106747A0 (en) 1993-08-20 1993-12-08 Oren Aharon Laser beam analyzer
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5705321A (en) 1993-09-30 1998-01-06 The University Of New Mexico Method for manufacture of quantum sized periodic structures in Si materials
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JP3219609B2 (ja) 1993-11-29 2001-10-15 キヤノン株式会社 インク再充填器及びインク再充填方法
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
TW293983B (ja) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5522957A (en) 1993-12-22 1996-06-04 Vlsi Technology, Inc. Method for leak detection in etching chambers
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
KR100241817B1 (ko) 1993-12-27 2000-02-01 니시무로 타이죠 박막형성법
DE69424759T2 (de) 1993-12-28 2001-02-08 Applied Materials Inc Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5811326A (en) 1994-01-17 1998-09-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5888304A (en) 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US6033480A (en) 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5766365A (en) 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
KR950034472A (ko) 1994-04-06 1995-12-28 가나이 쓰토무 패턴형성방법 및 그것에 사용되는 투영노광장치
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5518805A (en) 1994-04-28 1996-05-21 Xerox Corporation Hillock-free multilayer metal lines for high performance thin film structures
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3247270B2 (ja) 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08167605A (ja) 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
JP3469337B2 (ja) 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH08225947A (ja) 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
DE4445427C2 (de) 1994-12-20 1997-04-30 Schott Glaswerke Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht
US5854803A (en) 1995-01-12 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Laser illumination system
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5759744A (en) 1995-02-24 1998-06-02 University Of New Mexico Methods and apparatus for lithography of sparse arrays of sub-micrometer features
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
TW297135B (ja) 1995-03-20 1997-02-01 Hitachi Ltd
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
AUPN258095A0 (en) 1995-04-21 1995-05-18 Unisearch Limited Low temperature fabrication of silica-based pecvd channel waveguides
DE19516669A1 (de) 1995-05-05 1996-11-07 Siemens Ag Verfahren zur Abscheidung einer Siliziumoxidschicht
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5702532A (en) 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
FR2734811B1 (fr) 1995-06-01 1997-07-04 Saint Gobain Vitrage Substrats transparents revetus d'un empilement de couches minces a proprietes de reflexion dans l'infrarouge et/ou dans le domaine du rayonnement solaire
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6096389A (en) 1995-09-14 2000-08-01 Canon Kabushiki Kaisha Method and apparatus for forming a deposited film using a microwave CVD process
JPH09116015A (ja) 1995-09-15 1997-05-02 Korea Electron Telecommun 接触ホール埋立方法
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5728631A (en) 1995-09-29 1998-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a low capacitance dielectric layer
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5990984A (en) 1995-11-16 1999-11-23 Viratec Thin Films, Inc. Coated polymer substrate with matching refractive index and method of making the same
US5736423A (en) 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US6009827A (en) 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5925189A (en) 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
KR100267418B1 (ko) 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US6156114A (en) 1996-02-06 2000-12-05 E. I. Du Pont De Nemours And Company Treatment of deagglomerated particles with plasma-activated species
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6340417B1 (en) 1996-03-14 2002-01-22 Advanced Micro Devices, Inc. Reactor and method for ionized metal deposition
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
JP2917897B2 (ja) 1996-03-29 1999-07-12 日本電気株式会社 半導体装置の製造方法
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
GB2312525A (en) 1996-04-24 1997-10-29 Northern Telecom Ltd Providing cladding on planar optical waveguide by heating to flow
US5844684A (en) 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5782980A (en) 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
US5997642A (en) 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5710079A (en) 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
JP4195734B2 (ja) 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド 集積回路のトレンチ分離製作方法
WO1997048021A1 (en) 1996-06-10 1997-12-18 Holographic Lithography Systems, Inc. Process for modulating interferometric lithography patterns to record selected discrete patterns in photoresist
US6088505A (en) 1996-06-10 2000-07-11 Holographic Lithography Systems, Inc. Holographic patterning method and tool for production environments
JP3739137B2 (ja) 1996-06-18 2006-01-25 日本電気株式会社 プラズマ発生装置及びこのプラズマ発生装置を使用した表面処理装置
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
EP1357584A3 (en) 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
TW376551B (en) 1996-08-07 1999-12-11 Matsushita Electric Ind Co Ltd Aftertreatment method of dry etching and process of manufacturing semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US20020050605A1 (en) 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
JPH1092810A (ja) 1996-09-10 1998-04-10 Mitsubishi Electric Corp 半導体装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
JP2937140B2 (ja) 1996-10-09 1999-08-23 日本電気株式会社 半導体装置の製造方法
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US5935283A (en) 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6233044B1 (en) 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US5817562A (en) 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US5822479A (en) 1997-01-24 1998-10-13 Northern Telecom Limited Writing diffraction gratings with interference fringe patterns
US6357385B1 (en) 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6503594B2 (en) 1997-02-13 2003-01-07 Samsung Electronics Co., Ltd. Silicon wafers having controlled distribution of defects and slip
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
JPH10242142A (ja) 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5817566A (en) 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
JP3270353B2 (ja) 1997-03-05 2002-04-02 日本電気株式会社 光導波路の製造方法
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5981354A (en) 1997-03-12 1999-11-09 Advanced Micro Devices, Inc. Semiconductor fabrication employing a flowable oxide to enhance planarization in a shallow trench isolation process
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5986234A (en) 1997-03-28 1999-11-16 The Regents Of The University Of California High removal rate laser-based coating removal system
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5926722A (en) 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
KR100226751B1 (ko) 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
JPH10284431A (ja) 1997-04-11 1998-10-23 Sharp Corp Soi基板の製造方法
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6077786A (en) 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US5907435A (en) 1997-06-26 1999-05-25 Xerox Corporation Laser beam optical focusing system of two symmetrical diffractive optical elements
US5869149A (en) 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
KR100243446B1 (ko) 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
US6936849B1 (en) 1997-07-29 2005-08-30 Micron Technology, Inc. Silicon carbide gate transistor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6114235A (en) 1997-09-05 2000-09-05 Advanced Micro Devices, Inc. Multipurpose cap layer dielectric
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6080965A (en) 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
US6149730A (en) 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
GB2330664B (en) 1997-10-21 2002-01-23 Integrated Optical Components The manufacture of integrated optical devices
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
WO1999020428A1 (de) 1997-10-22 1999-04-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und vorrichtung zum bearbeiten von werkstücken mit laserstrahlung
JP3299700B2 (ja) 1997-10-22 2002-07-08 日本発条株式会社 光導波路の製造方法
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
GB9723222D0 (en) 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6534242B2 (en) 1997-11-06 2003-03-18 Canon Kabushiki Kaisha Multiple exposure device formation
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
US5922617A (en) 1997-11-12 1999-07-13 Functional Genetics, Inc. Rapid screening assay methods and devices
GB9724150D0 (en) 1997-11-14 1998-01-14 Univ Southampton Apparatus providing variable refectivity to electromagnetic radiation
US5903106A (en) 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US20050272254A1 (en) 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6268297B1 (en) 1997-11-26 2001-07-31 Texas Instruments Incorporated Self-planarizing low-temperature doped-silicate-glass process capable of gap-filling narrow spaces
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6207353B1 (en) 1997-12-10 2001-03-27 International Business Machines Corporation Resist formulation which minimizes blistering during etching
FR2772519B1 (fr) 1997-12-11 2000-01-14 Alsthom Cge Alcatel Antenne realisee selon la technique des microrubans et dispositif incluant cette antenne
KR100369688B1 (ko) 1997-12-12 2003-01-30 마쯔시다덴기산교 가부시키가이샤 레이저 가공 방법 및 레이저 가공 장치와 그 제어 방법
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
TW358236B (en) 1997-12-19 1999-05-11 Nanya Technology Corp Improved local silicon oxidization method in the manufacture of semiconductor isolation
JPH11242189A (ja) 1997-12-25 1999-09-07 Olympus Optical Co Ltd 像形成法、像形成装置
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6332470B1 (en) 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6358672B2 (en) 1998-02-05 2002-03-19 Samsung Electronics Co., Ltd. Method of forming semiconductor device pattern including cross-linking and flow baking a positive photoresist
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
KR100260766B1 (ko) 1998-02-18 2000-08-01 구본준 레이저 어닐 장비
JPH11307449A (ja) 1998-02-20 1999-11-05 Canon Inc 露光装置及びデバイスの製造方法
JP2975919B2 (ja) 1998-02-27 1999-11-10 株式会社半導体プロセス研究所 下地表面改質方法及び半導体装置の製造方法
US6179277B1 (en) 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
WO1999045167A1 (en) 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US5946592A (en) 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
US6016000A (en) 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6109065A (en) 1998-04-22 2000-08-29 Lucent Technologies, Inc. Method of making optical waveguide devices using perchloryl fluoride to make soot
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US5932283A (en) 1998-05-01 1999-08-03 Nec Corporation Method for fabricating SiO2 film
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6360685B1 (en) 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6095643A (en) 1998-05-07 2000-08-01 Lexmark International, Inc. Refillable disposable inkjet cartridge with foam-filled and free ink reservoirs
JP3211770B2 (ja) 1998-05-11 2001-09-25 日本電気株式会社 固体レーザ装置及びそれを備えた固体レーザ増幅器
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
DE19823226A1 (de) 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Kippbarer Lichtbogenofen
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
JPH11354516A (ja) 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6750149B2 (en) 1998-06-12 2004-06-15 Matsushita Electric Industrial Co., Ltd. Method of manufacturing electronic device
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6261857B1 (en) 1998-06-17 2001-07-17 Agere Systems Optoelectronics Guardian Corp. Process for fabricating an optical waveguide
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3472482B2 (ja) 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
KR100335525B1 (ko) 1998-06-30 2002-05-08 마찌다 가쯔히꼬 반도체장치 및 그의 제조방법
JP4065468B2 (ja) 1998-06-30 2008-03-26 キヤノン株式会社 露光装置及びこれを用いたデバイスの製造方法
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
JP3604082B2 (ja) 1998-07-01 2004-12-22 フォトン・ダイナミックス・インコーポレイテッド 高速熱処理システム用加熱組立体
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6117772A (en) 1998-07-10 2000-09-12 Ball Semiconductor, Inc. Method and apparatus for blanket aluminum CVD on spherical integrated circuits
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4663047B2 (ja) 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 レーザー照射装置及び半導体装置の作製方法
US6358323B1 (en) 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
WO2000006996A1 (en) 1998-07-28 2000-02-10 Ce Resources Pte Ltd. Optical detection system
JP3172139B2 (ja) 1998-08-04 2001-06-04 富士写真フイルム株式会社 サーマルヘッド
US6326325B1 (en) 1998-08-05 2001-12-04 Corning Incorporated Method for fabricating silicon oxynitride
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP3363799B2 (ja) 1998-08-28 2003-01-08 キヤノン株式会社 デバイスの構造部分の配置方法およびデバイス
US6281124B1 (en) 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6261374B1 (en) 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6114704A (en) 1998-10-13 2000-09-05 Cymer, Inc. Front-illuminated fluorescent screen for UV imaging
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6239002B1 (en) 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6225171B1 (en) 1998-11-16 2001-05-01 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process for reduced for junction leakage
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6290865B1 (en) 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6911707B2 (en) 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6190973B1 (en) 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
KR100368319B1 (ko) 1998-12-30 2003-03-17 주식회사 하이닉스반도체 액체운송장치
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000299198A (ja) 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6535535B1 (en) 1999-02-12 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and semiconductor device
US6305392B1 (en) 1999-02-19 2001-10-23 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid delivery line
US6345642B1 (en) 1999-02-19 2002-02-12 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid path
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6393042B1 (en) 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6348389B1 (en) 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6114253A (en) 1999-03-15 2000-09-05 Taiwan Semiconductor Manufacturing Company Via patterning for poly(arylene ether) used as an inter-metal dielectric
US6279400B1 (en) 1999-03-16 2001-08-28 General Electric Company Apparatus and method for measuring and selectively adjusting a clearance
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6255035B1 (en) 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6218090B1 (en) 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6150070A (en) 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6080683A (en) 1999-03-22 2000-06-27 Special Materials Research And Technology, Inc. Room temperature wet chemical growth process of SiO based oxides on silicon
US6195246B1 (en) 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6218057B1 (en) 1999-04-16 2001-04-17 Lucent Technologies Inc. Lithographic process having sub-wavelength resolution
US6150209A (en) 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
JP2001024194A (ja) 1999-05-06 2001-01-26 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
KR100319620B1 (ko) 1999-05-10 2002-01-05 김영환 반도체 소자의 격리구조 및 그 제조방법
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6179925B1 (en) 1999-05-14 2001-01-30 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in substrate processing system
US6252665B1 (en) 1999-05-20 2001-06-26 California Institute Of Technology Lithography using quantum entangled particles
US6026053A (en) 1999-05-21 2000-02-15 The United States Of America As Represented By The Director Of The National Security Agency Photorefractive read-only optical memory apparatus using phase, frequency, and angular modulation
JP3306592B2 (ja) 1999-05-21 2002-07-24 株式会社豊田中央研究所 マイクロストリップアレーアンテナ
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6305531B1 (en) 1999-05-25 2001-10-23 Michael A. Wilkman Reduced cost impregnated wipes
US6240874B1 (en) 1999-05-27 2001-06-05 Advanced Micro Devices, Inc. Integrated edge exposure and hot/cool plate for a wafer track system
US6221791B1 (en) 1999-06-02 2001-04-24 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for oxidizing silicon substrates
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6551926B1 (en) 1999-06-09 2003-04-22 Electron Vision Corporation Electron beam annealing of metals, alloys, nitrides and silicides
US6489225B1 (en) 1999-06-11 2002-12-03 Electron Vision Corporation Method for controlling dopant profiles and dopant activation by electron beam processing
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6528752B1 (en) 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US6255207B1 (en) 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
US6355108B1 (en) 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6223540B1 (en) 1999-06-25 2001-05-01 Applied Materials, Inc. Gas processing techniques
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6151446A (en) 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6465044B1 (en) 1999-07-09 2002-10-15 Silicon Valley Group, Thermal Systems Llp Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
AU6954300A (en) 1999-07-12 2001-01-30 Asml Us, Inc. Method and system for in situ cleaning of semiconductor manufacturing equipment using combination chemistries
US6171901B1 (en) 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6340556B1 (en) 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
US6174808B1 (en) 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6083822A (en) 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6567219B1 (en) 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US6512264B1 (en) 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US6875558B1 (en) 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
ATE414326T1 (de) 1999-08-17 2008-11-15 Applied Materials Inc Methode und apparat zur verbesserung der eigenschaften eines niedrig-k si-o-c filmes
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6140701A (en) 1999-08-31 2000-10-31 Micron Technology, Inc. Suppression of hillock formation in thin aluminum films
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6888096B1 (en) 1999-09-28 2005-05-03 Sumitomo Heavy Industries, Ltd. Laser drilling method and laser drilling device
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6796148B1 (en) 1999-09-30 2004-09-28 Corning Incorporated Deep UV laser internally induced densification in silica glasses
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6418960B1 (en) 1999-10-06 2002-07-16 Applied Materials, Inc. Ultrasonic enhancement for solvent purge of a liquid delivery system
US6274500B1 (en) 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6268274B1 (en) 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6248628B1 (en) 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP4562835B2 (ja) 1999-11-05 2010-10-13 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100343286B1 (ko) 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6882477B1 (en) 1999-11-10 2005-04-19 Massachusetts Institute Of Technology Method and system for interference lithography utilizing phase-locked scanning beams
US6408125B1 (en) 1999-11-10 2002-06-18 Corning Incorporated Germanium silicon oxynitride high index films for planar waveguides
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
KR100338771B1 (ko) 1999-11-12 2002-05-30 윤종용 수소 어닐링 단계를 포함하는 공정이 간단한 트렌치소자분리방법
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US20010025607A1 (en) 1999-12-22 2001-10-04 Tony Lebar Microwave plasma reactor and method
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
JP2001185503A (ja) 1999-12-24 2001-07-06 Nec Corp 半導体薄膜改質装置
CN1319130C (zh) 1999-12-24 2007-05-30 株式会社荏原制作所 半导体基片处理装置及处理方法
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6426127B1 (en) 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6500771B1 (en) 2000-01-31 2002-12-31 Chartered Semiconductor Manufacturing Ltd. Method of high-density plasma boron-containing silicate glass film deposition
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6891997B2 (en) 2000-02-17 2005-05-10 Xponent Photonics Inc. Fiber-ring optical resonators
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
JP3368265B2 (ja) 2000-03-02 2003-01-20 キヤノン株式会社 露光方法、露光装置、およびデバイス製造方法
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW473917B (en) 2000-03-07 2002-01-21 United Microelectronics Corp Step-like structure of silicon on insulation (SOI)
FR2806076B1 (fr) 2000-03-08 2002-09-20 Saint Gobain Vitrage Substrat transparent revetu d'une couche polymere
EP1265279B1 (en) 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6426289B1 (en) 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
EP1199378A4 (en) 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
DE60127108T2 (de) 2000-04-04 2007-12-13 Dek International Gmbh Verfahren und vorrichtung zum aufbringen von viskosem oder pastösem material auf ein substrat
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US7011710B2 (en) 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6337467B1 (en) 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6511923B1 (en) 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6433911B1 (en) 2000-05-19 2002-08-13 Massachusetts Institute Of Technology Frustrated total internal reflection-based micro-opto-electro-mechanical modulator/demodulator
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP2001345273A (ja) 2000-05-31 2001-12-14 Canon Inc シリコン系薄膜の形成方法、シリコン系薄膜及び光起電力素子
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6184155B1 (en) 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6583025B2 (en) 2000-07-10 2003-06-24 Samsung Electronics Co., Ltd. Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
US6620296B2 (en) 2000-07-17 2003-09-16 Applied Materials, Inc. Target sidewall design to reduce particle generation during magnetron sputtering
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368948B1 (en) 2000-07-26 2002-04-09 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillocks
JP4556302B2 (ja) 2000-07-27 2010-10-06 ソニー株式会社 薄膜トランジスタ製造システム及び方法、ポリシリコン評価方法及びポリシリコン検査装置
US6541401B1 (en) 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6413886B1 (en) 2000-08-10 2002-07-02 Infineon Technologies Ag Method for fabricating a microtechnical structure
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
EP1355864A2 (en) 2000-08-28 2003-10-29 Applied Materials, Inc. Pre-polycoating of glass substrates
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
DE10042733A1 (de) 2000-08-31 2002-03-28 Inst Physikalische Hochtech Ev Multikristalline laserkristallisierte Silicium-Dünnschicht-Solarzelle auf transparentem Substrat
US6818389B2 (en) 2000-09-13 2004-11-16 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6556280B1 (en) 2000-09-19 2003-04-29 Optical Switch Corporation Period reconfiguration and closed loop calibration of an interference lithography patterning system and method of operation
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
US7067176B2 (en) 2000-10-03 2006-06-27 Cree, Inc. Method of fabricating an oxide layer on a silicon carbide layer utilizing an anneal in a hydrogen environment
JP4312365B2 (ja) 2000-10-11 2009-08-12 株式会社クラレ 透明プラスチック線状体の製造方法
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6479405B2 (en) 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
JP2002187231A (ja) 2000-10-13 2002-07-02 Dainippon Printing Co Ltd バリア性フィルムおよびその製造法
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US7253124B2 (en) 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6319772B1 (en) 2000-10-30 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method for making low-leakage DRAM structures using selective silicon epitaxial growth (SEG) on an insulating layer
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
US6348410B1 (en) 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
CN1449585A (zh) 2000-11-22 2003-10-15 株式会社日立制作所 半导体器件及其制造方法
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020098712A1 (en) 2000-11-30 2002-07-25 Jaideep Mavoori Multi-thickness oxide growth with in-situ scanned laser heating
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6503050B2 (en) 2000-12-18 2003-01-07 Applied Materials Inc. Turbo-molecular pump having enhanced pumping capacity
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6479098B1 (en) 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
JP3914386B2 (ja) 2000-12-28 2007-05-16 株式会社ルネサステクノロジ フォトマスク、その製造方法、パターン形成方法および半導体装置の製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
WO2002084702A2 (en) 2001-01-16 2002-10-24 Lampkin Curtis M Sputtering deposition apparatus and method for depositing surface films
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6809012B2 (en) 2001-01-18 2004-10-26 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor using laser annealing
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US7345342B2 (en) 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
JP3768819B2 (ja) 2001-01-31 2006-04-19 株式会社ルネサステクノロジ 半導体装置の製造方法
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6522433B2 (en) 2001-02-28 2003-02-18 Optical Switch Corporation Interference lithography using holey fibers
US6641268B2 (en) 2001-02-28 2003-11-04 Massachusetts Institute Of Technology Interferometric projection system
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6649907B2 (en) 2001-03-08 2003-11-18 Wisconsin Alumni Research Foundation Charge reduction electrospray ionization ion source
JP2002270685A (ja) 2001-03-08 2002-09-20 Mitsubishi Electric Corp 半導体装置の製造方法
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
US6584987B1 (en) 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6365518B1 (en) 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US6482688B2 (en) 2001-03-30 2002-11-19 Texas Instruments Incorporated Utilizing amorphorization of polycrystalline structures to achieve T-shaped MOSFET gate
KR100500013B1 (ko) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
JP2003084066A (ja) 2001-04-11 2003-03-19 Nippon Kessho Kogaku Kk 放射線検出器用部品、放射線検出器および放射線検出装置
US20020182342A1 (en) 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
WO2002086954A1 (en) 2001-04-19 2002-10-31 The Trustee Of Columbia University In The City Of New York Method and system for providing a single-scan, continuous motion sequential lateral solidification
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6528412B1 (en) 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391777B1 (en) 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6624091B2 (en) 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US20020168828A1 (en) 2001-05-10 2002-11-14 Kuan-Lun Cheng Method of reducing threshold voltage shifting of a gate
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US20020170487A1 (en) 2001-05-18 2002-11-21 Raanan Zehavi Pre-coated silicon fixtures used in a high temperature process
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
AU2002305733A1 (en) 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6887514B2 (en) 2001-05-31 2005-05-03 Dalsa Semiconductor Inc. Method of depositing optical films
US6705124B2 (en) 2001-06-04 2004-03-16 Lightwave Microsystems Corporation High-density plasma deposition process for fabricating a top clad for planar lightwave circuit devices
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6936842B2 (en) 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6812134B1 (en) 2001-06-28 2004-11-02 Lsi Logic Corporation Dual layer barrier film techniques to prevent resist poisoning
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP4219628B2 (ja) 2001-07-27 2009-02-04 東京エレクトロン株式会社 プラズマ処理装置および基板載置台
US6602792B2 (en) 2001-08-02 2003-08-05 Macronix International Co., Ltd. Method for reducing stress of sidewall oxide layer of shallow trench isolation
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
JP2003086604A (ja) 2001-09-10 2003-03-20 Advanced Lcd Technologies Development Center Co Ltd 薄膜半導体装置及びその基板ならびにその製造方法
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6868800B2 (en) 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6716740B2 (en) 2001-10-09 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for depositing silicon oxide incorporating an outgassing step
US20030070451A1 (en) 2001-10-11 2003-04-17 Luc Ouellet Method of reducing stress-induced mechanical problems in optical components
US6803330B2 (en) 2001-10-12 2004-10-12 Cypress Semiconductor Corporation Method for growing ultra thin nitrided oxide
DE10150822B4 (de) 2001-10-15 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6734101B1 (en) 2001-10-31 2004-05-11 Taiwan Semiconductor Manufacturing Company Solution to the problem of copper hillocks
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
WO2003040830A2 (en) 2001-11-07 2003-05-15 Applied Materials, Inc. Optical spot grid array printer
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
KR100422597B1 (ko) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US20030113085A1 (en) 2001-12-14 2003-06-19 Applied Materials, Inc., A Delaware Corporation HDP-CVD film for uppercladding application in optical waveguides
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030110808A1 (en) 2001-12-14 2003-06-19 Applied Materials Inc., A Delaware Corporation Method of manufacturing an optical core
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US6713127B2 (en) 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6773142B2 (en) 2002-01-07 2004-08-10 Coherent, Inc. Apparatus for projecting a line of light from a diode-laser array
JP3912111B2 (ja) 2002-01-09 2007-05-09 富士通株式会社 波長多重双方向光伝送モジュール
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US6868207B2 (en) 2002-01-10 2005-03-15 Corona Optical Systems, Inc. Method to diffract and attenuate an optical signal
US6618537B2 (en) 2002-01-14 2003-09-09 Applied Wdm, Inc. Optical waveguide structures and methods of fabrication
JP4908738B2 (ja) 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
US6723770B2 (en) 2002-01-18 2004-04-20 Sanyo Electric Co., Ltd. Method of producing organic-inorganic composite material and organic-inorganic composite material
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
US7122281B2 (en) 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
KR100574150B1 (ko) 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
WO2003075062A2 (en) 2002-03-01 2003-09-12 Rosemount Inc. Optical switch with 3d waveguides
US7651821B2 (en) 2002-03-04 2010-01-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
US6884551B2 (en) 2002-03-04 2005-04-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030183518A1 (en) 2002-03-27 2003-10-02 Glocker David A. Concave sputtering apparatus
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030197831A1 (en) 2002-04-12 2003-10-23 Kim Hyoung Sik Lens fastening device for frameless spectacles
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US8288239B2 (en) 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6777336B2 (en) 2002-04-29 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation structure
US6812153B2 (en) 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6875624B2 (en) 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
US6709553B2 (en) 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6653203B1 (en) 2002-05-23 2003-11-25 Taiwan Semiconductor Manufacturing Company Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches
DE10223954A1 (de) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US6789789B2 (en) 2002-05-29 2004-09-14 Veeco Instruments Inc. High throughput vaporizer
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI273143B (en) 2002-06-10 2007-02-11 Konica Corp Layer formation method, and substrate with a layer formed by the method
US20040206621A1 (en) 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US7247252B2 (en) 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP2004055600A (ja) 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
TWI235433B (en) 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
AU2003302222A1 (en) 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6835633B2 (en) 2002-07-24 2004-12-28 International Business Machines Corporation SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
KR100464852B1 (ko) 2002-08-07 2005-01-05 삼성전자주식회사 반도체 장치의 게이트 산화막 형성방법
US7399500B2 (en) 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6589611B1 (en) 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6870982B1 (en) 2002-08-23 2005-03-22 Cypress Semiconductor Corporation Cascading optical switch three dimensional switch fabric system and method
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US20040045577A1 (en) 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US20040229051A1 (en) 2003-05-15 2004-11-18 General Electric Company Multilayer coating package on flexible substrates for electro-optical devices
US20060208634A1 (en) 2002-09-11 2006-09-21 General Electric Company Diffusion barrier coatings having graded compositions and devices incorporating the same
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040052969A1 (en) 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US7005235B2 (en) 2002-12-04 2006-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and systems to print contact hole patterns
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6853142B2 (en) 2002-11-04 2005-02-08 Zond, Inc. Methods and apparatus for generating high-density plasma
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
KR200302418Y1 (ko) 2002-11-08 2003-02-05 박준영 피뢰 접지판 체결구 세트
US6936981B2 (en) 2002-11-08 2005-08-30 Applied Materials, Inc. Retarding electron beams in multiple electron beam pattern generation
US6896773B2 (en) 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US7022561B2 (en) 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
TW577124B (en) 2002-12-03 2004-02-21 Mosel Vitelic Inc Method for estimating the forming thickness of the oxide layer and determining whether the pipes occur leakages
JP2004343031A (ja) 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd 誘電体膜およびその形成方法ならびに誘電体膜を用いた半導体装置およびその製造方法
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6908852B2 (en) 2003-01-29 2005-06-21 Freescale Semiconductor, Inc. Method of forming an arc layer for a semiconductor device
US6998565B2 (en) 2003-01-30 2006-02-14 Rohm Co., Ltd. Plasma processing apparatus
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7273808B1 (en) 2003-02-03 2007-09-25 Novellus Systems, Inc. Reactive barrier/seed preclean process for damascene process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US6924241B2 (en) 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
KR100470973B1 (ko) 2003-02-26 2005-03-10 삼성전자주식회사 고밀도 플라즈마 화학기상증착 공정
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
EP1602137A2 (en) 2003-03-04 2005-12-07 Dow Corning Corporation Organic light-emitting diode
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP4734231B2 (ja) 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド 原子層堆積のサイクル時間改善のための方法と装置
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6805779B2 (en) 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
US20050285140A1 (en) 2004-06-23 2005-12-29 Chih-Hsin Ko Isolation structure for strained channel transistors
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US7371427B2 (en) 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6946358B2 (en) 2003-05-30 2005-09-20 International Business Machines Corporation Method of fabricating shallow trench isolation by ultra-thin SIMOX processing
US6869860B2 (en) 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
KR100500451B1 (ko) 2003-06-16 2005-07-12 삼성전자주식회사 인장된 채널을 갖는 모스 트랜지스터를 구비하는반도체소자의 제조 방법
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
AU2004247894A1 (en) 2003-06-17 2004-12-23 Ciba Specialty Chemicals Holding Inc. Process for the preparation of metal oxide coated organic material by microwave deposition
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7274038B2 (en) 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7794831B2 (en) 2003-07-28 2010-09-14 Vampire Optical Coating, Inc. Anti-reflective coating
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7342289B2 (en) 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US7608300B2 (en) 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US7070915B2 (en) 2003-08-29 2006-07-04 Tokyo Electron Limited Method and system for drying a substrate
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
KR100901892B1 (ko) 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7459241B2 (en) 2003-09-22 2008-12-02 Seagate Technology Llc Rotary apertured interferometric lithography (RAIL)
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
US6989337B2 (en) 2003-10-02 2006-01-24 United Microelectric Corp. Silicon oxide gap-filling process
US20050074698A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US20050073671A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
US20050085085A1 (en) 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US7142282B2 (en) 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US20050088633A1 (en) 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6808991B1 (en) 2003-11-19 2004-10-26 Macronix International Co., Ltd. Method for forming twin bit cell flash memory
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US7459120B2 (en) 2003-12-04 2008-12-02 Essilor International Low pressure thermoforming of thin, optical carriers
US20050129404A1 (en) 2003-12-10 2005-06-16 Kim Byoung W. Apparatus for providing broadcasting service through overlay structure in WDM-PON
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
WO2005069498A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7244654B2 (en) 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
KR100886029B1 (ko) 2004-01-28 2009-02-26 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 처리실 청정화 방법, 기판 처리 장치 및기판 처리 방법
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7112513B2 (en) 2004-02-19 2006-09-26 Micron Technology, Inc. Sub-micron space liner and densification process
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7390573B2 (en) 2004-03-09 2008-06-24 Exatec Llc Plasma coating system for non-planar substrates
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7384486B2 (en) 2004-03-26 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP4858167B2 (ja) 2004-03-31 2012-01-18 コニカミノルタホールディングス株式会社 透明導電性フィルム、透明導電性フィルムの製造方法及び有機エレクトロルミネッセンス素子
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
FR2869897B1 (fr) 2004-05-10 2006-10-27 Saint Gobain Substrat a revetement photocatalytique
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
GB0410749D0 (en) 2004-05-14 2004-06-16 Dow Corning Ireland Ltd Coating apparatus
WO2005113857A1 (en) 2004-05-20 2005-12-01 Akzo Nobel N.V. Bubbler for constant vapor delivery of a solid chemical
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7326505B2 (en) 2004-05-26 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7176105B2 (en) 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US20050274128A1 (en) 2004-06-10 2005-12-15 Genesis Cryopump with enhanced hydrogen pumping
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4570659B2 (ja) 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7041543B1 (en) 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
JP4389791B2 (ja) 2004-08-25 2009-12-24 セイコーエプソン株式会社 微細構造体の製造方法および露光装置
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7270887B2 (en) 2004-10-13 2007-09-18 Shin-Etsu Chemical Co., Ltd. Antireflective coating, coating composition, and antireflective coated article
US20060224451A1 (en) 2004-10-18 2006-10-05 Xcelerator Loyalty Group, Inc. Incentive program
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR20070065908A (ko) 2004-10-28 2007-06-25 어플라이드 머티어리얼스, 인코포레이티드 집중 광 빔을 측정하기 위한 방법 및 디바이스
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7109051B2 (en) 2004-11-15 2006-09-19 Freescale Semiconductor, Inc. Method of integrating optical devices and electronic devices on an integrated circuit
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
CN1800441B (zh) 2005-01-05 2010-09-01 鸿富锦精密工业(深圳)有限公司 等离子体增强薄膜沉积方法及装置
US7271110B2 (en) 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7323391B2 (en) 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
EP1691224A1 (en) 2005-02-10 2006-08-16 Konica Minolta Opto, Inc. Diffractive grating member and optical communication module
TWI263265B (en) 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
KR100607351B1 (ko) 2005-03-10 2006-07-28 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7309659B1 (en) 2005-04-01 2007-12-18 Advanced Micro Devices, Inc. Silicon-containing resist to pattern organic low k-dielectrics
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4663381B2 (ja) 2005-04-12 2011-04-06 富士フイルム株式会社 ガスバリア性フィルム、基材フィルムおよび有機エレクトロルミネッセンス素子
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060274295A1 (en) 2005-05-04 2006-12-07 Brueck Steven R J Nanotool processes and applications
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7462527B2 (en) 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7238586B2 (en) 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8007878B2 (en) 2005-08-03 2011-08-30 Fujifilm Corporation Antireflection film, polarizing plate, and image display device
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7378002B2 (en) 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7371649B2 (en) 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070080056A1 (en) 2005-10-07 2007-04-12 German John R Method and apparatus for cylindrical magnetron sputtering using multiple electron drift paths
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7842355B2 (en) 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7518108B2 (en) 2005-11-10 2009-04-14 Wisconsin Alumni Research Foundation Electrospray ionization ion source with tunable charge reduction
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
CN101309955A (zh) 2005-11-21 2008-11-19 柯尼卡美能达精密光学株式会社 光学膜的处理方法、光学膜的处理装置及光学膜的制造方法
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
US7440078B2 (en) 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070160822A1 (en) 2005-12-21 2007-07-12 Bristow Paul A Process for improving cycle time in making molded thermoplastic composite sheets
US7561252B2 (en) 2005-12-29 2009-07-14 Asml Holding N.V. Interferometric lithography system and method used to generate equal path lengths of interfering beams
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2007221058A (ja) 2006-02-20 2007-08-30 Toshiba Corp 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
ATE437978T1 (de) 2006-04-03 2009-08-15 L Air Liquide Soc Anon A Direc Verfahren zur abscheidung von siliciumnitridfilmen und/oder siliciumoxidnitridfilmen mittels cvd
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070254093A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
US20070254100A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
WO2007140377A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
WO2008005872A2 (en) 2006-06-30 2008-01-10 Applied Materials, Inc. Method and apparatus for demultiplexing optical signals in a passive optical network
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
JP2008181710A (ja) 2007-01-23 2008-08-07 Canon Inc プラズマ処理装置及び方法
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US20080179715A1 (en) * 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
EP2122007A4 (en) 2007-02-27 2011-10-26 Sixtron Advanced Materials Inc METHOD FOR FORMING A FILM ON A SUBSTRATE
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
US7763522B2 (en) * 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US8582079B2 (en) 2007-08-14 2013-11-12 Applied Materials, Inc. Using phase difference of interference lithography for resolution enhancement
US8896809B2 (en) 2007-08-15 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20100002210A1 (en) 2007-08-31 2010-01-07 Applied Materials, Inc. Integrated interference-assisted lithography
US20090117491A1 (en) 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US20090111056A1 (en) 2007-08-31 2009-04-30 Applied Materials, Inc. Resolution enhancement techniques combining four beam interference-assisted lithography with other photolithography techniques
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
KR101404669B1 (ko) 2007-09-27 2014-06-09 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20110097517A1 (en) 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8057649B2 (en) 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8349156B2 (en) 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
US20100078315A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
US20100078320A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
TW201129713A (en) 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018085072A1 (en) * 2016-11-01 2018-05-11 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
JP2021511672A (ja) * 2018-01-26 2021-05-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化ケイ素の薄膜のための処理方法
JP7447004B2 (ja) 2018-01-26 2024-03-11 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素の薄膜のための処理方法
JP2022549243A (ja) * 2019-09-20 2022-11-24 アプライド マテリアルズ インコーポレイテッド 誘電体材料を堆積する方法及び装置
JP7374308B2 (ja) 2019-09-20 2023-11-06 アプライド マテリアルズ インコーポレイテッド 誘電体材料を堆積する方法及び装置

Also Published As

Publication number Publication date
TW201437416A (zh) 2014-10-01
US9018108B2 (en) 2015-04-28
KR20150109379A (ko) 2015-10-01
WO2014116376A1 (en) 2014-07-31
US20140213070A1 (en) 2014-07-31

Similar Documents

Publication Publication Date Title
JP2016510507A (ja) 低収縮性誘電膜
CN107430992B (zh) 用于膜沉积的脉冲化等离子体
JP6890550B2 (ja) 高アスペクト比ビアの洗浄
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US9362107B2 (en) Flowable low-k dielectric gapfill treatment
US7790633B1 (en) Sequential deposition/anneal film densification method
TWI541376B (zh) 共形的氮碳化矽及氮化矽薄膜之低溫電漿輔助化學氣相沉積
US7718553B2 (en) Method for forming insulation film having high density
US7989365B2 (en) Remote plasma source seasoning
CN107408493B (zh) 脉冲氮化物封装
TW201432085A (zh) 使用高密度電漿之金屬處理
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
JP2015521375A (ja) 流動性膜のための改善された緻密化
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TWI706438B (zh) 以uv輔助方式將材料注入多孔膜
KR102453724B1 (ko) 개선된 스텝 커버리지 유전체