JP3020580B2 - マイクロ波プラズマ処理装置 - Google Patents

マイクロ波プラズマ処理装置

Info

Publication number
JP3020580B2
JP3020580B2 JP2256893A JP25689390A JP3020580B2 JP 3020580 B2 JP3020580 B2 JP 3020580B2 JP 2256893 A JP2256893 A JP 2256893A JP 25689390 A JP25689390 A JP 25689390A JP 3020580 B2 JP3020580 B2 JP 3020580B2
Authority
JP
Japan
Prior art keywords
plasma
processing chamber
plasma processing
magnetic field
microwave
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2256893A
Other languages
English (en)
Other versions
JPH04136177A (ja
Inventor
和博 大原
徹 大坪
一郎 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2256893A priority Critical patent/JP3020580B2/ja
Priority to EP91116343A priority patent/EP0477906B1/en
Priority to DE69123531T priority patent/DE69123531T2/de
Priority to KR1019910016848A priority patent/KR940008368B1/ko
Priority to US07/767,798 priority patent/US5304277A/en
Publication of JPH04136177A publication Critical patent/JPH04136177A/ja
Priority to US08/196,430 priority patent/US5762814A/en
Application granted granted Critical
Publication of JP3020580B2 publication Critical patent/JP3020580B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32247Resonators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、半導体基板のドライエッチング処理やプラ
ズマCVD処理などを行うプラズマ処理装置に係り、特に
低圧力で高密度且つ均一なプラズマを安定生成し、大口
径基板の均一処理に好適なプラズマ処理方法及びその装
置に関するものである。
〔従来の技術〕
半導体デバイスのプラズマ処理装置は、例えばドライ
エッチング処理においては真空雰囲気としたプラズマ処
理室(以下、単に処理室と略称する)に処理ガスを導入
してプラズマを生成し、処理ガスの電離や解離過程によ
って化学的に反応性の高いイオンやラジカル(中性活性
種)を生成する。そして、これらの活性粒子が物理的あ
るいは化学的に作用して半導体基板上の被処理膜の所望
部分をエッチング除去してデバイスパターンを形成する
ものである。このような装置で、マスクパターンに忠実
な、いわゆるサイドエッチングの少ないエッチング処理
を実現するためには、イオンやラジカルの指向性を増大
するために低圧力で処理する必要がある。しかし、低圧
力化によってイオンやラジカルの濃度が低下するので、
処理速度を確保するためには、低圧力下であっても高密
度のプラズマを生成する必要がある。
低圧力で高密度プラズマを生成し得る方法に、例えば
特開昭63−103088号公報に記載の方法が知られている。
これらは、マイクロ波を処理室に導入する直前で空洞共
振器によりその電磁界強度を強め、これを空洞共振器の
処理室側の端面に設けたスロットを介して処理室に導入
し、強い電界強度で電子にエネルギーを供給してプラズ
マ生成するプラズマ処理装置である。
〔発明が解決しようとする課題〕
プラズマ処理の対象物である半導体基板は、生産性向
上のためにφ150mmからφ200mmへと大口径化が進められ
ている。このように大口径の半導体基板をプラズマ処理
するには、大口径プラズマを均一に生成する必要があ
る。しかし、前述の従来技術においては、大口径プラズ
マを均一に生成するという点への配慮が不十分であり、
φ200mm以上の大口径半導体基板を±5%以下の均一性
でプラズマ処理することが難しいという課題があつた。
上記のような課題を生じている原因について、次に述
べる。
従来技術においては、プラズマの均一性を±15%以下
で達成しようとすると、空洞共振器に配設するスロット
のピッチ径をDとし、半導体基板を載置する電極とスロ
ットとの距離(電極間隔)をHとして、D≒(1〜3)
Hの範囲で処理室を構成する必要があった。しかし、プ
ラズマ中の電子やイオンの処理室内壁への損失が存在す
るため、処理室半径方向にプラズマ密度の勾配が生じ
る。そのため、半導体基板近傍のプラズマ密度の分布を
φ200mm以上の広い領域で均一性良く得ることが難しか
った。
本発明の目的は、上述の事情に鑑みて為されたもの
で、大口径のプラズマを均一に生成して、大口径半導体
基板を均一に処理し得るプラズマ処理方法及びその装置
を提供することにある。
〔課題を解決するための手段〕
上記の目的は、プラズマ処理される基板を載置するた
めのステージを備えて真空排気手段とプラズマ処理用ガ
ス導入手段とを接続したプラズマ処理室と、このプラズ
マ処理室と真空封止し且つマイクロ波を透過するマイク
ロ波透過窓を介して結合し、プラズマ処理室にマイクロ
波を放射するスロットを備えた空洞共振器とを備え、プ
ラズマ処理室に電磁界強度を強めたマイクロ波を供給し
てプラズマを発生して基板を処理するプラズマ処理装置
において、プラズマ処理室の外部にプラズマ処理室内壁
近傍でのプラズマの拡散を抑制して損失を低減する領域
(以下、損失抑制領域と呼ぶ)を形成する手段を設けた
ことにより達成される。
また、上記目的は、プラズマ処理される基板を載置す
るためのステージを備えて真空排気手段とプラズマ処理
用ガス導入手段とを接続したプラズマ処理室と、このプ
ラズマ処理室と真空封止し且つマイクロ波を透過するマ
イクロ波透過窓を介して結合し、プラズマ処理室にマイ
クロ波を放射するスロットを備えた空洞共振器と、プラ
ズマ処理室内壁近傍でのプラズマの拡散を抑制して損失
を低減する領域を形成する手段とを備えたプラズマ処理
装置において、空洞共振器からスロットを介して放射さ
れたマイクロ波で発生させたプラズマによりステージに
載置した基板を10-3T以下の磁場中で処理することによ
り達成される。
更に、上記目的は、真空に排気した処理室の外部から
内壁面近傍が中央部に比べて強い磁場が形成されている
処理室の内部に高周波電力を供給し、この供給した高周
波電力により処理室の内部に無極放電によるプラズマを
発生させ、10-3T以下の磁場の領域に設置した基板をプ
ラズマで処理することにより達成される。
更に、上記目的は、真空に排気した処理室の外部から
内壁面近傍が中央部に比べて強い磁場が形成されている
処理室の内部に高周波電力を供給し、この供給した高周
波電力により処理室の内部に無極放電によるプラズマを
発生させ、この発生させたプラズマにより磁場の分布が
10-3T以下の領域で基板を処理することにより達成され
る。
〔作用〕
処理室とマイクロ波透過窓を介して結合する空洞共振
器の処理室側の端面に設けたスロットより、マイクロ波
を処理室に導入してプラズマ生成するマイクロ波プラズ
マ処理装置では、処理室に導入するマイクロ波の電磁界
強度が強く、低圧力でも高密度プラズマを生成すること
ができる。処理室内のスロット下近傍で発生したプラズ
マは、拡散によって処理室全体に広がり、プラズマ中の
電子やイオンは処理室壁面に到達して再結合により消滅
する。そして、処理室内のプラズマ密度は、スロット下
でのプラズマの発生量と処理室内壁での損失量のバラン
スによって決まる。
ここで、プラズマ中に磁場が存在すると、プラズマ中
の電子やイオン等の荷電粒子は、その運動方向と磁場の
方向に垂直な方向にローレンツ力を受けるので、磁力線
の回わりにサイクロトロン運動を行う。したがって、処
理室内壁に平行する磁場を形成することによって、プラ
ズマから処理室内壁に向かって運動する荷電粒子は、磁
束密度の大きさに反比例した半径でサイクロトロン運動
を行うので、処理室内壁への荷電粒子の拡散が抑制され
損失を低減できる。
この磁場によるプラズマの損失抑制領域を処理室内壁
近傍のみに形成することにより、処理室内の中央部領域
においてはプラズマ中の荷電粒子の拡散抑制効果が無い
ので、スロット近傍のスロット開口領域と非開口領域の
プラズマ密度疎密分布は、半導体基板近傍に至るまでに
拡散によって均等化される。また、処理室内壁近傍で
は、処理室内壁への荷電粒子の損失が抑制されるので、
処理室半径方向のプラズマ密度分布の勾配が緩和され
る。これにより、φ200mm以上の大口径プラズマを均一
に生成することができる。
上述の処理室内壁近傍のみに形成するプラズマの損失
抑制領域が、複数個の永久磁石あるいはコイル磁石を、
処理室内に向けられた磁極が隣接する磁極が逆磁極とな
るように配置して得られる多極カスプ磁場によって実現
できるのは、以下に述べるとうりである。すなわち、隣
接磁極の極性が逆極性となるように配置するので、処理
室内中央領域では各磁極からの磁場が互いに打ち消し合
うことにより、発生しても磁場は非常に小さい。また、
一つの磁場からでた磁力線は処理室内部に深く侵入する
ことなく、処理室内壁に沿って隣接磁極に流入する。こ
のため、処理室内壁近傍には、該内壁に平行する強い磁
場が形成され、この磁場によりプラズマ中の荷電粒子の
処理室内壁への拡散を抑制し損失を低減することができ
る。
〔実施例〕
次に、第1図乃至第7図を参照して第1の実施例を説
明する。
第1図は、本発明によるマイクロ波プラズマ処理装置
の第1の実施例を示す縦断面図である。第2図、第3図
および第4図は、それぞれ第1図における空洞共振器1
のAA断面図、処理室のBB断面図およびCC断面図である。
第1図において、空洞共振器1には導波管2を介して2.
45GHzのマイクロ波発生器であるマグネトロン3が取り
付けられる。空洞共振器1はある特定の共振モードにな
るように設計されており、円形空洞共振器がTM01モード
の場合、スロット4は、第2図に示す様に電界を横切る
方向に同心円弧状に構成する。
第1図の空洞共振器1は、石英またはアルミナなどの
誘電体から成るマイクロ波透過窓5を介して、スロット
4を設けた面で処理室6に接続され、大気圧下の空洞共
振器1と真空雰囲気下の処理室6とがマイクロ波透過窓
5を隔てて文離される。
処理室6の側面には、大気側に永久磁石7,8,9が配設
され、これら永久磁石の外周側には透磁率の高い材料か
ら成るヨーク10,11が設置される。ここで、永久磁石7,
8,9の磁極は処理室内壁側でそれぞれN,S,Nと隣り合う極
性が逆極性となるように配置される。したがって、磁界
は磁力線12で示す様に形成される。
また、永久磁石7、および同8,9の処理室横断面にお
ける配置は、それぞれ第3図および第4図に示す様に偶
数個配置されており、互いに隣り合う磁石は極性が逆極
性となっている。したがって、処理室6の横断面図にお
いては、磁力線13および14で示す様な磁場が形成され
る。すなわち、処理室6の内壁側には多極カスプ磁場が
形成される。ここで、永久磁石7,8,9は、処理室内の各
磁極位置で磁束密度が0.0875TのECR(Electron Cycroto
ron Resonance ;電子サイクロトロン共鳴)条件となる
ような残留磁束密度の高い磁石で構成される。
第5図は、第1図における処理室6のDD断面において
処理室内壁に平行する磁束密度の実測値を処理室中心か
らの距離に対してプロットしたものである。第5図に示
す様に、処理室中心から80mm以内の領域における磁束密
度は1×10-3T以下となり、この領域でのプラズマ中の
荷電粒子は拡散が抑制されず等方拡散となる。一方、処
理室内壁近傍約20mmの領域にはプラズマ中の荷電粒子の
拡散を抑制するに十分な磁場が形成される。したがっ
て、第1図に示す磁石7,8,9で多極カスプ磁場を形成す
ることによって、処理室内壁近傍のみにプラズマの損失
抑制領域を形成することができる。
第1図の処理室6の内部には下部電極15が絶縁体16に
よりアース電位に対して電気的に絶縁されて設置され、
絶縁体16はアース電位の金属性カバー17で覆われてい
る。この下部電極15には高周波電源18が接続され、プラ
ズマ処理される半導体基板19は下部電極15上に載置され
る。また、処理室6には図示しないガス供給装置が接続
されており、ガス供給ノズル20より処理ガスが供給さ
れ、供給された処理ガスは反応したのち排気口21から図
示しない排気装置により排気される。この際、処理室6
の圧力は図示しない圧力調整装置により所定の圧力に制
御される。
上記の構成により、半導体基板19をドライエッチング
処理する場合の動作について説明する。
Al合金膜をドライエッチング処理する場合は、処理ガ
スとして例えばCl2+BCl3が用いられ、ガス供給ノズル2
0から処理室6内に供給するとともに、該処理室6内を
所定の圧力100〜10-2Paに制御する。続いてマグネトロ
ン3から2.45GHzのマイクロ波を発生させ、導波管2を
経て空洞共振器1に導入する。空洞共振器1に導入され
たマイクロ波は、例えばTM01モードで共振してその電磁
界が強められ、スロット4から処理室6に放射され、こ
れにより処理室6内にプラズマが生成される。
プラズマ中の荷電粒子、特にイオンに比べて2桁高い
熱速度で運動する電子は、第1図および第3図、第4図
に示す磁力線12,13,14に捕捉されてサイクロトロン運動
を行うので、電子の処理室6内壁への損失が抑制され
る。
従って、大口径プラズマを得るためにマイクロ波透過
窓5から電極15までの距離Hを広くとっても、半導体基
板近傍のプラズマ密度は高密度に維持できるのみなら
ず、荷電粒子の処理室内壁への損失が抑制され、処理室
半径方向のプラズマ密度の低下が緩和されるので半導体
基板近傍のプラズマ密度の分布が均一となる。
また、処理室内の各磁極位置で電子サイクロトロン共
鳴現象を利用することにより、プラズマを安定した状態
で形成できる。
第6図は、前記の距離H(第1図参照)と電極流入イ
オン電流密度との関係を示す図表である。
黒丸を実線で繋いだカーブは本実施例における実測値
である。
また、本実施例の装置から永久磁石7,8,9を取り外し
て従来例と同様の状態とした場合の実測値は白丸を鎖線
で繋いだカーブとなる。
本例によれば、半導体基板近傍のプラズマ密度を高く
維持できるので、イオン電流密度が高く、特に距離Hが
100〜150mmの広い時に2〜3倍と高密度プラズマの維持
に効果が大きい。
第7図は、電極中心からの距離と電極流入イオン電流
密度との関係を示した図表であり、黒丸を繋いだ実線は
本実施例、白丸を繋いだ鎖線は前記の永久磁石7,8,9を
取り外した従来例相当の装置における実測値である。本
実施例におけるイオン電流密度分布は、イオン電流密度
の絶対量が多いのみならず、電極周辺部におけるイオン
電流密度の低下が抑制されるので、φ200mmの大口径電
極においても高い均一性を達成することができる。
本実施例によれば、φ200mm以上の大口径半導体基板
の近傍のプラズマ密度を、高密度かつ均一に、しかも安
定した状態に維持することができるので、大口径半導体
基板を高速かつ均一に処理できる効果がある。また、プ
ラズマの処理室内壁への損失が抑制されるので、プラズ
マ中のイオン入射による内壁材料のスパッタ損傷が軽減
される。このため、内壁材料中の重金属による半導体基
板の汚染が軽減され、低ダメージで半導体基板を処理す
ることができる。
さらに、ドライエッチング処理においては、次のよう
な効果も得ることができる。ドライエッチングでは、プ
ラズマから半導体基板に入射するイオンのエネルギを制
御するために、下部電極15に高周波電源18より高周波バ
イアスを印加する。高周波バイアス電流はプラズマを通
って処理室内壁へと流れるが、プラズマ中の電子の運動
を規制する磁場が処理室内壁近傍のみに形成されるの
で、磁場によって抵抗は増大しても高周波バイアス電流
は半導体基板中央にも周辺にも均等に流れる。このた
め、半導体基板全面にわたって均一にイオンエネルギー
を制御することができる。また、磁場の形成が処理室内
壁近傍の狭い領域に限られるので、処理室全領域に強い
磁場を形成する場合に比べてプラズマと磁場との相互作
用によるイオンの加熱が小さい。したがって、プラズマ
と基板との間に形成されるシース間電圧に加速されて基
板に入射した際のイオンの速度角度分布が小さい。上述
のように、磁場を形成してもイオンエネルギを均一に制
御できかつイオンの指向性の乱れも小さいので、高段差
の微細パターンを異方性良く加工することができる。
本実施例では、空洞共振器内のマイクロ波の定在波モ
ードを円形TM01モードについて説明したが、本発明はこ
のモードに限定されるものではなく、空洞共振器は円
形、矩形、同軸いずれの形態、TM,TE,TEMいずれのモー
ドであってもよい。また、ヨークは本発明の必要構成用
件ではなく、ヨークがなくても第1の実施例と同様の効
果を得ることができる。
第8図は、本発明に係るマイクロ波プラズマ処理装置
の第2の実施例を示す縦断面図である。第9図は、第8
図におけるマイクロ波透過窓5と永久磁石7との位置関
係を示す部分縦断面図である。第8図,第9図におい
て、第1図に示した第1の実施例と同一構成要素には同
一符号を記した。本実施例の場合には、マイクロ波透過
窓5の内面近傍にも平行な磁場を形成することにより、
マイクロ波透過窓5へのプラズマ損失を抑制した。この
平行な磁場は、第9図に示す様に、マイクロ波透過窓5
の下面位置と永久磁石7の高さ方向の中心とを略同一に
設定することにより、形成することができる。第9図の
構成によりマイクロ波透過窓5の下面近傍に形成される
磁束密度の計算値をベクトル表示22で示す。
本実施例によれば、前記第1の実施例におけると同様
の動作により同様の効果が得られるのみならず、次の様
な効果をも得ることができる。すなわち、マイクロ波を
放射するスロット4下のプラズマ発生領域の電子寿命を
延ばすことができるので、低い電力でプラズマを安定に
生成することができる。また、マイクロ波透過窓5のプ
ラズマによるスパッタ作用が軽減されるので、マイクロ
波透過窓5の消耗を軽減することができる。したがっ
て、マイクロ波の放射状況の経時変化が小さく、プラズ
マを長期にわたって安定に生成することができる。
第10図は、本発明に係るマイクロ波プラズマ処理装置
の第3の実施例を示す縦断面図である。第1図に示した
第1の実施例と同一構成要件には同一符号を記した。
また、第10図の処理室6のCC縦断面は、第1の実施例
における第1図のCC断面図である第4図と同じなので省
略した。本実施例では、多極カスプ磁場を処理室6の外
周に偶数個設置した縦長の永久磁石7で構成し、かつ、
永久磁石7の上端がマイクロ波放射窓5の下面位置より
も空洞共振器1側に存在するように構成した。
本実施例によれば、第2の実施例と同様にマイクロ波
放射窓5の下面近傍にも下面に略平行な磁場を形成する
ことができるので、第1の実施例におけるのと同様の動
作により同様の効果が得られるのみならず、第2の実施
例と同様の効果をも得ることができる。
第11図は、本発明に係るマイクロ波プラズマ処理装置
の第4の実施例を示す縦断面図である。本実施例では、
第1の実施例における永久磁石7,8,9の代わりにコイル
磁石23,24,25を使って、処理室内壁近傍にのみプラズマ
の損失抑制領域を形成した。コイル磁石23,24,25の処理
室横断面図における配置も、第1の実施例の第3図およ
び第4図に相当して、互いに隣り合う極性が逆極性とな
る様に構成されている。第11図におけるその他の符号
は、第1図に示した第1の実施例と同一構成要素には同
一符号を記して示した。
本実施例においても、前記第1の実施例におけると同
様の動作により同様の効果が得られる。
第12図は、本発明に係るマイクロ波プラズマ処理装置
の第5の実施例を示す縦断面図である。第13図および第
14図は、それぞれ第12図の処理室6のEE断面およびFF断
面である。第12図および第13図、第14図において、第1
図に示した第1の実施例と同一構成要素には同一符号を
記した。本実施例の場合には、第12図に現われた永久磁
石7,8の処理室内壁側における極性は互いに逆極性であ
るが、第13図、第14図に示されているように処理室6の
横断面で見た極性配置は永久磁石7も8も処理室内壁側
において同極性の磁極が隣接している。
本例においては第12図に示されたように磁力線12′が
形成される。このような構成の第2の実施例において
も、前記第1の実施例におけると同様の動作により同様
の効果が得られる。
本実施例ではドライエッチング処理を例に説明した
が、本発明はプラズマを利用するプラズマCVD装置やア
ッシング装置の処理室にも適用し大口径基板の均一処理
に効果がある。
〔発明の効果〕
本発明によれば、処理室内壁近傍のみに磁力線が処理
室内壁に平行するような磁場を用いてプラズマ損失抑制
領域を形成するので、処理室中央領域では等方拡散によ
りプラズマの均等化を図るとともに、処理室内壁近傍で
はプラズマの損失が小さく抑制される。したがって、大
口径プラズマを得るために最外周のスロットピッチ径と
電極間隔を大きくとって荷電粒子の損失面積が増大して
も、大口径半導体基板近傍のプラズマを高密度かつ均一
性良く維持することができる。また、処理室内壁近傍の
各磁極位置には電子サイクロトロン共鳴条件の磁場を形
成すると、大口径プラズマを得るために最外周のスロッ
トピッチ径を大きくとってマイクロ波の電界強度が低下
しても、マイクロ波中のエネルギがプラズマ中の電子に
効率よく吸収されるので、大口径プラズマを安定に維持
することができる。
また、処理室内壁近傍にプラズマ損失抑制領域を形成
することにより、プラズマによる内壁材料のスパッタ損
傷が軽減されるので、半導体基板の処理室内壁からの重
金属汚染が軽減され、低ダメージで処理することができ
る。さらに、高周波バイアスの効果が半導体基板全面に
わたって均等に得られるので、イオンエネルギを基板全
面にわたって均一に制御することができる。
したがって、本発明によれば、大口径半導体基板を高
速で均一性良く、かつ低ダメージでプラズマ処理するこ
とができるので、半導体デバイス製造の生産性向上、歩
留り向上に効果がある。
【図面の簡単な説明】
第1図は本発明に係るマイクロ波プラズマ処理装置の第
1の実施例を示す縦断面図、第2図は第1図のAA断面
図、第3図は第1図のBB断面図、第4図は第1図のCC断
面図、第5図は第1図のDD断面図における磁束密度の実
測図、第6図および第7図は上記第1の実施例の効果を
説明するための図、第8図は本発明に係るマイクロ波プ
ラズマ処理装置の第2の実施例を示す縦断面図、第9図
は第2の実施例を実現するための磁石位置関係を示す部
分断面図、第10図は本発明に係るマイクロ波プラズマ処
理装置の第3の実施例を示す縦断面図、第11図は、本発
明に係るマイクロ波プラズマ処理装置の第4の実施例を
示す縦断面図、第12図は本発明に係るマイクロ波プラズ
マ処理装置の第5の実施例を示す縦断面図、第13図は第
12図のEE断面図、第14図は第12図のFF断面図である。 1…空洞共振器、2…導波管 4…スロット、5…マイクロ波透過窓 6…処理室、7,8,9…永久磁石 10,11…ヨーク、12,13,14…磁力線 15…下部電極、18…高周波電源 19…半導体基板 23,24,25…コイル磁石

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】プラズマ処理される基板を載置するための
    ステージを備えて真空排気手段とプラズマ処理用ガス導
    入手段とを接続したプラズマ処理室と、該プラズマ処理
    室と真空封止し且つマイクロ波を透過するマイクロ波透
    過窓を介して結合し、前記プラズマ処理室にマイクロ波
    を放射するスロットを備えた空洞共振器とを備え、プラ
    ズマ処理室に電磁界強度を強めたマイクロ波を供給して
    プラズマを発生して基板を処理するプラズマ処理装置で
    あって、プラズマ処理室の外部にプラズマ処理室内壁近
    傍でのプラズマの拡散を抑制して損失を低減する領域を
    形成する手段を設けたことを特徴とするプラズマ処理装
    置。
  2. 【請求項2】プラズマ処理される基板を載置するための
    ステージを備えて真空排気手段とプラズマ処理用ガス導
    入手段とを接続したプラズマ処理室と、該プラズマ処理
    室と真空封止し且つマイクロ波を透過するマイクロ波透
    過窓を介して結合し、前記プラズマ処理室にマイクロ波
    を放射するスロットを備えた空洞共振器と、プラズマ処
    理室内壁近傍でのプラズマの拡散を抑制して損失を低減
    する領域を形成する手段とを備えたプラズマ処理装置で
    あって、前記空洞共振器から前記スロットを介して放射
    されたマイクロ波で発生させたプラズマにより前記ステ
    ージに載置した基板を10-3T以下の磁場中で処理するこ
    とを特徴とするプラズマ処理装置。
  3. 【請求項3】前記損失を低減する領域を形成する手段
    を、複数個の永久磁石による多極カスプ磁場により構成
    したことを特徴とする請求項1又は2記載のプラズマ処
    理装置。
  4. 【請求項4】マイクロ波透過窓のプラズマに接する面に
    平行する磁場を形成して、マイクロ波透過窓へのプラズ
    マ損失を抑制したことを特徴とする請求項3記載のプラ
    ズマ処理装置。
  5. 【請求項5】前記損失を低減する領域を形成する手段
    を、複数個のコイル磁石による多極カスプ磁場で構成し
    たことを特徴とする請求項1又は3記載のプラズマ処理
    装置。
  6. 【請求項6】前記多極カスプ磁場の各磁極位置における
    磁束密度が電子サイクロトロン共鳴となるように設定さ
    れていることを特徴とする請求項3又は5記載のプラズ
    マ処理装置。
  7. 【請求項7】真空に排気した処理室の外部から内壁面近
    傍が中央部に比べて強い磁場が形成されている前記処理
    室の内部に高周波電力を供給し、該供給した高周波電力
    により前記処理室の内部に無極放電によるプラズマを発
    生させ、10-3T以下の磁場の領域に設置した基板を前記
    プラズマで処理することを特徴とするプラズマ処理方
    法。
  8. 【請求項8】真空に排気した処理室の外部から内壁面近
    傍が中央部に比べて強い磁場が形成されている前記処理
    室の内部に高周波電力を供給し、該供給した高周波電力
    により前記処理室の内部に無極放電によるプラズマを発
    生させ、該発生させたプラズマにより磁場の分布が10-3
    T以下の領域で基板を処理することを特徴とするプラズ
    マ処理方法。
  9. 【請求項9】前記処理室の内部に供給する高周波電力
    が、マイクロ波であることを特徴とする請求項7又は8
    に記載のプラズマ処理方法。
  10. 【請求項10】前記処理室の内部に供給する高周波電力
    が、空洞共振器で共振させたマイクロ波であることを特
    徴とする請求項7又は8に記載のプラズマ処理方法。
JP2256893A 1990-09-28 1990-09-28 マイクロ波プラズマ処理装置 Expired - Fee Related JP3020580B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2256893A JP3020580B2 (ja) 1990-09-28 1990-09-28 マイクロ波プラズマ処理装置
EP91116343A EP0477906B1 (en) 1990-09-28 1991-09-25 Plasma processing apparatus using plasma produced by microwaves
DE69123531T DE69123531T2 (de) 1990-09-28 1991-09-25 Plasma-Bearbeitungsgerät unter Verwendung eines mittels Mikrowellen erzeugten Plasmas
KR1019910016848A KR940008368B1 (ko) 1990-09-28 1991-09-27 마이크로파로 생성한 플라즈마를 사용하는 플라즈마 처리장치
US07/767,798 US5304277A (en) 1990-09-28 1991-09-30 Plasma processing apparatus using plasma produced by microwaves
US08/196,430 US5762814A (en) 1990-09-28 1994-02-15 Plasma processing method and apparatus using plasma produced by microwaves

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2256893A JP3020580B2 (ja) 1990-09-28 1990-09-28 マイクロ波プラズマ処理装置

Publications (2)

Publication Number Publication Date
JPH04136177A JPH04136177A (ja) 1992-05-11
JP3020580B2 true JP3020580B2 (ja) 2000-03-15

Family

ID=17298872

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2256893A Expired - Fee Related JP3020580B2 (ja) 1990-09-28 1990-09-28 マイクロ波プラズマ処理装置

Country Status (5)

Country Link
US (2) US5304277A (ja)
EP (1) EP0477906B1 (ja)
JP (1) JP3020580B2 (ja)
KR (1) KR940008368B1 (ja)
DE (1) DE69123531T2 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5804033A (en) * 1990-09-26 1998-09-08 Hitachi, Ltd. Microwave plasma processing method and apparatus
JP3020580B2 (ja) * 1990-09-28 2000-03-15 株式会社日立製作所 マイクロ波プラズマ処理装置
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
EP0537950B1 (en) * 1991-10-17 1997-04-02 Applied Materials, Inc. Plasma reactor
JP2570090B2 (ja) * 1992-10-08 1997-01-08 日本電気株式会社 ドライエッチング装置
DE4239843A1 (de) * 1992-11-27 1994-06-01 Leybold Ag Vorrichtung für die Erzeugung von Plasma, insbesondere zum Beschichten von Substraten
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5518547A (en) * 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
JP2921499B2 (ja) * 1996-07-30 1999-07-19 日本電気株式会社 プラズマ処理装置
WO2000037206A2 (en) * 1998-12-23 2000-06-29 Applied Science And Technology, Inc. Permanent magnet ecr plasma source with integrated multipolar magnetic confinement
JP3430959B2 (ja) * 1999-03-04 2003-07-28 東京エレクトロン株式会社 平面アンテナ部材、これを用いたプラズマ処理装置及びプラズマ処理方法
US6368988B1 (en) 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US6873113B2 (en) 2000-04-13 2005-03-29 Tokyo Electron Limited Stand alone plasma vacuum pump
US6541781B1 (en) * 2000-07-25 2003-04-01 Axcelis Technologies, Inc. Waveguide for microwave excitation of plasma in an ion beam guide
US6729850B2 (en) 2001-10-31 2004-05-04 Tokyo Electron Limited Applied plasma duct system
EP1614770B1 (en) * 2003-04-16 2010-10-13 Toyo Seikan Kaisha, Ltd. Microwave plasma processing method
JP4527431B2 (ja) * 2004-04-08 2010-08-18 東京エレクトロン株式会社 プラズマ処理装置
US7255062B1 (en) * 2004-05-07 2007-08-14 Higman Kumiko I Pseudo surface microwave produced plasma shielding system
EP2221307A1 (en) * 2004-05-26 2010-08-25 Inotek Pharmaceuticals Corporation Purine derivatives as adenosine A1 receptor agonists and methods of use thereof
US7400096B1 (en) * 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US20110076420A1 (en) * 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) * 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US8057649B2 (en) * 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8349156B2 (en) * 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US20100080928A1 (en) * 2008-09-26 2010-04-01 Tango Systems, Inc. Confining Magnets In Sputtering Chamber
US20100078315A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
TW201130007A (en) * 2009-07-09 2011-09-01 Applied Materials Inc High efficiency low energy microwave ion/electron source
SG182285A1 (en) 2010-01-11 2012-08-30 Inotek Pharmaceuticals Corp Combination, kit and method of reducing intraocular pressure
AU2011230580A1 (en) 2010-03-26 2012-10-11 Inotek Pharmaceuticals Corporation Method of reducing intraocular pressure in humans using N6 -cyclopentyladenosine (CPA), CPA derivatives or prodrugs thereof
TWI434624B (zh) * 2010-07-02 2014-04-11 Ind Tech Res Inst 電子迴旋共振磁性模組與電子迴旋共振裝置
EP3002996B1 (en) 2011-06-09 2020-03-25 Korea Basic Science Institute Neutral particle beam source including belt-type magnets and microwave irradiating equipment
DK2807178T3 (en) 2012-01-26 2017-09-04 Inotek Pharmaceuticals Corp Anhydrous polymorphs of (2R, 3S, 4R, 5R) -5- (6- (cyclopentylamino) -9H-purin-9-yl) -3,4-dihydroxytetrahydrofuran-2-yl) methyl nitrate and processes for their preparation
JP2014112644A (ja) * 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
NZ630759A (en) 2013-03-15 2017-07-28 Inotek Pharmaceuticals Corp Ophthalmic formulations comprising an a1 agonist
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
US11037764B2 (en) * 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5613480A (en) * 1979-07-13 1981-02-09 Hitachi Ltd Dry etching apparatus
JPH0653927B2 (ja) * 1985-07-09 1994-07-20 松下電器産業株式会社 マイクロ波プラズマcvd装置
JPH0654644B2 (ja) * 1985-10-04 1994-07-20 株式会社日立製作所 イオン源
JPS6338585A (ja) * 1986-08-01 1988-02-19 Hitachi Ltd プラズマ装置
US4776918A (en) * 1986-10-20 1988-10-11 Hitachi, Ltd. Plasma processing apparatus
DE3774098D1 (de) * 1986-12-29 1991-11-28 Sumitomo Metal Ind Plasmageraet.
JPH07120648B2 (ja) * 1987-01-12 1995-12-20 日本真空技術株式会社 マイクロ波プラズマ処理装置
JPH0616500B2 (ja) * 1987-03-30 1994-03-02 富士電機株式会社 乾式薄膜加工装置
JPH0687440B2 (ja) * 1987-05-11 1994-11-02 松下電器産業株式会社 マイクロ波プラズマ発生方法
JPH0831443B2 (ja) * 1987-08-10 1996-03-27 株式会社日立製作所 プラズマ処理装置
KR920002864B1 (ko) * 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
JPH01120826A (ja) * 1987-11-04 1989-05-12 Hitachi Ltd 表面処理方法および表面処理装置
JP2567892B2 (ja) * 1988-01-22 1996-12-25 株式会社日立製作所 プラズマ処理装置
JPH0217636A (ja) * 1988-07-06 1990-01-22 Hitachi Ltd ドライエッチング装置
JP2760845B2 (ja) * 1988-07-08 1998-06-04 株式会社日立製作所 プラズマ処理装置及びその方法
JPH0281434A (ja) * 1988-09-19 1990-03-22 Hitachi Ltd プラズマ処理装置
JP2670623B2 (ja) * 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JP3020580B2 (ja) * 1990-09-28 2000-03-15 株式会社日立製作所 マイクロ波プラズマ処理装置

Also Published As

Publication number Publication date
EP0477906A2 (en) 1992-04-01
DE69123531D1 (de) 1997-01-23
JPH04136177A (ja) 1992-05-11
US5304277A (en) 1994-04-19
KR920007113A (ko) 1992-04-28
EP0477906B1 (en) 1996-12-11
EP0477906A3 (en) 1992-05-06
KR940008368B1 (ko) 1994-09-12
DE69123531T2 (de) 1997-04-03
US5762814A (en) 1998-06-09

Similar Documents

Publication Publication Date Title
JP3020580B2 (ja) マイクロ波プラズマ処理装置
US4610770A (en) Method and apparatus for sputtering
EP0300447B1 (en) Method and apparatus for treating material by using plasma
JP3044204B2 (ja) プラズマ処理装置
US20050082006A1 (en) Plasma processing apparatus
JP2570090B2 (ja) ドライエッチング装置
JPH09266096A (ja) プラズマ処理装置及びこれを用いたプラズマ処理方法
JP3254069B2 (ja) プラズマ装置
JPS5813626B2 (ja) イオンシヤワ装置
US5234565A (en) Microwave plasma source
JP4408987B2 (ja) スパッタ処理応用のプラズマ処理装置
JP2709162B2 (ja) マイクロ波プラズマ処理装置
JP2920852B2 (ja) マイクロ波プラズマ装置
JP2000323463A (ja) プラズマ処理方法
JP3045619B2 (ja) プラズマ発生装置
JPH0578849A (ja) 有磁場マイクロ波プラズマ処理装置
JP3205542B2 (ja) プラズマ装置
JP2634910B2 (ja) マイクロ波プラズマ処理装置
JP2913121B2 (ja) Ecrプラズマ発生装置
JPH02156526A (ja) マイクロ波プラズマ処理装置
JP2777657B2 (ja) プラズマ付着装置
JP3071450B2 (ja) マイクロ波プラズマ処理装置
JP2727747B2 (ja) マイクロ波プラズマ発生装置
JP2909992B2 (ja) マイクロ波放電反応装置
JPH0695501B2 (ja) エッチング方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees