JP3044204B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置

Info

Publication number
JP3044204B2
JP3044204B2 JP9252109A JP25210997A JP3044204B2 JP 3044204 B2 JP3044204 B2 JP 3044204B2 JP 9252109 A JP9252109 A JP 9252109A JP 25210997 A JP25210997 A JP 25210997A JP 3044204 B2 JP3044204 B2 JP 3044204B2
Authority
JP
Japan
Prior art keywords
substrate
plasma
magnetic field
chamber
accelerated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP9252109A
Other languages
English (en)
Other versions
JPH10228998A (ja
Inventor
オーカワ チヒロ
Original Assignee
トーヨー テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by トーヨー テクノロジーズ インコーポレイテッド filed Critical トーヨー テクノロジーズ インコーポレイテッド
Publication of JPH10228998A publication Critical patent/JPH10228998A/ja
Application granted granted Critical
Publication of JP3044204B2 publication Critical patent/JP3044204B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は全般的に、エッチン
グあるいはデポジションによって基板の表面を変化させ
るための装置およびシステムに係るものである。もっと
詳細にいうと本発明はエッチングあるいはデポジション
のためにプラズマを用いる装置およびそのプロセスに関
するものである。本発明は特に、集積回路の製造におけ
る基板表面のエッチングとデポジションに関して有益で
あるがこれらに限定されない。
【0002】
【従来の技術】良く知られているように、プラズマはイ
オン化された気体状の放電体であり、自由電子と、荷電
イオンと、中性核種とからなっており、ここでは最後者
を単に中性粒子と呼ぶことが時々である。また、プラズ
マの特定の構成にもよるが、プラズマが基板表面をエッ
チングしたり、あるいは基板表面上に物質を沈積させた
りするために用いることができることも良く知られてい
る。しかしながら、プラズマエッチングやプラズマデポ
ジションに関する現在の方法には幾つかの欠点がある。
【0003】
【発明が解決しようとする課題】プラズマエッチング及
びプラズマデポジションに関する限り、プラズマのある
種の物理特性が特に重要である。第1に、プラズマの自
由電子の速度が荷電イオンの速度を大きく上回っている
ことが基本的に知られている。また、プラズマ内の負の
荷電核種から粒子が形成されることも知られている。さ
らに、プラズマ内での自由電子の移送には、磁場が大き
く影響することも知られている。特に、磁場に対して直
角な方向におけるプラズマの流れは強く抑制される。こ
れらのことを考慮に入れると、ある種の雰囲気が想定さ
れるはずである。
【0004】もし、プラズマ内で高速で移動する自由電
子の流れを制御するものがなければ、自由電子はプラズ
マから離れていくであろう。従って、プラズマは正の電
荷になろうとするであろう。この状態は、さらに、プラ
ズマ内で負の電荷の粒子の形成と保持とを促進する。し
かしながら、これらの粒子は望ましくないことに、基板
表面のデポジションあるいはエッチングの品質を低下さ
せる。他の問題は、もしプラズマが基板の表面から幾分
でも絶縁されていなければ、基板が過熱状態になって、
それによって多分損傷を受けることがあるという事実か
ら生じる。このことは、高いプラズマ密度が得られた時
にはもっと困ったことになる。
【0005】単にプラズマを発生させることに加えて、
効率的なプラズマエッチングあるいはプラズマデポジシ
ョンを行うためには、装置の機能についても制御する必
要がある。別の言い方をすると、装置の操作者が正のイ
オンの流れと、変化させようとしている基板の表面へ向
かう中性粒子の流れとを独立的に制御できることをが望
ましい。さらに、前記表面が予想通りに変化されること
が明らかに望ましい。このことの意図的な結果として
は、プラズマが変化させようとしている基板の表面全体
において均等な密度を有している必要があるということ
にある。最後に、装置が短時間の内に応答し、機能する
ことができるということが望ましい。
【0006】
【課題を解決するための手段】上述したことより、本発
明の目的は、大きな表面積を有した基板の表面を変化さ
せるためのプラズマ処理装置を提供することにある。本
発明の他の目的は、比較的高速で基板の表面をエッチン
グしたり、あるいは基板の表面上への物質を沈積させる
ことのできる、基板の表面を変化させるためのプラズマ
処理装置を提供することにある。本発明のさらに他の目
的は、プラズマから基板の表面へ向かうイオンあるいは
中性粒子の流れを制御することのできる、基板の表面を
変化させるためのプラズマ処理装置を提供することにあ
る。本発明の他の目的は、ビーム状のイオン流を基板へ
向かって発生させ、基板に深い溝を切り込むことのでき
る、基板の表面を変化させるためのプラズマ処理装置を
提供することにある。本発明のさらに他の目的は、プラ
ズマ内に望ましくない粒子が形成されるのを防ぎ、基板
の表面を変化させるためのプラズマ処理装置を提供する
ことにある。本発明の他の目的は、均等な密度を有した
プラズマを発生させることのできる、基板の表面を変化
させるためのプラズマ処理装置を提供することにある。
本発明のさらに他の目的は、基板を大きな電界から絶縁
する、基板の表面を変化させるためのプラズマ処理装置
を提供することにある。また本発明の目的は、製造が比
較的簡単で、使用しやすく、かつ比較的コスト効果のあ
る、基板の表面を変化させるためのプラズマ処理装置を
提供することにある。
【0007】
【発明の実施の形態】本発明に関して言うと、基板の表
面を変化させるための装置は、基板を気体環境の中で保
持するための容器と、プラズマを発生させるための、該
容器内のイオン化気体のためのアンテナと、基板をプラ
ズマから絶縁する磁場を発生させるための磁石とからな
っている。本発明に関して言うと、前記装置は、プラズ
マから中性物質を表面上へ沈積させることにより、ある
いはプラズマからのイオンおよび中性粒子によって表面
をエッチングすることにより、基板の表面を変化させる
ために用いることができる。
【0008】本発明の装置に対して用いられるようにな
っている容器は、好ましくは円筒形状をしており、その
円筒部は中空であって、容器のためのチェンバーを形成
している。このチェンバーの壁部は、好ましくは、ガラ
スのような絶縁材料で作られている。該容器の他の例と
しては、容器の壁部が金属のような強い材料で作ること
もできる。しかしながらこの実施例の場合には、金属壁
部は、好ましくは、絶縁体で内張りされる。本発明に関
して言うと、この絶縁内張りは壁部全体を被覆しても、
あるいは壁部を単に部分的に被覆してもよい。
【0009】本発明の装置におけるアンテナは容器の外
側に配置され、チェンバーの中へRFエネルギーを向け
るように位置付けられている。特に、好ましくは、いわ
ゆる電磁音波の形をしたRFエネルギーがアンテナから
チェンバー内の所定のイオン化区域の方へ向けられる。
このようにして、チェンバー内においては、これらのイ
オン化区域の中でプラズマが発生される。
【0010】前記磁石は、前記アンテナと同様に、容器
の外側に配置される。重要なことは、該磁石によって発
生される磁場の磁束線が、チェンバー内において、基板
の表面に対してほぼ平行になるように整列されているこ
とである。本発明の目的のために、永久磁石あるいは電
磁石のいずれでも用いることができる。さらに、チェン
バー内の磁場は、プラズマの均等性を強化するために回
転することができる。しかしながら、この回転作用は、
磁束線が基板の表面に対してほぼ平行に保持される場合
に限り、行うことができる。
【0011】本発明の装置を組み立てる場合には、基板
は容器のチェンバー内の軸線方向中央に配置される。ま
た、上述したように、基板は、変化させようとする基板
の表面が磁場の磁束線に対してほぼ平行になるように、
チェンバー内に配置される。次に前記アンテナが、基板
の表面とチェンバーの壁部との間に位置したイオン化区
域の中へRFエネルギーを向けさせるように調節され
る。
【0012】本発明の装置を操作する場合には、基板が
チェンバー内に正しく配置され、該チェンバーが適当な
気体で充填された後で、アンテナが励起されて、所定の
イオン化区域に存在する気体の部分をイオン化する。良
く知られているように、このイオン化作用の結果、自由
電子と、イオンと、イオン化されていない分子あるいは
原子(即ち中性粒子)とからなるプラズマが発生する。
磁場が存在しない場合には、より速く移動する自由電子
が結局はプラズマから離れていき、プラズマは基板に比
較して正の電荷になるであろう。しかしながら、本発明
に関して言うと、絶縁されたチェンバーの壁部とチェン
バーの磁場の方向とによって、プラズマに関して幾つか
の異なった結果が得られる。
【0013】第1の結果として、容器内で発生したプラ
ズマは基板の表面から磁気的に絶縁される。このこと
は、荷電粒子に対する影響の大きさの順番として、磁場
が自由電子により大きな影響を与え、イオンに対しては
与える影響が小さく、中性粒子に対しては全く影響を与
えないからである。従って、本発明の装置に関して言う
と、磁場に対して直角な方向(即ち、基板の表面に向か
う方向)における自由電子の移動は、より遅く移動する
正の電荷のイオンの移動よりも大きく抑制される。結果
として、自由電子はプラズマと共に残留しようとし、さ
らに正の電荷のイオンを引きつけようとする。従って、
これらのイオンもまた、壁部が電気的に絶縁されている
ので、プラズマと共に残留しようとする。このことの1
つの結果として、基板に向かうプラズマの流れは、中性
粒子の流れに影響を与えることなしに、大きく減少す
る。
【0014】第2に、磁場は基板に向かう電子の移動速
度をイオンの移動速度に比べてより遅くさせるので、プ
ラズマは基板に対して負に荷電することになる。従っ
て、プラズマは残留せず、負の電荷の粒子の生長を促進
し、基板の表面を簡単に汚染することができる。
【0015】上述したように、容器内のプラズマは所定
のイオン化区域において発生する。さらに、これもまた
上述したように、これらのイオン化区域は容器の壁部と
基板の表面との間に位置されている。さらに、前記イオ
ン化区域はチェンバーの中で互いに他に対して直径方向
において反対の位置に位置している。その結果、基板に
表面の直上において、前記イオン化区域の間にある領域
が存在することになる。実際、基板の表面全体がこの領
域の下方に位置する。重要なことであるが、プラズマが
磁場に沿っては簡単に流れ、他方磁場を横切る方向への
プラズマの移動は非常にゆっくりしているという事実に
より、前記領域内のプラズマは密度が均等になる。
【0016】容器の内面に電荷が蓄積するのを防ぐため
に、プラズマの領域を方位角方向において完全に取り囲
む共通の電位を確立するために、導電体を用いることが
できる。特に、この目的のために、容器の内側の絶縁面
上に複数個の導電体を取り付けることができる。本発明
に関していうと、これらの導電体は、好ましくは、複数
個のリングであり、金メッキのようなよく知られた導電
材料でできている。さらに、各々のリングは軸線方向に
他のリングから分離されており、各々のリングは基板の
表面にほぼ平行に向かった平面の中で容器上に取り付け
らている。従って、導電体リングは方位角方向に電界が
発生する可能性をなくしている。同時に、磁場による基
板からのプラズマの絶縁が維持される。
【0017】基板の表面上へ物質を沈積させることが望
ましい場合の装置の操作に関していうと、上述したよう
に基板の表面の上の前記領域においてプラズマが発生さ
れる。プラズマ内の中性粒子に対する磁場の影響が無視
できるので、これらの中性粒子は基板の表面に向かう移
動は効率的には抑制されないであろう。従って、また前
記領域内のプラズマが均等な密度になっているので、中
性粒子は基板の表面へ均等に沈積することができる。磁
場によって基板へのプラズマの流れが減少されるので、
イオンを基板に衝突させることによる熱負荷と損傷もま
た減少する。従って、基板を不必要に加熱することなし
に、大きなデポジション率を得ることができる。
【0018】基板の表面をエッチングすることが望まし
い場合の装置の操作に関していうと、デポジションの場
合と同じ様な方法でプラズマが発生される。さらに、エ
ッチングのために、基板は周期的に荷電され、プラズマ
からイオンを基板の表面へ引きつける。これらのイオン
および中性粒子が当業界でよく知られている方法によっ
て表面をエッチングする。好ましくは、本発明の目的に
関していうと、基板は容量的に荷重される。しかしなが
ら、他の実施例においては、容器の壁部においてプラズ
マと接触するための電極を配置することができる。次に
該電極は基板と電気的に接続される。このことによっ
て、さらに、今は負の電荷になった基板が、正の電荷の
エッチングイオンをプラズマから引きつける。磁性的に
絶縁されているので、電子の移動は大きく減少し、この
ことによってイオンの抽出及び加速のために高い電圧を
かけることができる。ビーム状のイオン流が発生され
て、これは狭い溝のエッチングに適している。
【0019】加速された中性粒子によるエッチングが望
ましい場合の装置の操作に関していうと、プラズマ領域
の近くにおける容器の内側絶縁壁部に、ベース電極とア
クティブ電極とを取り付けることができる。好ましく
は、該ベース電極もアクティブ電極も環状形状になって
おり、各々が容器の内壁に取り付けられ、エッチングし
ようとする基板の表面にほぼ平行な平面を画定してい
る。重要なことは、前記アクティブ電極がベース電極と
基板との間に配置されていることである。さらに、前記
ベース電極と基板とは互いに電気的に接続されており、
それらはほぼ同じ電位を有している。他方、アクティブ
電極は電源に接続されており、選択的に励起することが
でき、ベース電極と基板とに対して負の電位を有するこ
とができる。このように構成要素を特別に配置すること
により、アクティブ電極に負の電荷が確立され、プラズ
マ内の正の電荷のイオンを、ベース電極からアクティブ
電極に向かう、従って、基板に向かう全体的な方向にお
いて加速させることになる。この目的のために、磁場の
強度は加速されたイオンの偏向を無視できるものにし、
さらに電子が電圧短絡しないように設定されるべきであ
る。
【0020】もし、正の電荷のイオンが幾らかでも変化
されないような場合には、アクティブ電極の励起によっ
て基板へ向かって加速された正の電荷のイオンはアクテ
ィブ電極を通過した後は遅くなり、ベース電極は向かっ
て後方へ加速され、プラズマの中へ入っていく。しかし
ながら、正の電荷のイオンは、全てではないがこの過程
の中で不変状態で維持される。特に、プラズマ内の正の
電荷のイオンと中性粒子との間で電荷交換作用が行われ
ることにより、加速された正の電荷のイオンは加速され
た中性粒子となる。これらの加速された中性粒子は基板
の方へ向かい続け、基板と衝突し、基板を励起する。こ
の励起された基板はプラズマから熱中性粒子と共に作用
して基板をエッチングさせる。
【0021】本発明自身及び本発明の新規な特徴は、そ
の構造に関しても、またその操作に関しても共に添付し
た説明と関連した添付図面を参照すると最もよく理解で
きるであろう。図においては同一の部品には同一の参照
番号が付けられている。
【0022】図1を参照すると、基板の表面を変化させ
るためのプラズマを処理するための装置が示されてお
り、全体的に10で表されている。図1においてわかる
ように、該装置10は壁部14と頂部16とからなる容
器12を有している。好ましくは、該容器12は全体的
に円筒形状になっており、ガラスのような絶縁材料でで
きている。本発明の目的のために、該容器12は基礎1
8上に配置されており、容器12の壁部14及び頂部1
6と該基礎18との間においてチェンバー20を形成し
ている。前記容器12を製作するためにはガラス以外の
他の材料を用いることもできることが理解できる。もし
そのような場合においては、容器12の壁部14は絶縁
材料で内張りして、チェンバー20を絶縁しなければな
らない。
【0023】前記装置10はまたアンテナ22を有して
いる。図1で示したように、該アンテナ22は容器12
の外部に取り付けられ、全体的に容器12の頂部16を
全体的に横切って配置されている。この位置から該アン
テナ22はチェンバー20の中へ無線周波(r−f)出
力を伝播する。該アンテナ22は関連業界においてよく
知られているどのようなタイプのものであってもよい。
しかしながら、好ましくは、該アンテナ22は、アンテ
ナ22によって伝達されるr−f出力の伝播パターンを
制御する能力を有したらせんアンテナである。以下の説
明から明らかになるように、この伝播パターンがチェン
バー20内の所定のイオン化区域の中へr−f出力を向
けさせるように調整されることが重要である。
【0024】図1はまた前記装置10がペデスタル24
を有していることを示している。特に、該ペデスタル2
4は基礎18の上に取り付けられ、容器12によって取
り囲まれている。その形状は、ペデスタル24がチェン
バー20の中へ突出するように配置したものになってい
る。基板26はペデスタル24の上に配置されて、基板
26の表面28をチェンバー20に向けるようになって
いる。本発明の場合においては、該基板26は、装置1
0の操作者がプラズマエッチングあるいはプラズマデポ
ジションによって変化させようとする表面28を有して
いる材料であれば、どのようなものでできていてもよ
い。
【0025】実質的には図1に示したように、容器12
の外部に磁石30が配置されている。重要なことである
が、該磁石30の磁場は、線32によって示され、表現
されているように、基板26の表面28にほぼ平行にな
って方向づけられている。本発明によって考えられてい
るように、前記磁石30は、永久磁石あるいは電磁石の
ような、当業界に良く知られているどのようなタイプの
ものであってもよい。どのようなタイプの磁石が使用さ
れていても、上述したようにそれとは関係なく、磁場3
2が変化させようとしている表面28にほぼ平行に向け
られていることが重要である。また、磁場32を容器1
2の対称中心的でかつ表面28に対して全体的に垂直な
軸線の周りで回転できることも有利である。たとえそう
なっていても、同様に上述したように、磁場32が表面
28にほぼ平行に向けられ、かつ容器12の中心軸線に
対して垂直に維持されることが重要である。本発明の目
的に関していうと、該磁場32は、関連業界において現
在知られているどのような手段によって回転されてもよ
い。
【0026】もし望みならば、電源34を設けてもよ
く、これは導線36を介して充電板38に電気的に接続
されている。図示したように、該充電板38はペデスタ
ル24上に取り付けられ、基板26に対して容量的に接
続されている。当業界において良く知られた方法によっ
て、前記電源34は、基板26の電位を選択的に変化さ
せるように作動させることができる。基板26の電位を
変化させるという同じ目的のために確立された他の手段
においては、電極40をチェンバー20の中で容器12
の壁部14の上に取り付けてもよい。この手段において
は、該電極40は導線42とスイッチ44とを介して電
源34に連結されている。スイッチ44を操作すると、
電源34を導線45を介して基板26に直接的に接続す
ることができる。
【0027】本発明に関する装置10の他の実施例にお
いては、容器12が複数個の導線リングを有していても
よく、導線50a,50b,50cが代表的に示されて
いる。図2で示したように、該導線50は環状形状を有
し、プラズマを閉じ込めている領域48をほぼ取り囲む
ために、壁部14の内側に取り付けられている。好まし
くは、該導線リング50は、金メッキのような、当業界
に良く知られている良好な導電性材料でできている。更
に、図2を参照するとよいが、該導線リング50の各々
は基板26の表面28に対してほぼ平行になった平面を
画定しており、互いに他のそれぞれの導線リング50の
平面に対して平行になっている。
【0028】前記磁石30が基板26の表面28にほぼ
平行になった磁束とともに方向づけられた磁場32(図
1参照)を発生させていることを思い出そう。前述した
ように、特定の方向における磁場32は、基板26をプ
ラズマ内の自由電子から絶縁する。しかしながら、該自
由電子はまだ磁束線に沿って自由に運動することがで
き、従って容器12の壁部14上に電荷を蓄積すること
ができる。しかしながら、前記導線リング50は、図2
の矢印52で示したような方位角方向において、壁部1
4上での電子の自由運動を許している。結果的に、壁部
14上における非均一的な方位角的な電荷の蓄積が防止
され、同時に、磁場32は基板26のプラズマ内の自由
電子からの絶縁状態を維持することになる。
【0029】図2はまた、ベース電極54とアクティブ
電極56とを容器12の壁部14に取り付けることがで
きることを示している。本発明の目的に関して言うと、
該電極54、56は環状形状を有し、プラズマを閉じ込
めている領域48を全体的に取り囲んでいる。また、該
アクティブ電極は、図2において実質的に示した導線6
0を介して電源58に接続されている。当業界において
よく知られた手段によって、該電源58は選択的に作動
されて、アクティブ電極56上に負の電荷を与えること
ができる。他方、前記ベース電極54と基板26とはほ
ぼ同一の一定電位に維持され、これは基板26とベース
電極54をそれぞれ導線62と64とによって大地66
に接続することによって確立される。重要なことは、ベ
ース電極54がアクティブ電極56から分離され、アク
ティブ電極56がベース電極54と基板26との間に配
置されていることである。
【0030】操 作 本発明の装置10の操作においては、基板26はその表
面28を露出させてペデスタル24の上に配置される。
次にペデスタル24と基板26とが容器12のチェンバ
ー20の内側に配置される。この時点においてチェンバ
ー20が密封される。次に、プラズマにイオン化される
気体材料がチェンバー20の中へ注入される。本発明の
装置10と共に使用される特定の気体は一般的には選択
されるものであるが、エッチング操作に対しては四弗化
炭素が良く適しており、デポジション操作に対してはシ
ランが適しているということがわかっている。
【0031】基板26が一旦チェンバー20の中に配置
されると、磁石30を調節することによって、その磁場
32を基板26の表面28に対してはほぼ平行に向けさ
せることが必要となる。本発明の目的のために最適な磁
場強度は、50ないし1000ガウスである。次にアン
テナ22が作動されてr−f出力をチェンバー20の中
へ向ける。本発明に関しては、もっと広範囲の周波数を
用いることができる。好ましくは、アンテナ22からの
r−f出力の周波数は1メガヘルツから1ギガヘルツの
範囲内にある。
【0032】本発明の目的のために、アンテナ22はr
−f出力をチェンバー20の中へ配置されたイオン化区
域46の中へ主に向けるような形状になった発生器であ
る。特に、これらのイオン化区域46は基板26と容器
12の壁部14との間に位置している。好ましくは、こ
のr−f出力は電磁音(EMS)波の形をしている。E
MS波の方を優先するのは、装置10によって確率され
る磁場絶縁が、変成器結合プラズマ(TCP)に関して
用いられる同様なアンテナによって通常発生されるよう
な方位角方向の誘引を防ぐという事実によるものであ
る。従って、磁場絶縁と共立できる装置10に対して
は、r−f加熱法を用いることが重要である。前記EM
S波は磁場の中を横切って伝播することができるので、
この目的のためにはEMS波が適している。従って、ア
ンテナ22の設計と、使用される特定のr−f周波数と
は、EMS波を発生するような方法で選択しなければな
らない。
【0033】図1に示されたイオン化区域46a,46
bは、前記区域46を代表している。基板26の表面2
8より上で、かつチェンバー20内のイオン化区域46
aと46bとの間において、前記領域48が存在する。
本装置10の操作における領域48の重要性は、チェン
バー20の中でプラズマが発生された時に発揮される。
【0034】上述したように、r−f出力がチェンバー
20の中へ伝播されると、プラズマがイオン化区域46
の中で発生される。容器12の壁部14が絶縁材料(例
えばガラス)でできているので、またチェンバー20内
の磁場32の方向(即ち表面28に平行)のために、発
生されるプラズマは全体的に区域46および、該区域4
6の間の領域48に閉じ込められるであろう。このこと
は幾つかの相互に関係する理由によって生じる。第1
に、壁部の軸線方向の絶縁特性がプラズマ内の自由電子
が壁部14を伝導することによってプラズマから出てい
くのを防ぐことによって、電子と壁部へのイオン束とを
均等化させる。第2に、磁場32は磁場32に対して垂
直な方向へプラズマが移送されるのを防いでいる。従っ
て、基板26へ向かう自由電子の束が大きく減少され
る。第3に、磁場32は磁場32に平行な方向へのプラ
ズマの移送に対して、例えあるとしてもほとんど影響を
与えないので、領域48の中でプラズマ密度が自由かつ
均等に増加される。これらの全ての結果として、プラズ
マは基板26に関して負の電荷に維持される。また、非
常に重要なことに、磁場32は基板26をプラズマ内で
発生する熱から効果的に絶縁し、従って、基板26は受
容できない熱損傷を受けることがない。
【0035】デポジション操作に関していうと、前記領
域48の中でプラズマが発生され、プラズマの発生の結
果として生じる中性粒子は基板26の表面28上に沈積
することができる。当業界において認められているよう
に、表面28を被覆するためにマスクを用いて、表面2
8の選択された部分においてしかデポジションが行われ
ないようにすることができる。さらに、本装置10に関
して可能となるように、前記領域48内のプラズマ密度
が増加し、プラズマ密度が均等化されるので、表面28
上への沈積は迅速に行うことができ、かなりの歩どまり
を得ることができる。
【0036】エッチング操作に関して言うと、正の電荷
のイオンが基板26の表面28に引きつけられることが
必要である。従って、基板26はプラズマに対して負の
電荷を確立することが望ましい。このような電荷におい
ては、プラズマからの正の電荷のイオンは表面28に引
きつけられ、該表面をエッチングするであろう。基板2
6に対して負の電荷を確立することは幾つかの方法で行
うことができる。
【0037】再び図1を参照すると、電源34が選択に
作動されて、充電板38上、および基板26上には容量
的に、負の電荷をかけることができることがわかるであ
ろう。このことによって正の電荷のイオンはイオンビー
ムとなって表面28に向かって引きつけられるであろ
う。このイオンビームは表面28においてトレンチエッ
チングを行うのに非常に有効である。他の実施例におい
ては、プラズマ内の自由電子は電極40によって集めら
れるようになっている。次にスイッチ44を作動させる
と、電源34に直結された基板26は負の電荷を与えら
れ、プラズマ内のイオンはエッチングのために表面28
の方へ引きつけられる。
【0038】前記充電板38と基板26との間のコンデ
ンサー的な結合に関していうと、正味の電荷が容量的に
伝達できないことが良く知られている。時間平均は消滅
するはずである。このことを考えると、充電板38には
負のパルスが加えられ、プラズマイオンは基板26に向
かって加速される。これらの負のパルスの間に、小さな
正の電圧が充電板に加えられ、その時間間隔は負のパル
スの時間間隔より長い。プラズマ電子は、充電板が若干
の正の電荷に保たれている間に、磁場絶縁を横切ってゆ
っくりと基板に到着する。言い換えると、前記基板は電
子が遅れて到着した後のイオンビームを受けることにな
る。時間積分された正味の電荷は零である。
【0039】加速された中性粒子によるエッチングが望
みの場合には、図2に示された装置10の容器12に関
する実施例が用いられる。この特別な実施例の場合に
は、正の電荷のイオンと、中性粒子と、高エネルギーの
中性粒子とからなるプラズマが発生されるはずであるこ
とがわかる。もっと詳しくいうと、領域48内にそのよ
うなプラズマが存在する場合には、アクティブ電極56
は電源58から負の電荷でパルスを受ける。これらの負
のパルスは、プラズマ内の正の電荷のイオンを、ベース
電極54(ほぼ中性の電位)から、アクティブ電極56
(相対的に負の電荷)へ、従ってまた基板26へ向かう
全体的な方向において加速させる。これらの加速中に、
現在加速されている正の電荷のイオンと中性粒子とが衝
突することによって、電荷の交換作用が結果として生じ
るであろう。これらの電荷交換作用の結果として、加速
された正の電荷のイオンが加速された中性粒子に転換さ
れる。該加速された中性粒子は、アクティブ電極56に
おけるあらゆる負の電荷によっても妨害されることなし
に、基板26の表面28ヘ向かって前進する。他方、電
荷交換作用を経験しなかった加速された正の電荷のイオ
ンはアクティブ電極56における負の電荷によって影響
を受け、アクティブ電極56を通過した後は、プラズマ
へ向かって後方へ加速され、基板26から離れてしま
う。
【0040】この処理の過程中においては、基板26の
表面28と衝突した加速された中性粒子が表面28を励
起する。この励起作用によって表面28は、基板26と
プラズマ内に存在している熱中性粒子との間でさらに反
応するための準備ができる。関連業界においてよく知ら
れているように、基板26とプラズマ内の熱中性粒子と
の間の反応の結果、基板26の表面28がエッチングさ
れる。
【0041】ここで図示し、詳細に記載してきたよう
に、基板の表面を変化させるためにプラズマを処理する
ための特別なシステム/装置は、前述したような目的を
得たり、利点を提供したりすることが完全に可能である
が、これが本発明の好的実施例を単に説明するだけのも
のであり、添付した特許請求の範囲に記載された以外
の、ここで示された構造あるいは設計の詳細に限定しよ
うとするものではないことが理解されるはずである。
【図面の簡単な説明】
【図1】本発明の装置の構成体のサブアセンブリを示す
概略断面図。
【図2】実施例とアンテナとを明瞭化のために取り外し
た、本発明における容器の他の実施例の透視図。
【符号の説明】
12 絶縁体(容器) 20 チェンバー 22 アンテナ 26 基板 28 表面 30 磁石 32 磁場 46 イオン化区域 48 磁場領域 50 リング 54 ベース電極 56 アクティブ電極 58 電源
フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 21/3065 H01L 21/302 B (56)参考文献 特開 昭64−20622(JP,A) 特開 平8−22979(JP,A) 特開 平9−260355(JP,A) 特開 平3−12926(JP,A)

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 基板の表面を変化させるためのプラズマ
    処理装置において、 該表面に対してほぼ平行な磁束線に沿って方向づけられ
    た磁場を確立するための磁石と、該表面に隣接して位置した、該磁場内の領域 を絶縁する
    ための絶縁体と、 前記磁束線に対してほぼ垂直な方向、および前記基板の
    表面に対してほぼ平行な方向において電界が発生するの
    を防ぐために、該絶縁体の上に取り付けられた導電体
    と、前記表面と前記絶縁体との間に位置する所定のイオン化
    区域の中でプラズマが発生され、該区域の間に位置して
    いる前記領域内で該表面を変化させるプラズマを確立さ
    せるための 発生器とを具備するプラズマ処理装置。
  2. 【請求項2】 請求項1に記載された装置において、前
    記プラズマが中性粒子と正の電荷のイオンとからなって
    おり、前記装置がさらに、 前記絶縁体に取り付けられたベース電極であって、該ベ
    ース電極が前記基板に対して電気的に接続され、該ベー
    ス電極と該基板とに対してほぼ同じ電圧を確立してい
    る、そのベース電極と、 該ベース電極と該基板との間において、前記絶縁体に取
    り付けられたアクティブ電極と、 該アクティブ電極に負の電荷を選択的に与えるために該
    アクティブ電極に対して電気的に接続された電源であっ
    て、プラズマ内の正の電荷のイオンを加速して中性粒子
    と衝突させ、また次に電荷交換作用によって、該加速さ
    れた正の電荷のイオンを加速された中性粒子に転換さ
    せ、それを前記表面を励起するために前記基板の表面に
    向けさせる、その電源とを具備しているプラズマ処理装
    置。
  3. 【請求項3】 基板の表面を変化させるための、正の電
    荷のイオンと中性粒子とを閉じ込めたプラズマを処理す
    るための装置において、 1つの軸線を画定し、内部でプラズマを絶縁するための
    チェンバーを形成した容器であって、該基板が該基板の
    表面を該軸線に対してほぼ直角方向に向けて該チェンバ
    ー内に配置されている、その容器と、 該チェンバー内に磁場を確立し、該磁場が該軸線に対し
    てほぼ直角方向にかかるようにするための、該容器の外
    側に位置した磁石と、 該基板の表面を変化させるために該チェンバー内にプラ
    ズマを発生させるために、該チェンバーの中を全体的に
    該軸線に沿って、無線周波出力を伝播させるために、該
    容器の外側に配置されたアンテナと、 プラズマ内の正の電荷のイオンを加速して中性粒子と衝
    突させ、また次に電荷交換作用によって、該加速された
    正の電荷のイオンを加速された中性粒子に転換させ、そ
    れを前記表面を励起するために前記基板の表面に向けさ
    せるための電気装置とを具備するプラズマ処理装置。
JP9252109A 1996-09-18 1997-09-17 プラズマ処理装置 Expired - Fee Related JP3044204B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US715407 1996-09-18
US08/715,407 US5868897A (en) 1996-07-31 1996-09-18 Device and method for processing a plasma to alter the surface of a substrate using neutrals

Publications (2)

Publication Number Publication Date
JPH10228998A JPH10228998A (ja) 1998-08-25
JP3044204B2 true JP3044204B2 (ja) 2000-05-22

Family

ID=24873912

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9252109A Expired - Fee Related JP3044204B2 (ja) 1996-09-18 1997-09-17 プラズマ処理装置

Country Status (3)

Country Link
US (1) US5868897A (ja)
EP (1) EP0831516A3 (ja)
JP (1) JP3044204B2 (ja)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3735461B2 (ja) * 1998-03-27 2006-01-18 株式会社シンクロン 複合金属の化合物薄膜形成方法及びその薄膜形成装置
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US20040110388A1 (en) * 2002-12-06 2004-06-10 International Business Machines Corporation Apparatus and method for shielding a wafer from charged particles during plasma etching
KR100500040B1 (ko) * 2003-05-09 2005-07-18 주식회사 케이핍 전자파 차단, 대전방지, 표면경화를 위한 고분자재료성형품의 표면 이온화 방법
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842707A (en) * 1986-06-23 1989-06-27 Oki Electric Industry Co., Ltd. Dry process apparatus
US4780682A (en) * 1987-10-20 1988-10-25 Ga Technologies Inc. Funnel for ion accelerators
US5108982A (en) * 1988-12-22 1992-04-28 General Atomics Apparatus and method for manufacturing a ceramic superconductor coated metal fiber
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
DE4118973C2 (de) * 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US5361016A (en) * 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5350454A (en) * 1993-02-26 1994-09-27 General Atomics Plasma processing apparatus for controlling plasma constituents using neutral and plasma sound waves
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
FR2707449B1 (fr) * 1993-07-05 1995-08-11 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
JP3521454B2 (ja) * 1993-10-22 2004-04-19 東京応化工業株式会社 プラズマ処理装置
US5993678A (en) * 1996-07-31 1999-11-30 Toyo Technologies Inc. Device and method for processing a plasma to alter the surface of a substrate

Also Published As

Publication number Publication date
JPH10228998A (ja) 1998-08-25
EP0831516A3 (en) 1999-01-20
US5868897A (en) 1999-02-09
EP0831516A2 (en) 1998-03-25

Similar Documents

Publication Publication Date Title
JP3044204B2 (ja) プラズマ処理装置
KR900006488B1 (ko) 마이크로파 여기 스퍼터링 방법 및 장치
KR940008368B1 (ko) 마이크로파로 생성한 플라즈마를 사용하는 플라즈마 처리장치
EP0148504B1 (en) Method and apparatus for sputtering
US5277751A (en) Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
KR100437956B1 (ko) 이온화된 물리적 증착 방법 및 장치
US4727293A (en) Plasma generating apparatus using magnets and method
JP3128239B2 (ja) クラスタツールのソフトエッチングモジュールおよびそのecrプラズマ発生装置
JPH09266096A (ja) プラズマ処理装置及びこれを用いたプラズマ処理方法
JPH08102279A (ja) マイクロ波プラズマ生成装置
US5993678A (en) Device and method for processing a plasma to alter the surface of a substrate
JPH0713295B2 (ja) スパツタリング装置
JP2001160553A (ja) プラズマ装置
JP4384295B2 (ja) プラズマ処理装置
JPH09186000A (ja) プラズマ処理装置
JPH0578849A (ja) 有磁場マイクロ波プラズマ処理装置
JP2000323463A (ja) プラズマ処理方法
JPH0647723B2 (ja) スパッタリング方法及びその装置
JP2621728B2 (ja) スパッタリング方法及びその装置
JP3205542B2 (ja) プラズマ装置
JP3071450B2 (ja) マイクロ波プラズマ処理装置
JPH0831443B2 (ja) プラズマ処理装置
JPH0627324B2 (ja) プラズマ処理方法及びその装置
JP3280406B2 (ja) プラズマ処理装置
JP3100242B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees