CN103168344A - 用于沉积碳化硅和碳氮化硅膜的设备和方法 - Google Patents

用于沉积碳化硅和碳氮化硅膜的设备和方法 Download PDF

Info

Publication number
CN103168344A
CN103168344A CN2011800500079A CN201180050007A CN103168344A CN 103168344 A CN103168344 A CN 103168344A CN 2011800500079 A CN2011800500079 A CN 2011800500079A CN 201180050007 A CN201180050007 A CN 201180050007A CN 103168344 A CN103168344 A CN 103168344A
Authority
CN
China
Prior art keywords
silicon
plasma
precursor
carbon silane
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800500079A
Other languages
English (en)
Inventor
蒂莫西·W·韦德曼
托德·施罗德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103168344A publication Critical patent/CN103168344A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

本发明提供用于在基板表面上沉积碳化硅膜的方法。该方法包括使用气相碳硅烷前体,且该方法可使用等离子体增强原子层沉积工艺。该方法可在小于600℃的温度下实施,例如介于约23℃与约200℃之间或在约100℃下。然后,可致密化该碳化硅层,以去除氢含量。另外,可将该碳化硅层暴露于氮源,以提供反应性N-H基团,然后该N-H基团可用来使用其他方法继续膜沉积。等离子体处理条件可用来调整膜的碳、氢和/或氮含量。

Description

用于沉积碳化硅和碳氮化硅膜的设备和方法
技术领域
本发明的第一方面大体而言涉及在基板表面上沉积碳化硅层或膜的方法。在第一方面的特定实施方式中,本发明涉及原子层沉积工艺,所述原子层沉积工艺使用有机硅烷前体化合物。本发明的第二方面涉及用于等离子体增强原子层沉积的设备和方法。在第二方面的特定实施方式中,设备使用具有双通道的喷淋头或面板(faceplate),以经由第一组通道传输远程产生的等离子体且经由第二组通道传输前体及其他气体。在第三方面,可在根据第二方面所描述的设备中执行形成碳化硅层的方法。
背景技术
在基板表面上沉积薄膜为各种工业中的重要工艺,所述各种工业包括半导体处理、扩散阻挡涂层及用于磁性读/写头的介电质。在半导体工业中,尤其是,小型化要求对薄膜沉积的原子级控制,以在高纵横比结构(high aspectstructure)上产生共形(conformal)涂层。一种用于以原子层控制和共形沉积来沉积薄膜的方法为原子层沉积(atomic layer deposition;ALD),该原子层沉积使用按顺序的自限(self-limiting)表面反应以形成具有以埃或单层水平控制的精确厚度的层。大多数ALD工艺基于二元反应顺序,所述二元反应按顺序沉积二元化合物膜。两个表面反应中的每一个按顺序地发生,并且因为该两个表面反应为自限的,所以可在原子水平控制下沉积薄膜。因为表面反应为按顺序的,所以两种气相反应物并不接触,且限制了可形成并沉积粒子的可能的气相反应。表面反应的自限本质也允许在每一反应循环期间驱动反应至完成,从而产生连续且无针孔的膜。
原子层沉积可在诸如半导体的电路装置的制造过程中用来形成特征。通过将安放在处理腔室中的基板的表面暴露于反应物或化学前体的交替脉冲,而逐层生长薄膜,每个所述反应物或化学前体经历反应,从而大体上提供受控的膜厚度。每一反应物脉冲向先前沉积的层提供额外原子层。膜生长循环通常由两个脉冲构成,每一脉冲之间以净化步骤分隔开。可用惰性气体来净化处理腔室,以去除反应物或前体材料。当将第二反应物或前体材料脉冲至反应器中时,第二反应物或前体材料与晶片(wafer)表面上的前体材料反应。再次使用惰性气体净化反应器。在ALD制造过程中,沉积膜的厚度由循环的次数控制。
原子层沉积也可称为循环沉积,是指按顺序引入两种或更多种反应化合物,以在基板表面上沉积材料层。将两种或更多种反应化合物交替地引入处理腔室的反应区域或处理区域中。反应化合物可呈气体、等离子体、蒸汽(vapor)、流体的状态或对气相沉积工艺有用的其他物质状态。通常,每一反应化合物相隔一时间延迟,以允许每一化合物黏着、吸附、吸收于基板表面上和/或在基板表面上反应。在典型的ALD工艺中,将第一前体或化合物A脉冲至反应区域中,继之以第一时间延迟。接下来,将第二前体或化合物B脉冲至反应区域中,继之以第二延迟。化合物A与化合物B反应以形成沉积材料。在每一时间延迟期间,将净化气体引入处理腔室中,以净化反应区域或以其他方式自反应区域去除任何残留反应化合物或副产物。替代地,净化气体可在整个沉积工艺期间连续地流动,使得仅有净化气体在反应化合物的脉冲之间的时间延迟期间流动。交替地脉冲反应化合物,直至在基板表面上形成沉积材料的所希望的膜厚度为止。在两种情形中的任一者下,脉冲化合物A、净化气体、脉冲化合物B和净化气体的ALD处理为一个循环。
碳化硅(SixCyHz)及类似膜为用于各种应用的有前途的材料。举例而言,在半导体装置中,SixCyHz的一些组合物在高温、高电压及高频下作用而没有降解。极佳的机械、化学及电气能力还使得碳化硅成为微机电系统(microelectromechanical systems;MEMS)中有吸引力的材料。碳化硅被视为是用于极紫外线(Extreme Ultraviolet;EUV)和软X射线光学器件、太阳能电池中的钝化层的有吸引力的材料。
除ALD之外,各种其他技术也被用于碳化硅薄膜的沉积,包括传统的化学气相沉积(chemical vapor deposition;CVD)和等离子体增强CVD(PECVD)。希望改良现有工艺及新沉积工艺。本发明提供薄膜沉积工艺,所述薄膜沉积工艺可在相对低的温度下进行,并具有良好的共形性和沉积速率、低应力和高蚀刻速率选择性。
发明内容
本发明的一个实施方式涉及一种用于在基板表面上形成碳化硅的方法,所述方法包括:将具有反应性表面的基板暴露于气相碳硅烷前体,以在所述基板表面上形成碳化硅层,其中所述碳硅烷前体含有桥联至少两个硅原子的至少一个碳原子。因此,本发明的一个方面针对一种在基板表面上形成层的方法,所述方法包含:提供基板;将基板表面暴露于碳硅烷前体,所述碳硅烷前体含有桥联至少两个硅原子的至少一个碳原子;将所述碳硅烷前体暴露于低功率能量源,以在所述基板表面处提供碳硅烷;致密化所述碳硅烷;并将所述碳硅烷表面暴露于氮源。在此方面的一个实施方式中,致密化该碳硅烷包括将所述基板表面暴露于等离子体,所述等离子体含有He、Ar及H2中的一种或多种。在不同的实施方式中,所述碳硅烷前体含有桥联至少两个硅原子的亚甲基基团。在更特定的实施方式中,所述碳硅烷为1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷和2,4,6-三硅庚烷中的一种或多种。在此实施方式的一个变形中,所述碳硅烷前体为1,3,5-三硅戊烷。
在此方面的另一实施方式中,将所述碳硅烷暴露于氮源包括将所述碳硅烷暴露于含有氮的等离子体。在此实施方式的更特定版本中,将所述碳硅烷暴露于含有氮的等离子体导致形成N-H键,所述N-H键促进所述碳硅烷的单层不可逆地连接至所述基板表面。替代地,在不同的实施方式中,将所述碳硅烷暴露于氮源包括流动氨。在一个实施方式中,此方面进一步包括将所述基板表面暴露于第二前体,所述第二前体含有硅-卤素键或硅-假卤素(pseudohalogen)键。
在此方面的另一实施方式中,将碳硅烷前体暴露于低功率能量源包括将所述碳硅烷前体暴露于电子束。在一替代性实施方式中,将所述碳硅烷前体暴露于低功率能量源包括将所述碳硅烷前体暴露于低功率等离子体。在此实施方式的更特定变形中,将所述碳硅烷前体暴露于低功率等离子体导致所述碳硅烷的聚合。在不同的实施方式中,所述低功率等离子体具有约10W至约200W的值。在又一不同的实施方式中,将所述碳硅烷前体暴露于低功率等离子体达约0.10秒至约5.0秒。
为实现将中间的表面键接的基于碳硅烷的单层致密化为SiC,可使用对于去除氢原子有效的等离子体来处理基板表面。适合于此目的的典型气体混合物可包括H2、诸如He和Ar的惰性气体,或最常见的上述气体的混合物。在这些等离子体中产生的氢离子和自由基物质两者都在与键接至膜中的Si或C的氢原子结合时尤其有效率且具选择性,从而导致H2的损失和交联。在净化之后,可将经等离子体处理的碳化硅中间物二次暴露于气相的额外碳硅烷前体,以形成额外吸附层。若以SiCN为目标,则可在添加额外碳化硅层之前将额外等离子体步骤引入顺序中,所述额外等离子体步骤使用加入有氮(N2)的气体混合物。可重复等离子体活化和碳化硅气体暴露的循环,直至达到所希望的层厚度为止。可用于沉积工艺的碳硅烷前体可为直链或环状的碳硅烷(即,具有碳与硅原子的交替主链的化合物)。实例包括但不限于1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷和2,4,6-三硅庚烷。
在又一实施方式中,本发明提供一种用于在基板表面上形成碳化硅的方法,所述方法包括:使用等离子体处理所述基板表面以活化所述表面;将经处理的基板表面暴露于气相碳硅烷化合物以在所述基板表面上形成碳化硅层,其中所述气相碳化硅含有桥联至少两个硅原子的至少一个碳;使用等离子体处理所述碳化硅层以活化所述碳化硅层;以及将经处理的所述碳化硅层暴露于气相碳硅烷前体以在所述基板表面上形成额外碳化硅层。这种等离子体可有效地去除氢原子。再次地,适合的典型气体包括但不限于氢气、惰性气体(例如,He、Ar等)及上述气体的混合物。若需要进一步额外的碳化硅层,则可净化气相碳化硅,并可重复所述等离子体处理和碳化硅暴露步骤,直至获得所希望的层厚度为止。后续沉积循环中的气相碳硅烷前体可与先前循环中沉积的碳硅烷前体相同或不同。在将反应性表面暴露于气相碳硅烷前体之前净化表面活化等离子体,且在沉积额外层之前净化碳化硅层活化等离子体也可为所希望的。在某些实施方式中,碳硅烷前体可为直链或环状。
在本发明的特定实施方式中,气相碳硅烷前体化合物为1,3,5-三硅环己烷。在此实施方式中,用于在基板表面上形成碳化硅的方法包括:在沉积腔室中,将具有反应性表面的基板暴露于气相1,3,5-三硅环己烷,以在基板表面上形成碳化硅层;自沉积腔室净化1,3,5-三硅环己烷;将碳化硅层暴露于等离子体以活化碳化硅层;自沉积腔室净化该等离子体;以及将经活化的碳化硅层暴露于气相1,3,5-三硅环己烷,以在基板表面上形成额外碳化硅层。若需要,则可通过重复等离子体活化步骤和碳化硅沉积步骤形成进一步额外的碳化硅层,通常在等离子体活化步骤与碳化硅沉积步骤之间进行净化。替代地,沉积于1,3,5-三硅环己烷层上的碳硅烷前体可为任何其他的碳硅烷前体。在特定实施方式中,使用包含1,3,5-三硅戊烷的前体来进行基于SiCN的膜的沉积。此前体在室温下具有充足的蒸汽压力,以允许在蒸汽吸引安瓿(ampoule)或起泡器设置(无加热)中容易地传输。另外,反应性Si-H键(两个末端-SiH3基团和一个桥联-SiH2-基团)的富集允许诸如氮的额外杂原子容易地引入。
在某些实施方式中,可在低于600℃的温度下进行任何上述工艺。在更特定的实施方式中,可在介于室温(约23℃)与200℃之间的温度下或在约100℃下进行所述工艺。
附图说明
图1图示了本发明范位内的示例性工艺,其中将前体1,3,5-三硅环己烷用于碳化硅层的沉积。
图2A图示了根据一个或多个实施方式的用于ALD处理腔室中的具有独立气流通道的喷淋头和面板的横截面;
图2B图示了根据一个或多个实施方式的用于ALD处理腔室中的具有独立前体和等离子体气体通道的喷淋头的横截面;
图3A图示了根据一个或多个实施方式的用于ALD处理腔室中的喷淋头的横截面视图部分,其中经由包括在面板中的同心孔的独立通道提供处理气体;
图3B图示了根据一个或多个实施方式的用于ALD处理腔室中的面板的表面,该面板具有同心孔设计;
图3C图示了喷淋头的另一横截面部分,其中经由形成于面板中的独立平行通道提供处理气体;
图3D图示了根据一个或多个实施方式的用于ALD处理腔室中的喷淋头的横截面部分,该喷淋头使处理气体自喷淋头的边缘流动至喷淋头的中心;以及
图4图示了根据一个或多个实施方式的示例性工艺顺序。
图5为比较性SiCN膜的傅立叶变换红外光谱;
图6为比较性SiCN膜的傅立叶变换红外光谱;
图7为比较性SiCN膜的傅立叶变换红外光谱;以及
图8A至图8C为根据本发明的实施方式形成的三个SiCN膜的傅立叶变换红外光谱。
具体实施方式
在描述本发明的若干示例性实施方式之前,将理解,本发明不限于在以下描述中阐述的构造或工艺步骤的细节。本发明能够具有其他实施方式且能够以多种方式实践或实施。
如本文所使用,“含SiH前体”是指含有多个个Si-H键的前体分子。含SiH前体包括硅烷和碳硅烷。术语“硅烷”是指含有硅和氢原子(包含硅氢键)的化合物。可与“有机硅烷”互换使用的术语“碳硅烷”是指含有硅、氢和碳原子且含有至少一个碳硅共价键的化合物。
如本文所使用,术语“碳硅烷前体”或“碳化硅前体”及上述各物的等效物是指含有至少一个Si-C键的有机硅化合物。在本发明的特定实施方式中,碳硅烷前体在至少两个硅原子之间的桥联位置中含有碳原子。如本文所使用,“含有桥联至少两个硅原子的至少一个碳原子”或“在至少两个硅原子之间的桥联位置中含有至少一个碳原子”是指含有Si-C-Si成分的碳硅烷。碳可具有两个氢,这两个氢将构成亚甲基基团并产生Si-CH2-Si成分。硅原子可具有多种取代基,所述取代基包括但不限于氢或额外的硅和/或碳原子。在一些特定的实施方式中,碳原子可桥联三个或四个硅原子。
本文所涉及的碳化硅可由化学式SixCyHx表示,其中x、y和z中的每个至少为1,且化合物含有至少一个Si-H键。x至少为二的碳硅烷前体可加入有桥联亚甲基单元(-Si-CH2-Si-),如上文所论述,在所述桥联亚甲基单元中,碳键接至两个不同的Si原子。化合物可为直链碳硅烷或环状碳硅烷。在直链碳硅烷前体的特定实方式中,x为1至6,y为1至6,且z为1至26。在特定实例中,环状碳硅烷前体包括四元环、五元环和六元环,例如硅代环丁烷、硅代环戊烷和硅代环己烷。特定碳硅烷前体包括但不限于1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷及2,4,6-三硅庚烷。
如本文所使用,术语“反应性表面”、“经活化表面”、“经活化碳化硅层”及上述各物的等效物是指经处理以促进氢提取的基板表面或层,所述氢提取可产生更具反应性的表面或“不饱和”表面。这可例如通过等离子体处理来实现。等离子体例如可为氢等离子体或惰性气体等离子体(例如,氦、氩、氖或氙)。氢提取还可包括使用加入有N2的气体混合物,这可导致一些氮加入于沉积膜中。反应性或经活化表面或层的产生提供用于将碳硅烷前体连接至表面或层的活性位点。反应性或经活化表面或层可包括悬挂(dangling)Si键、Si=Si双键、Si=C双键、插入Si-H键中的氮或插入Si-Si键中的氮作为活性位点。
如本文所使用,术语“基板表面”或该术语的等效物是指在制造过程期间膜处理所执行于的任何材料。举例而言,处理可执行于的基板表面包括硅、氧化硅和碳化硅。
如本文所使用,“低功率能量源”是指将不会损坏在基板表面沉积的碳化硅膜的能量源。实例包括紫外线、电子束和离子束。举例而言,在能量源为等离子体的情况下,射频(RF)值小于约200W。
本发明的实施方式提供用于使用碳硅烷前体在低温气相沉积工艺中于基板上选择性地沉积或形成碳化硅,且在一些情况下为碳氮化硅的方法。这类方法包括例如原子层沉积(ALD)、等离子体增强ALD(PE-ALD)、化学气相沉积(CVD)或等离子体增强CVD(PE-CVD)。在ALD和PE-ALD中,处理腔室构造成在气相沉积工艺期间允许基板按顺序暴露于一系列气相前体和/或等离子体。
在本发明的各种实施方式中使用的前体为含有桥联至少一个硅原子的至少一个碳原子的碳硅烷前体。举例而言,前体可含有桥联亚甲基单元(-Si-CH2-Si-),在所述桥联亚甲基单元中,碳键接至两个不同的Si原子。在特定实施方式中,前体含有多于一个的桥联亚甲基单元。在后续等离子体活化步骤期间,即使在相对低的温度(例如,在100℃下)和等离子体密度下,也已发现这些前体经历选择性的脱氢缩合成为碳化硅。相比之下,基于甲基硅烷的前体可更易于进行涉及Si-C键分裂的等离子体反应。与含有由交替Si-C-Si-C-键组成的主链的基于碳硅烷的前体相关联的额外关键属性在于,所述基于碳硅烷的前体便于使用简单且有效率的基于直流等离子体的策略来引入杂原子。举例而言,可在最少碳损失的情况下加入氮(通过暴露于短暂的N2等离子体)。基于甲基硅烷的前体的类似处理实现碳几乎全部由氮替换。在替代性实施方式中,根据本发明的各种实施方式,可使用远程等离子体。
在各种实施方式中,选择碳硅烷前体,使得在沉积膜中存在减少的断裂。膜断裂为挥发性片段防碍致密化,且导致可流动应用中的收缩(shrinking)和裂化(cracking)。具有延伸Si-C-Si主链的较高级碳硅烷尤为适合,因为所述较高级碳硅烷易于进行脱氢致密化反应而不断裂。在另一实施方式中,碳硅烷分别在三个或四个硅原子之间含有桥联CH2基团或简单C原子。不具有这种桥联亚甲基基团的前体,例如最初仅含有末端甲基取代基的前体,可受等离子体激发而经历重排以形成亚甲基桥联的碳硅烷,且因此亦为适合,然而在这种情况下也可存在Si-CH3取代基的Si-C键的实质分裂。
因此,在两个硅原子之间的桥联位置中含有碳的碳硅烷前体可被固结为具有碳的有效率保持的碳化物型陶瓷。另一方面,碳并不保持至前体不含有桥联碳原子的程度。举例而言,基于甲基硅烷的前体经历固结,同时具有碳的实质损失。
在特定实施方式中,将含有桥联至少两个硅原子的至少一个碳原子的碳硅烷前体用来产生SiC的薄膜。在一些实施方式中,接着可通过自SiC置换一些碳原子而将这些SiC的薄膜转换为SiCN。如本文所述的碳硅烷前体用来沉积含硅膜的薄层。虽然不希望受任何特定理论束缚,但人们认为碳硅烷在暴露于低功率能量源之后在基板表面处聚合。将碳硅烷前体暴露于低功率能量源,这在基板表面上形成前体的层。在一个实施方式中,将碳硅烷前体暴露于低功率能量源包括将该碳硅烷前体暴露于电子束。在另一实施方式中,将碳硅烷前体暴露于低功率能量源包括将该碳硅烷前体暴露于低功率等离子体。在特定实施方式中,低功率等离子体具有约10W至约200W的值。在另一实施方式中,将前体暴露于低功率等离子体达约0.10秒与约5.0秒之间的时间。
已证实碳硅烷前体经历有效率的致密化/脱氢成为富硅SiC。因此,根据各种实施方式,在基板表面处的碳硅烷前体为至少部分致密化/脱氢的。在一个实施方式中,致密化/脱氢为等离子体诱发的。含氦、氩和/或氢的等离子体可用于脱氢。在特定实施方式中,脱氢涉及使用含有H2的等离子体。
除致密化/脱氢之外,可通过氮化将氮引入SiC层中,以形成SiCN。这通过将碳硅烷表面暴露于氮源而发生。在一实施方式中,这包括流动氨。在一替代性实施方式中,氮化经由暴露于氮化等离子体而发生。在更特定实施方式中,此氮化等离子体包含N2。在另一替代性实施方式中,氮化不发生。
因此,本发明的一个方面涉及在基板表面上形成层的方法,该方法包括:提供基板;将基板表面暴露于碳硅烷前体,该碳硅烷前体含有桥联至少两个硅原子的至少一个碳原子;将碳硅烷前体暴露于低功率能量源以在基板表面处提供碳硅烷;致密化碳硅烷;以及将碳硅烷表面暴露于氮源。接着可重复处理以添加额外层。在此方面的一个实施方式中,脱氢和氮化实质上同时发生。相比之下,在另一实施方式中,脱氢和氮化按顺序地发生。
在一个或多个实施方式中,PE-ALD工艺包括将具有反应性表面的基板按顺序地暴露于气相的化学前体或反应物,所述气相的化学前体或反应物包括第一化学前体,该第一化学前体包含由化学式SixCyHz表示的气相碳硅烷前体,其中x、y及z中的每一个至少为1且化合物含有桥联至少两个硅原子的至少一个碳。在特定实施方式中,通过将基板表面上的碳化硅层按顺序地暴露于反应物气体及随后将经活化碳化硅层暴露于气相碳硅烷前体,而产生至少一个额外的碳化硅层,其中所述反应物气体为诸如氢等离子体、氮等离子体或惰性气体等离子体的等离子体。这些处理可在低于600℃的温度下进行,例如,在介于23℃与200℃之间、介于80℃与150℃之间或介于23℃与100℃之间的温度下。
ALD使用按顺序的自限表面反应来形成具有以埃或单层水平控制的精确厚度的层。大多数ALD工艺基于二元反应顺序,所述二元反应按顺序沉积二元化合物膜。两个表面反应中的每一个按顺序地发生,且因为这两个表面反应为自限的,所以可在原子水平控制下沉积薄膜。因为表面反应为按顺序的,所以两种气相反应物并不接触,且限制了可形成并沉积粒子的可能的气相反应。表面反应的自限本质还允许在每一反应循环期间驱动反应至完成,从而产生连续且无针孔的膜。
因此,在此所描述的顺序并非传统PEALD工艺,因为这些顺序相对于当前硅前体的应用并非自限的,尽管这些顺序获得类似ALD的结果。沉积膜获得高水平的共形性。另外,可通过引入活化取代基(本质上为良好的离去基团)来实现自限沉积,活化取代基的引入将导致该活化取代基与在最终氮等离子体活化顺序中产生的表面N-H官能团反应。
虽然不希望束缚于任何特定理论,但是人们认为暴露于氮源诱发氮插入至Si-H键中,以在表面上产生N-H官能团。此后,这些N-H基团可在后续步骤中与卤化或氰化前体反应,以实现自限反应。
可使用相对低的RF功率条件且在比先前可用温度低的温度下实现这些沉积过程。在先前方法中,大于500℃的较高温度为必要。在特定实施方式中,沉积期间的基板温度可低于约200℃。在一些实施方式中,基板温度可低于100℃。
碳硅烷可为直链、支链或环状。尤其适合类型的碳硅烷为在至少两个硅原子之间含有桥联亚甲基基团的碳硅烷,使得亚甲基基团中的碳键接至至少两个硅原子。在又一实施方式中,亚甲基基团桥联两个硅原子。可卤化或假卤化两个硅原子中的任何一者、两者,或两者都不卤化或假卤化。具有延伸Si-C-Si主链的较高级碳硅烷尤为适合,因为这些较高级碳硅烷易于进行脱氢致密化反应而不断裂。在另一实施方式中,碳硅烷分别在三个或四个硅原子之间含有桥联CH2基团或简单C原子。不具有这种桥联亚甲基基团的前体,例如最初仅含有末端甲基取代基的前体可受等离子体激发而经历重排以形成亚甲基桥联的碳硅烷且因此亦为适合,然而在此情况下也可存在Si-CH3取代基的Si-C键的实质分裂。
含有交替Si-C-Si-C-Si键的更长延伸主链的聚碳硅烷(诸如,1,3,5-三硅戊烷)尤其优选。适合碳硅烷前体的实例包括但不限于1,3,5-三硅戊烷、1,3,5-三硅环己烷、1,3-二硅丁烷、1,3-二硅丙烷和1,3-二硅环丁烷。在特定实施方式中,碳硅烷前体为1,3-二硅丁烷。在另一特定实施方式中,碳硅烷前体为1,3,5-三硅戊烷。在需要所希望水平的碳且前体仅含有末端甲基取代基的情况下,通常有必要以拥有最终膜中所希望Si:C比率的至少两倍的Si:C比率的前体开始。
在特定实施方式中,可通过以下操作在基板表面上形成碳化硅层:按顺序地将基板暴露于气相1,3,5-三硅环己烷以在表面上形成碳化硅层;将碳化硅层暴露于等离子体以活化碳化硅层;以及通过将经活化碳化硅层暴露于气相1,3,5-三硅环己烷以形成额外层而在基板表面上形成额外碳化硅层。可在与基板表面或层反应之后且在引入下一化学前体或反应物气体之前净化未反应的气相1,3,5-三硅环己烷和过量等离子体。
在另一特定实施方式中,可通过以下操作在基板表面上形成碳化硅层:按顺序地将基板暴露于气相1,3,5-三硅戊烷以在表面上形成碳化硅层;将碳化硅层暴露于等离子体以活化碳化硅层;以及通过将经活化碳化硅层暴露于气相1,3,5-三硅戊烷以形成额外层而在基板表面上形成额外碳化硅层。可在与基板表面或层反应之后且在引入下一化学前体或反应物气体之前净化未反应的气相1,3,5-三硅戊烷和过量等离子体。
另外,根据本发明的各种实施方式可使用其他的活化策略。这些活化策略包括但不限于紫外辐射、电子束和/或离子束。
在一些情况下,使用这种低功率等离子体步骤沉积的膜的共形性可为充分共形,使得即使在后续致密化之后所述膜也可提供“类ALD”共形性。增强这种共形性的有用方式为在活化顺序的结尾使用等离子体活化步骤——例如导致形成N-H键的等离子体活化步骤——该步骤促进在低功率等离子体步骤中沉积的前体的第一单层的不可逆连接,而随后沉积的材料则是可逆地键接,且该随后沉积的材料可再进入气相并在后续净化步骤期间被净化掉。因此,在一个实施方式中,将碳硅烷暴露于含有氮的等离子体导致N-H键的形成,所述N-H键促进碳硅烷的单层不可逆地连接至基板表面。虽然紧接在引入前体之前但在等离子体致密化之后应用的最终表面活化可为涉及氮等离子体的步骤,但是该步骤也可涉及非等离子体步骤,例如,将表面简单地暴露于氨(NH3)流。
大体而言,将含有Si、C和H的“晶种”膜暴露于含N等离子体对于产生展现N-H官能团的膜有效,所述N-H官能团如可由傅立叶变换红外光谱(FTIR)中的介于约3200cm-1与3600cm-1之间的特征吸收的生长检测到。典型条件需要在0.5托尔至20托尔的范围内的压力和介于25W与500W之间的RF功率水平(13.56MHz,直流等离子体),例如,在4托尔的总压力及介于1托尔与3托尔之间的氮分压(余量为He或Ar)下,功率为100W达2秒的持续时间。在正处理的膜含有极少H(例如,若已执行等离子体处理以去除H)的情况下,也可将少量的氢添加至等离子体混合物以促进更多N-H键接的产生。
可调整膜中硅与碳的比率,这取决于等离子体功率、暴露时间和温度。举例而言,可通过使用后处理等离子体以氮原子替换碳,而容易地减少SiCN组合物中的C:Si的比率。可通过使用含有较高初始比率的前体来增加C与Si的比率。大体而言,在两个硅原子之间的桥联位置中含有碳的碳硅烷前体可被固结为具有碳的有效率保持的碳化物型陶瓷。另一方面,碳并不被保持至前体不含有桥联碳原子的程度。举例而言,基于甲基硅烷的前体经历固结,同时具有碳的实质损失。
本发明的另一方面涉及将基板表面暴露于等离子体,作为形成膜或层的工艺的部分。将具有键接的含SiH前体的表面暴露于致密化/脱氢等离子体。适合的脱氢等离子体包括但不限于H2、He和Ar。接着将表面暴露于氮化等离子体。适合的氮化等离子体包括但不限于N2和氨。可实质上同时或按顺序地暴露于等离子体。实质上同时意谓同时将基板表面暴露于两种等离子体,并且一次暴露于一种等离子体的时间极短。当按顺序地进行暴露时,可首先应用脱氢等离子体,继之以氮化等离子体。可使用任何数目的顺序。在一个实施方式中,等离子体暴露可发生于工艺的每一步骤中。在另一实施方式中,等离子体暴露可每隔一个顺序发生。后续暴露于氮化等离子体导致SiC膜转换为SiCN。
因此,在本发明的第二方面,本发明涉及一种在基板表面上形成层的方法,该方法包含:提供基板;将基板表面暴露于碳硅烷前体,该碳硅烷前体含有桥联两个硅原子的至少一个亚甲基;将碳硅烷前体暴露于低功率等离子体以在基板表面处提供碳硅烷;致密化碳硅烷;以及将碳硅烷表面暴露于氮源。在该方面的一个实施方式中,低功率等离子体具有约10W至约200W的值。在该方面的不同实施方式中,将碳硅烷前体暴露于低功率等离子体达0.10秒与5.0秒之间的时间。
本发明的第三方面涉及一种在基板表面上形成层的方法,该方法包含:提供基板;将基板表面暴露于碳硅烷前体,该碳硅烷前体含有桥联两个硅原子的至少一个亚甲基;将碳硅烷前体暴露于低功率等离子体以在基板表面处提供碳硅烷;致密化碳硅烷,其中致密化碳硅烷包含:将碳硅烷暴露于含有H2、Ar和He中的一种或多种的等离子体;以及将碳硅烷表面暴露于含有N2的等离子体。
上文所述的低温沉积SiCN和SiC膜的方法也可用于沉积非常薄的、无缺陷且共形的膜,用于电子工业之外的应用。这类应用包括用于准备阻挡及钝化层。另外,低温反应性将使工艺可适用于有机基板的涂布,所述有机基板包括植物衍生及动物衍生的组织和材料。
可将碳硅烷前体以与惰性载气的混合物形式引入至基板表面,该惰性载气例如氩、氙、氖或氦。净化气体也通常为惰性气体。用于表面或先前沉积的碳化硅层的活化的等离子体气体可为有效产生适合于氢提取的自由基的任何气体,且可用与惰性载气的混合物形式选择性地将该等离子体气体传输至基板。适合的等离子体气体的实例包括含有氢原子的气体和含有CH3取代基的气体。
可经由入口、经由气体通道、或自连接至与沉积腔室流体连通的中央通道的各种孔或出口将用于沉积工艺的各种气体脉冲至含有基板的沉积腔室中。可经由单一中央通道按顺序地脉冲不同气体,或可将沉积腔室构造成有多个通道,以允许经由分离通道按顺序地引入气体。可用此方式将基板表面的初始等离子体活化、气相碳硅烷前体的引入和后续碳化硅层活化等离子体各自按顺序地脉冲至沉积腔室中。也可经由单一中央通道或经由多个通道中的任一个引入在反应步骤之间引入至沉积腔室的净化气体。通道可与喷淋头流体连通,气体经由该喷淋头进入沉积腔室。
在一些实施方式中,可用于本文所述的用于沉积或形成碳化硅材料的方法中的等离子体系统和处理腔室或系统包括可购自Applied Materials,Inc.(位于Santa Clara,Calif)的
Figure BDA00003056257800131
CVD腔室。另外的等离子体系统和处理腔室描述于共同让渡的美国专利第5,846,332号、第6,079,356号和第6,106,625号中。在其他实施方式中,可用于本文所述的用于沉积或形成碳化硅材料的方法中的PE-ALD处理腔室或系统描述于共同让渡的美国专利申请案第12/494,901号中,该案申请于2009年6月30日,作为美国专利申请公开案第20100003406号公开。在本文所述的一些实施方式中使用的ALD处理腔室可包含各种盖组件。其他ALD处理腔室也可用于本文所述的某些实施方式中,且可购自Applied Materials,Inc.(位于Santa Clara,Calif)。ALD处理腔室的详细描述可见于共同让渡的美国专利第6,878,206号、第6,916,398号和第7,780,785号中。在另一实施方式中,可用来沉积碳化硅材料的、构造成以ALD模式及常规CVD模式操作的腔室描述于共同让渡的美国专利第7,204,886号中。在又一实施方式中,适合于在用于在基板表面上沉积碳化硅层的本发明方法中使用的双通道系统揭示于美国专利申请公开案第20070277734A1号中,且如下文进一步所述。
在ALD工艺中,可对处理腔室或沉积腔室加压,通常在自约0.01托尔至约80托尔的范围内。特别地,沉积腔室的压力可为自约0.1托尔至约10托尔,且更特别地自约0.5托尔至约5托尔。也可在介于室温(约23℃)与约200℃之间的温度下或在约100℃的温度下将腔室和/或基板加热至小于约600℃的温度,例如,加热至小于约500℃或小于约200℃。
在图1中图示了使用本文所述的碳硅烷前体的PE-ALD工艺的示例性实施方式。图1图示了碳硅烷前体为1,3,5-三硅环己烷且基板经处理以在表面上产生不饱和以用于与前体反应的工艺。将理解,由化学式SixCyHz表示的任何碳硅烷前体(其中x、y和z中的每一个至少为1,且化合物含有桥联至少两个硅原子的至少一个碳)皆可置换代入图1所示的反应中,且将理解,经等离子体处理的表面可含有不同于所示的Si=C双键或除所示的Si=C双键之外的活性位点,所述活性位点包括Si=Si双键、悬挂Si键、悬挂C键、插入至Si-H键中的氮,和插入至Si-Si键中的氮。基板表面上的所有这类反应性位点将与碳硅烷前体反应,以将前体键接至基板表面。类似地,图1作为实例图示了PE-ALD反应,其中基板也为碳化硅。再次地,将理解,其他含硅基板表面可经处理以产生诸如不饱和及悬挂键的反应性位点,所述反应性位点适合于将前体键接至表面,该表面包括硅或氧化硅。
在图1中,以诸如氢等离子体或惰性气体等离子体的等离子体处理示例性碳化硅基板表面,以由于氢提取而在表面中产生畸变或缺陷。这些畸变或缺陷可包括诸如悬挂Si键和悬挂C键(在图1中以星号指示)的反应性表面位点。此后,邻接的悬挂键可形成诸如Si=Si双键或Si=C双键的反应性不饱和位点。悬挂键和不饱和位点两者产生活性位点,用于与气相碳硅烷前体反应。在表面活化步骤完成之后即自系统净化活化的等离子体。如图1中所示,示例性的Si=C表面缺陷接着被暴露于气相1,3,5-三硅环己烷,该气相1,3,5-三硅环己烷可选择性地呈与载气的混合物。越过不饱和表面基团添加前体中的反应性Si-H键,以将前体链接至基板表面,从而在基板上形成第一碳化硅层。由于Si-H键的较低的活化能,将Si-H键添加至表面缺陷比添加C-H键有利。
为获得将中间的表面键接的基于碳硅烷的单层致密化为SiC,可使用对于去除氢原子有效的等离子体处理基板表面。也即,将诸如氢气等离子体、惰性气体等离子体(例如He、Ar等)或上述等离子体的混合物的等离子体引入系统中与第一碳化硅层接触,以在第一碳化硅层中产生氢提取。在这类等离子体中产生的氢原子和自由基物质在与键接至膜中的硅或碳的氢原子结合时尤其有效率且有选择性,这导致H2的损失和Si与C的交联。可形成悬挂Si和/或C键,以及Si=Si和/或Si=C双键,作为用于第二次暴露于气相碳硅烷前体的活性位点。为了产生第二碳化硅层,净化活化的等离子体。在净化之后,可将经等离子体处理的碳化硅中间物二次暴露于气相的额外碳硅烷前体,以形成额外吸附层。越过经活化碳硅烷前体的不饱和基团添加前体中的反应性Si-H键,以将前体链接至经活化碳化硅层,从而形成第二碳化硅层。若SiCN膜为所希望的,则可首先在顺序中引入使用加入有氮(N2)的气体混合物的额外等离子体步骤。可重复等离子体活化和碳化硅气体暴露的循环,直至获得所希望的层厚度为止。
可执行氢提取(活化)和与碳硅烷前体反应的更多循环,以建立所希望厚度的碳化硅层。尽管图1图示了使用1,3,5-三硅环己烷的重复沉积循环,但是将理解,可将不同的碳硅烷前体用于一个或多个后续的沉积循环中。举例而言,在特定实施方式中,前体可包含1,3,5-三硅戊烷。
设备和方法
本发明的另一方面涉及用于等离子体增强原子层沉积的设备和方法。在第二方面的特定实施方式中,设备使用具有双通道的喷淋头或面板(faceplate),以经由第一组通道传输远程产生的等离子体且经由第二组通道传输前体和其他气体。
本发明的另一方面涉及一种工艺顺序,该工艺顺序涉及在循环沉积或原子层沉积工艺期间在将等离子体传输至基板与将前体传输至基板表面之间交替。使用快速切换工艺来执行前体脉冲与等离子体之间的切换。在一个或多个实施方式中,ALD工艺用来在基板上产生金属、金属氧化物、氮化物、碳化物、氟化物或其他层或膜。在特定实施方式中,快速切换工艺可用来在基板上形成SiC层,这可通过以等离子体活化步骤开始来实现,该等离子体活化步骤诱发氢提取以产生表面不饱和。在前体暴露循环期间将越过不饱和表面基团添加在下一个前体循环中的更具反应性的Si-H键——在该前体暴露循环之后存在使用惰性载气的短暂净化,且工艺再次以等离子体活化步骤开始。经选择用于所述工艺的等离子体气体可为在产生适合于H提取的自由基时有效(尤其在等离子体在面板后以远程方式产生的情况下)的任何气体。替代地,等离子体可直接产生于喷淋头与晶片之间,作为近端(local)等离子体。在两种情况下在整个工艺期间传输的气体混合物都可为惰性载气与可能提供对H提取有效的物质的气体的混合物——所述物质可包括H原子和CH3取代基。
因此,本发明的特定实施方式大体而言提供等离子体增强原子层沉积工艺。在一个实施方式中,使用原子层沉积(ALD)工艺来执行碳化硅沉积。
原子层沉积为用来在基板(例如,半导体基板)上形成薄膜的沉积技术,且该原子层沉积可用来在电路装置的制造过程中形成特征。通过将安放于处理腔室中的基板的表面暴露于反应物或化学前体的交替脉冲,而逐层生长薄膜,每个所述反应物或化学前体经历反应,从而通常提供受控的膜厚度。每个反应物脉冲向先前沉积的层提供额外原子层。在一实施方式中,薄膜生长循环通常由两个脉冲构成,每一脉冲之间以由净化步骤分隔开。可用惰性气体来净化处理腔室,以去除反应物或前体材料。当将第二反应物或前体材料脉冲至反应器中时,第二反应物或前体材料与晶片表面上的前体材料反应。再次使用惰性气体净化反应器。在ALD制造过程中,沉积膜的厚度由循环的次数控制。
原子层沉积也可称为循环沉积,是指按顺序引入两种或更多种反应化合物,以在基板表面上沉积材料层。将两种或更多种反应化合物交替地引入处理腔室的反应区域或工艺区域中。反应化合物可呈气体、等离子体、蒸汽、流体的状态或对气相沉积工艺有用的其他物质状态。通常,每一反应化合物相隔一时间延迟,以允许每一化合物黏着、吸附、吸收于基板表面上和/或在基板表面上反应。在一个方面,将第一前体或化合物A脉冲至反应区域中,继之以第一时间延迟。接下来,将第二前体或化合物B脉冲至反应区域中,继之以第二延迟。化合物A与化合物B反应以形成沉积材料。在每一次时间延迟期间,将净化气体引入处理腔室中,以净化反应区域或以其他方式自反应区域去除任何残留反应化合物或副产物。替代地,净化气体可在整个沉积工艺期间连续地流动,使得仅有净化气体在反应化合物的脉冲之间的时间延迟期间流动。交替地脉冲反应化合物,直至在基板表面上形成沉积材料的所希望的膜厚度为止。在两者中的任一情况下,脉冲化合物A、净化气体、脉冲化合物B和净化气体的ALD处理为一个循环。如本文所使用的沉积气体或处理气体代表单一气体、多种气体、含有等离子体的气体、气体和/或等离子体的组合。沉积气体可含有用于气相沉积工艺的至少一个反应化合物。反应化合物在气相沉积工艺期间可呈气体、等离子体、蒸汽、流体的状态。而且,工艺可含有净化气体或载气而不含有反应化合物。
如本文所使用的“基板表面”是指在制造工艺期间膜处理所执行于的、形成于基板上的任何基板表面或材料表面。举例而言,处理可执行于的基板表面包括诸如硅、氧化硅、应变硅、绝缘层上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料,和诸如金属、金属氮化物、金属合金和其他导电材料的任何其他材料,这取决于应用。基板表面上的阻挡层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽和氮化钽。基板可具有各种尺寸(例如,200mm或300mm直径晶片)以及矩形或正方形格片(pane)。可使用本发明的实施方式的基板包括但不限于半导体晶片,例如,结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或无掺杂多晶硅、掺杂或无掺杂硅晶片和图案化或非图案化晶片。可将基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘焙基板表面。基板包括但不限于玻璃片、陶瓷基板和半导体晶片。可将基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘焙基板表面。除直接在基板自身的表面上的膜处理的外,在本发明中,也可在形成于基板上的下层上执行所揭示的任何膜处理步骤,如下文更详细地揭示,且术语“基板表面”意欲包括如上下文指示的此下层。
处理腔室经构造成在气相沉积工艺期间将基板暴露于一连串的气体和/或等离子体。前体通常呈蒸汽或气体形式。可使用载气传输反应物。载气、净化气体、沉积气体或其他处理气体可含有氮、氢、氩、氖、氦或上述气体的组合。等离子体可用于沉积、形成、退火、处理或本文所述的其他的材料处理。可自等离子体反应物气体点燃本文所述的各种等离子体,例如氮等离子体或惰性气体等离子体,和/或所述各种等离子体可含有等离子体反应物气体。等离子体反应物气体可含有氮、氢、氩、氖、氦或上述气体的组合。在一些实例中,氮等离子体含有氮和氢。
可自各种孔或出口经由气体通道将用于工艺的各种气体脉冲至入口中,并脉冲至中央通道中。在另一实施方式中,可在另一PE-ALD工艺期间形成基板上的膜或层,该另一PE-ALD工艺提供一种或多种前体和等离子体(例如,氮等离子体)的顺序脉冲。在这些实施方式中,通常在工艺期间离子化试剂。PE-ALD工艺使得等离子体在处理腔室的外部产生,例如,通过远程等离子体产生器系统。在PE-ALD工艺期间,可自微波(MW)频率产生器或射频(RF)产生器来产生等离子体。
在一些实施方式中,可在本文所述用于沉积或形成材料的方法期间使用的等离子体系统和处理腔室或系统包括可购自Applied Materials,Inc.(位于SantaClara,Calif)的CVD腔室。等离子体系统和处理腔室的进一步揭示内容描述于共同让渡的美国专利第5,846,332号、第6,079,356号和第6,106,625号中。在其他实施方式中,可在本文所述用于沉积或形成材料的方法期间使用的PE-ALD处理腔室或系统描述于共同让渡的美国专利申请案第12/494,901号中,该案申请于2009年6月30日,作为美国专利申请公开案第20100003406号公开。在本文所述的一些实施方式中使用的ALD处理腔室可含有各种盖组件。在本文所述的一些实施方式期间还可使用其他ALD处理腔室,所述其他ALD处理腔室可购自Applied Materials,Inc.(位于Santa Clara,Calif)。ALD处理腔室的详细描述可见于共同让渡的美国专利第6,878,206号、第6,916,398号和第7,780,785号中。在另一实施方式中,可用来沉积材料的配置成ALD模式以及常规CVD模式操作的腔室描述于共同让渡的美国专利第7,204,886号中。
ALD工艺使得可用自约0.01托尔至约80托尔的范围内(例如,约0.1托尔至约10托尔,且更特别地,自约0.5托尔至约5托尔)的压力加压处理腔室或沉积腔室。而且,根据一个或多个实施方式,可将腔室或基板加热至小于约600℃的温度,例如,约400℃或更少,例如,在自约200℃至约400℃的范围内,且在其他实施方式中小于约300℃、小于约200℃或小于约100℃,例如,在约50℃至100℃的范围内,例如,在约70℃至90℃的范围内。
在特定实施方式中,等离子体为经由双通道喷淋头或面板传输的远程产生的等离子体。根据一个或多个实施方式,经由第一组通道传输远程产生的等离子体,该第一组通道与用于传输前体的第二组通道分离。某些前体应与等离子体分离以防止前体的降解。如上所述,经选择用于所述工艺的等离子体气体可为在产生适合于H提取的自由基时有效——尤其在等离子体在面板后以远程方式产生的情况下——的任何气体。用于等离子体的这类气体的适合的实例包括但不限于氮、氢、氩、氦、氙和氖。
在特定实施方式中,等离子体为经由双通道喷淋头或面板传输的远程产生的等离子体。根据一个或多个实施方式,经由第一组通道传输远程产生的等离子体,该第一组通道与用于传输前体的第二组通道分离。某些前体应与等离子体分离以防止前体的降解。
现参阅图2A,图示了喷淋头系统700的简化横截面示意图。喷淋头700构造有两个入口埠,即,入口埠702及入口埠704。第一入口埠702与喷淋头的中心同轴且界定流径(flow path),该流径用于至少一个第一前体沿喷淋头的中心下行然后在面板706背后横向行进。前体离开喷淋头进入面板中的选定的开口后的沉积腔室中。
第二入口埠704可构造成围绕第一埠702流动等离子体气体且使等离子体气体进入气体盒710与面板706之间的区域708中。此后,等离子体气体可在到达沉积区域712之前自区域708流过面板706中的选定的开口。如图2A所示,面板706具有两组开口:第一组开口714和第二组开口716,该第一组开口714提供区域708与沉积区域之间的流体连通,该第二组开口716提供第一入口埠702、面板间隙718与沉积区域712之间的流体连通。
面板706可为双通道面板,该双通道面板保持前体和等离子体气体或物质独立,直至该前体和该等离子体气体或物质离开喷淋头去往沉积区域为止。举例而言,前体在经由开口716离开喷淋头之前可围绕面板间隙718中的开口714行进。诸如圆柱形埠的阻挡可环绕开口714,以防止前体经由这些开口离开。同样地,经由开口714行进的等离子体气体或物质无法流过面板间隙718且流出第二开口716而进入沉积区域中。
在一个或多个实施方式中,面板706及台座724可形成电极,以在基板722上方的沉积区域中产生电容耦合的等离子体726。
系统700也可构造成在面板背后的区域708中产生第二等离子体728。如图1B所示,可通过在气体盒710与面板706之间施加RF电场而产生该等离子体728,该气体盒710和该面板706形成等离子体的电极。该等离子体可由自第二入口部704流至区域708中的等离子体气体制得。第二等离子体728可用来自等离子体气体产生反应性等离子体物质。
在图2B中,面板706可充当沉积区域中的第二等离子体728和第一等离子体726两者的电极。该双区域等离子体系统可使用同时等离子体以在面板706背后产生等离子体物质。
图3A和图3C图示了面板802中的第一组开口804和第二组开口806的两种构造,前体和等离子体物质在到达沉积区域之前可独立地流过该第一组开口804和该第二组开口806。图3A图示了同心开口设计的横截面,在该同心开口设计中,第一组开口804经由直导管传递等离子体物质,而第二组开口806经由环绕第一开口的同心环形圈开口传递前体。等离子体气体或物质和前体在面板背后彼此隔离且在自开口804和开口806出现于沉积区域中时首先混合并反应。
图3B图示了一部分的面板802,该部分的面板802展示了形成于面板表面中的第一开口804和第二开口806的阵列。第二环形开口806由最外面板层与管状壁之间的间隙形成,所述管状壁界定第一开口804。在图中所示的实施方式中,环形间隙开口806在中心开口804的壁周围为约0.003英寸,所述中心开口804的直径为约0.028英寸。当然,也可对第一开口和第二开口使用其他大小。等离子体物质通过这些环形开口806并环绕自中心开口804出现的前体。
图3C图示了平行开口设计的横截面,在该平行开口设计中,第一组开口808仍产生用于等离子体物质的直导管,而第二组平行邻接开口810提供用于前体的独立的流动通道。两组开口彼此隔离,因此等离子体物质和前体并不混合和反应,直至离开喷淋头进入反应区域为止。
如图3D中所示,离开开口810的前体可自喷淋头的边缘区域流至中心。形成于前体源与开口810之间的通道与自区域812经由开口808流至沉积区域中的等离子体物质流体隔离。前体可由形成于喷淋头的周边中和/或周围的一个或多个流体通道提供。
上文参看图2A至图2B和图3A至图3D所示的喷淋头和面板构造可用于原子层沉积系统中,该原子层沉积系统包括处理腔室;用于产生等离子体的RF产生器;气体分配盘,该气体分配盘包括待用于ALD工艺(例如,SiO2和SiN和SiC)中的各种气体的气体棒的适合阵列;能够在前体、净化气体和等离子体之间快速切换的ALD阀门/螺线管;RF匹配和可编程逻辑控制器或实施ALD控制策略以使工艺顺序进行的其他适合控制,在该工艺顺序中,流动等离子体气体,触发(与控制器连通的RF产生器和匹配)等离子体,且以循环方式流动前体以提供循环沉积/原子层沉积工艺。
参阅图4,现将描述用于形成碳化硅的原子层沉积工艺循环的示例性实施方式。在详细的实施方式中,允许等离子体气体(例如,Ar、H2或其他气体)连续地流动。在沉积工艺的过程期间可改变净化气体的流率,且可完全停止该净化气体的流率。
基板的准备
任选的工艺步骤涉及基板的准备,已使用等离子体或其他适合的表面处理来处理该基板,以在基板的表面上提供活性位点。参阅图4,在时间点A将等离子体点燃(或引入沉积腔室),且在时间点B关闭等离子体(或截断流)。适合活性位点的实例包括但不限于-H末端活性位点和-OH末端活性位点。
将前体气体传输至基板表面
可将具有活性位点的基板暴露于前体气体,用于形成碳化硅。仍参阅图4,可在时间点C将第一前体气体引入沉积腔室,且在时间点D截断该第一前体气体。通常在等离子体与前体气体之间存在一段时间,以允许净化气体自沉积腔室去除任何未反应物质和副产物。可取决于所涉及的特定反应而改变间隙的时间长度。在特定实施方式中,前体气体为如上文所述用于形成SiC的前体气体(例如,1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷和2,4,6-三硅庚烷)或通过传递载气(例如,氮或氩)经过前体的安瓿而形成的蒸汽。可加热安瓿。可以在自约100sccm至约2,000sccm的范围内的任何适合流率下传输前体气体,例如,自约200sccm至约1,000sccm,且在特定实施方式中,自约300sccm至约700sccm,例如,约500sccm。可将基板暴露于前体气体达自约0.1秒至约8秒的范围内的时段,例如,约1秒至约5秒,且在特定实例中,自约2秒至约4秒。一旦反应物气体物质吸附于基板上,则可停止前体气体流。
净化
可在停止反应物气体流之后将基板和腔室暴露于净化步骤。该净化在图4上的时间点D开始且延伸直至时间点E为止,在时间点E基板可再次经受等离子体。可使用在约100sccm至约2,000sccm的范围内的流率将净化气体供应至处理腔室中,例如,自约200sccm至约1,000sccm,且在特定实例中,自约300sccm至约700sccm,例如,约500sccm。净化步骤去除处理腔室内的任何过量前体、副产物和其他污染物。可将净化步骤实施达自约0.1秒至约8秒的范围内的时段,例如,自约1秒至约5秒,且在特定实例中,自约2秒至约4秒。载气、净化气体、沉积气体或其他处理气体可含有氮、氢、氩、氖、氦或上述气体的组合。在一个实例中,载气含有氮。如图4中所示可重复循环。
实例:
实例1
使用表1中列出的条件,使用1,3,5-三硅戊烷沉积三个SiCN膜。使用多步PECVD沉积和处理型顺序来形成膜1、膜2及膜3,其中在第一步中使用非常低的功率(20W)和非常短的时间(0.25秒)。此非常低的功率和短暴露时间在每一循环形成3-4A的“晶种”层。在完成此第一步之后,关闭1,3,5-三硅戊烷前体的流。继续惰性气体流,直至自处理腔室净化了前体的残留痕量为止。一旦完成净化,则再调整气流且将气流稳定在选定的用于第一等离子体处理步骤和再次用于第二等离子体处理步骤的值,如表1中所指示。在完成全部顺序之后,接着重复整个循环,直至达到所希望的膜厚度为止,对于该所希望的膜厚度,在此报告的测量为至少100A且更一般化地为200A厚。
膜1、膜2和膜3所使用的等离子体致密化和氮化步骤不同。膜2是以与膜1相同的方式沉积,但是还以暴露于He/Ar等离子体为特征。膜3是以与膜2相同的方式沉积,但以100W的氮等离子体为特征,而非用于膜1和膜2的200W。表1还展示了使用卢瑟福背向散射(Rutherford backscattering)判定的在各种条件下沉积的所有三个膜的元素组成。应注意到,在此特定情况下,不执行针对氢含量的膜分析,虽然可能存在剩余在膜中的残余氢。关于衍生自实例2中所述的前体六甲基二硅氮烷(hexamethyl disilazane;HMDS)的膜的数据的最相关比较为C:Si比率和N:Si比率,所述比率可独立于H含量而计算。因为1,3,5-三硅戊烷不含氮,所以加入衍生自1,3,5-三硅戊烷的膜中的所有氮皆可归于在等离子体处理期间添加的氮气的存在。特定处理条件的选择提供用于调整最终膜组成的一些手段。
表1:沉积膜的元素含量
Figure BDA00003056257800231
蚀刻行为被判定为非线性的,且虽然不希望受任何特定理论束缚,但是蚀刻行为看似涉及薄氧化表面层的相对快速的去除,此后,后续延长暴露于蚀刻剂具有极少的效果。然而,出于将结果与实例2的结果进行比较的一致性,基于100:1HF中5分钟的蚀刻时间报告速率。使用6:1BOE(6份浓缩NH4F/1份浓缩HF)来观察类似的行为。
图8A为实例1的SiCN膜的傅立叶变换红外(FTIR)光谱的图形表示。图8C表示了膜1,该膜1为典型的基线工艺条件。图8B表示了膜2。图8A中表示了膜3。三个数据集中的每一个进行了标准化。在约3300cm-1处的峰值对应于N-H键接。在约2300cm-1处的峰值对应于存在于环境空气中的CO2。居中于900cm-1周围的宽峰值对应于SiCN和自膜1所看出的偏移。自膜1至膜3所看出的偏移归因于增加的碳含量,该偏移也对应于这些膜对湿式HF蚀刻化学品的增加的抗性。
实例2(比较性):
使用前体六甲基二硅氮烷(HMDS)沉积额外SiCN膜4至膜6,该前体六甲基二硅氮烷具有化学式[(CH3)3Si]2NH。因此,HMDS不含桥联至少两个硅原子的碳原子。HMDS具有3:1的碳与硅比率,并且每一硅原子键接至三个甲基取代基和一个氮。将类似在沉积膜1至膜3中使用的一系列循环沉积用于膜4、膜5及和6的沉积,并且结果列于以下表2中。在每一情况下,类似于在实例1中用于1,3,5-三硅戊烷的条件,在20W RF、6托尔下,使用Ar载气自压力受控蒸汽吸引安瓿传输HMDS,来沉积“晶种”层。沉积速率被判定为与总等离子体接通时间/循环成近似线性关系,且初始步骤继之以长期惰性气体净化,以自腔室去除残留前体。仅使用氢等离子体处理循环来沉积膜4。使用H2等离子体继之以N2等离子体来沉积膜5。使用包含H2与N2的混合物的等离子体来沉积膜6。
表2还示出了膜4至膜6的元素含量(如由卢瑟福背向散射所判定)以及100:1的湿式HF蚀刻速率。应注意到,不同于膜1至膜3中,用于膜4至膜6的卢瑟福背向散射分析包括判定膜中的氢含量。因此,膜1至膜3与膜4至膜6之间的直接比较限于碳与硅比率或氮与硅比率。
表2:沉积膜的元素含量
Figure BDA00003056257800241
图5至图7分别为膜4至膜6的傅立叶变换红外(FTIR)光谱的图形表示。图5中的结果表示沉积继之以仅使用H2等离子体。图6中的结果表示使用H2等离子体继之以N2(依次)等离子体处理的沉积,该等离子体处理类似于实例1中应用的等离子体处理。图7中的结果表示使用包含H2和N2的混合物的等离子体的沉积,且导致自膜完全去除碳。
与使用1,3,5-三硅戊烷的工作相对比,发现减少红外(IR)光谱中的C-H吸收和诱发在约800cm-1至1000cm-1处SiCN区域中的生长所必需的条件导致了碳的实质去除。事实上,在没有任何额外处理的情况下,C:Si比率(如由RBS所判定)自3:1的初始值下降至仅1.3:1。虽然在100:1HF中缓慢去除膜4,但是额外步骤或替代性工艺的应用经历显著较高的碳损失并展现对由100:1HF蚀刻的低抗性,所述额外步骤涉及短N2等离子体步骤(如膜5中所见且类似于实例1膜中所使用的步骤),所述替代性工艺将H2和N2等离子体组合为单一步骤(如膜6中所见)。
有趣的是,添加至用于膜4处理的工艺的每一循环以给予膜5的N2等离子体步骤导致C:Si比率自1.3:1减少至0.72:1,并且结果仍高于针对1,3,5-三硅戊烷衍生膜1至膜3测量的介于0.38:1与0.58:1之间的比率。但正是1,3,5-三硅戊烷衍生膜展现优越的抗蚀刻性。
虽然不希望受任何特定理论束缚,但是这些结果表明,与原始以末端甲基基团的形式存在的碳相比,存在于前体(和衍生自所述前体的低功率晶种膜)中的桥联碳原子被更有效地保持,且更有效地被转换为抗蚀刻碳化物。此外,应注意到,较高RF功率水平和较长H2和/或惰性气体等离子体处理时间为必要的,以促进将HMDS衍生的晶种缩合至近似1,3,5-三硅戊烷衍生膜的性质水平。使用(实例1的所有膜转换为SiCN所需要的)最终氮等离子体步骤来准备实例1的所有膜——在该最终氮等离子体步骤之后,表明所述膜仍展现对湿式HF蚀刻工艺相当高的(且有用的)抗性。然而,在膜5(衍生自前体HMDS)的准备过程中应用类似工艺导致该膜5的HF蚀刻抗性损失——即使最终C:Si比率保持高于(0.75)在任何1,3,5-三硅戊烷衍生膜中的测量值。可断定,原始作为Si原子之间的“桥联”亚甲基存在的碳转换为某形式,该形式对蚀刻行为施加了比可单独使用组成分析来估计的影响大得多的影响。在1,3,5-三硅戊烷的情况下,氮等离子体步骤的添加可有效加入氮,而不对C:Si比率施加大的效果(自根据前体中的比率计算的值0.67:1下降至膜3的情况下的0.53:1)。在用于HMDS膜4的致密化工艺的结尾添加类似的氮等离子体步骤导致对碳含量更加显著的影响(1.3下降至0.72,随之抗蚀刻性严重降级),从而表明在每一情况下保持碳的键接显著不同。
虽然事实上或许可能通过将主动离去基团加入HMDS分子上(通过以卤化物或氰化物替换甲基取代基之一)实现更经典、更具自限反应性的工艺,但是这种前体的稳定性可被已存在的潜在反应性、虽然稍微受阻的N-H键严重折衷。出于此原因,具有桥联碳和反应性Si-H键的前体(例如,1,3,5-三硅戊烷)尤其适合作为SiCN前体,因为有效地保持碳,同时仍容许氮的引入(例如,通过插入至Si-H键或Si-Si键中)。这导致最初未存在于前体自身中的反应性官能团的产生,进而使得使用本文所述的各种“经活化”衍生物的方案的能够使用,在分子中已存在N-H官能团的情况下,如衍生自HMDS的材料的情况,预期大多数或所有所述方案将不可行。
因此,实例2的膜表明,展现合意的蚀刻性质的组合物需要长得多且更具攻击性的基于H2/惰性等离子体的致密化步骤,在所述致密化步骤之后,膜仍不够稳定以容许在没有碳和抗蚀刻性的显著损失的情况下使用氮等离子体活化步骤。这证实了根据本发明各种实施方式沉积的实例1的膜的优越性。
因此,诸如1,3,5-三硅戊烷的前体(所述前体在Si原子之间的桥联位置中加入有碳)相对于具有诸如甲基(-CH3)的非桥联碳取代基的更普通的前体存在明显益处,当以要求膜展现出对诸如HF(100:1H2O/浓缩HF)的化学品,或诸如设计成快速蚀刻SiO2的缓冲氧化物蚀刻(6:1的浓缩NH4F与浓缩HF的混合物)的混合物的高湿式蚀刻抗性的应用为目标时,此益处尤其明显。
在整篇说明书中对“一个实施方式”、“某些实施方式”、“一个或多个实施方式”或“一实施方式”的参考意思是,结合实施方式描述的特定特征、结构、材料或特性包括于本发明的至少一个实施方式中。因此,诸如“在一个或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”的用语出现于整篇说明书的不同位置并非必然指本发明的相同实施方式。此外,可以任何适合的方式将特定特征、结构、材料或特性组合于一个或多个实施方式中。
尽管已参阅特定实施方式描述本文的本发明,但是将理解,这些实施方式仅说明本发明的原理和应用。对于本领域技术人员将为显而易见的是,在不脱离本发明的精神和范畴的情况下可对本发明的方法和设备进行各种修改和变化。因此,希望本发明包括在所附权利要求和权利要求的等效物的范围内的修改和变化。

Claims (15)

1.一种用于在基板表面上形成碳化硅膜的方法,所述方法包括以下步骤:将具有反应性表面的基板暴露于气相碳硅烷前体,以在所述基板表面上形成碳化硅层,其中所述碳硅烷前体含有桥联至少两个硅原子的至少一个碳原子。
2.如权利要求1所述的方法,所述方法进一步包括以下步骤:使用对去除氢有效的等离子体来处理所述碳化硅层。
3.如权利要求1所述的方法,其中所述碳硅烷前体为1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷和2,4,6-三硅庚烷。
4.如权利要求1所述的方法,其中在小于600℃的温度下将所述基板暴露于所述气相碳硅烷前体。
5.如权利要求1所述的方法,所述方法进一步包括以下步骤:使用等离子体来处理所述基板表面上的所述碳化硅层,以活化所述碳化硅层;以及将所述经活化的碳化硅层暴露于第二气相碳硅烷前体,以在所述基板表面上形成额外碳化硅层。
6.如权利要求5所述的方法,其中所述第二气相碳化硅不同于所述经活化的碳化硅层中的所述碳化硅。
7.一种在基板表面上形成层的方法,所述方法包括以下步骤:
将所述基板表面暴露于碳硅烷前体,所述碳硅烷前体含有桥联至少两个硅原子的至少一个碳原子;
将所述碳硅烷前体暴露于低功率能量源,以在所述基板表面处提供碳硅烷;
致密化所述碳硅烷;以及
将所述碳硅烷表面暴露于氮源。
8.如权利要求7所述的方法,其中致密化所述碳硅烷包括以下步骤:将所述基板表面暴露于等离子体,所述等离子体含有He、Ar和H2中的一种或多种。
9.如权利要求7所述的方法,其中所述碳硅烷前体含有桥联至少两个硅原子的亚甲基基团。
10.如权利要求9所述的方法,其中所述碳硅烷前体为1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷和2,4,6-三硅庚烷中的一种或多种。
11.如权利要求7所述的方法,其中将所述碳硅烷暴露于氮源包括以下步骤:流动氨或将所述碳硅烷暴露于含有氮的等离子体。
12.如权利要求7所述的方法,其中将所述碳硅烷前体暴露于低功率能量源包括以下步骤:将所述碳硅烷前体暴露于低功率等离子体、紫外辐射、电子束或离子束。
13.一种通过等离子体增强原子层沉积在基板上形成层的设备,所述设备包括:沉积腔室;基板平台,所述基板平台在所述沉积腔室中以固持所述基板;等离子体产生系统,所述等离子体产生系统耦接至所述沉积腔室,其中所述等离子体产生系统用来产生反应性等离子体;气体分配系统,所述气体分配系统包含双通道喷淋头,所述双通道喷淋头设置在所述基板平台上方,其中所述喷淋头包含具有第一组开口和第二组开口的面板,等离子体物质经由所述第一组开口进入所述沉积腔室,前体经由所述第二组开口进入所述沉积腔室,且其中所述等等离子体物质和所述前体并不混合,直至进入所述沉积腔室为止。
14.如权利要求13所述的设备,其中所述等离子体以远离所述沉积腔室的远程方式产生。
15.如权利要求13所述的设备,其中所述前体包括1,3-二硅丙烷、1,3-二硅丁烷、1,3-二硅环丁烷、1,3,5-三硅环己烷、1,3,5-三硅己烷、1,3,5-三硅戊烷、1,3,5,7-四硅庚烷和2,4,6-三硅庚烷中的一种或多种。
CN2011800500079A 2010-11-03 2011-11-03 用于沉积碳化硅和碳氮化硅膜的设备和方法 Pending CN103168344A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US40973510P 2010-11-03 2010-11-03
US61/409,735 2010-11-03
US201161534122P 2011-09-13 2011-09-13
US61/534,122 2011-09-13
PCT/US2011/059135 WO2012061593A2 (en) 2010-11-03 2011-11-03 Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US13/288,157 2011-11-03
US13/288,157 US8440571B2 (en) 2010-11-03 2011-11-03 Methods for deposition of silicon carbide and silicon carbonitride films

Publications (1)

Publication Number Publication Date
CN103168344A true CN103168344A (zh) 2013-06-19

Family

ID=46025107

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800500079A Pending CN103168344A (zh) 2010-11-03 2011-11-03 用于沉积碳化硅和碳氮化硅膜的设备和方法

Country Status (4)

Country Link
US (1) US8440571B2 (zh)
KR (1) KR20130135261A (zh)
CN (1) CN103168344A (zh)
WO (1) WO2012061593A2 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425313A (zh) * 2013-09-09 2015-03-18 株式会社日立国际电气 制造半导体设备的方法和基材处理装置
CN106999858A (zh) * 2014-12-18 2017-08-01 欧洲技术研究圣戈班中心 包括含氮sic膜的过滤器
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
CN108028172A (zh) * 2015-09-19 2018-05-11 应用材料公司 使用硅氢加成钝化的表面选择性原子层沉积
CN108511332A (zh) * 2017-02-28 2018-09-07 Imec 非营利协会 半导体基材直接结合的方法
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN110313051A (zh) * 2016-12-16 2019-10-08 朗姆研究公司 使用远程等离子体处理使碳化硅膜致密化
CN111593329A (zh) * 2019-02-20 2020-08-28 Asm Ip私人控股有限公司 包括处理步骤的循环沉积方法及用于其的装置
CN113846310A (zh) * 2015-02-06 2021-12-28 诺发系统公司 碳化硅膜的共形沉积
CN114207184A (zh) * 2019-08-06 2022-03-18 朗姆研究公司 含硅膜的热原子层沉积
TWI768618B (zh) * 2020-01-22 2022-06-21 南韓商Eq科技加股份有限公司 薄膜形成裝置及用於形成薄膜的自由基單元
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (421)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8987494B2 (en) 2012-04-11 2015-03-24 Gelest Technologies, Inc. Low molecular weight carbosilanes, precursors thereof, and methods of preparation
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401450B2 (en) 2013-12-09 2016-07-26 Sunpower Corporation Solar cell emitter region fabrication using ion implantation
US9577134B2 (en) 2013-12-09 2017-02-21 Sunpower Corporation Solar cell emitter region fabrication using self-aligned implant and cap
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10699897B2 (en) * 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6656082B2 (ja) * 2016-05-19 2020-03-04 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10395923B2 (en) * 2017-10-11 2019-08-27 Lawrence Livermore National Security, Llc Localized electron beam induced deposition of silicon carbide
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020066669A1 (ja) * 2018-09-27 2020-04-02 Jsr株式会社 半導体基板の処理方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) * 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11443919B2 (en) * 2019-02-11 2022-09-13 Applied Materials, Inc. Film formation via pulsed RF plasma
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TWI823050B (zh) 2020-02-19 2023-11-21 美商應用材料股份有限公司 無氫二氧化矽
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) * 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023543862A (ja) 2020-09-30 2023-10-18 ゲレスト・インコーポレイテッド 炭化ケイ素薄膜及びその蒸着方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995010638A1 (en) * 1993-10-11 1995-04-20 Korea Research Institute Of Chemical Technology Process for the preparation of silicon carbide films using single organosilicon compounds
US20040063984A1 (en) * 2002-07-09 2004-04-01 Qionghua Shen Silicon carbide precursor
CN101675180A (zh) * 2007-02-27 2010-03-17 斯克司聪先进材料公司 用于在基体上形成膜的方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5153295A (en) * 1990-07-20 1992-10-06 Rensselaer Polytechnic Institute Carbosilane polymer precursors to silicon carbide ceramics
US6225238B1 (en) * 1999-06-07 2001-05-01 Allied Signal Inc Low dielectric constant polyorganosilicon coatings generated from polycarbosilanes
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995010638A1 (en) * 1993-10-11 1995-04-20 Korea Research Institute Of Chemical Technology Process for the preparation of silicon carbide films using single organosilicon compounds
US20040063984A1 (en) * 2002-07-09 2004-04-01 Qionghua Shen Silicon carbide precursor
CN101675180A (zh) * 2007-02-27 2010-03-17 斯克司聪先进材料公司 用于在基体上形成膜的方法

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
TWI610346B (zh) * 2013-09-09 2018-01-01 日立國際電氣股份有限公司 製造半導體裝置的方法、基板處理設備、及記錄媒體
US9793107B2 (en) 2013-09-09 2017-10-17 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN104425313B (zh) * 2013-09-09 2017-12-05 株式会社日立国际电气 制造半导体设备的方法和基材处理装置
US9698007B2 (en) 2013-09-09 2017-07-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN104425313A (zh) * 2013-09-09 2015-03-18 株式会社日立国际电气 制造半导体设备的方法和基材处理装置
CN106999858A (zh) * 2014-12-18 2017-08-01 欧洲技术研究圣戈班中心 包括含氮sic膜的过滤器
CN107406978B (zh) * 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
CN113846310A (zh) * 2015-02-06 2021-12-28 诺发系统公司 碳化硅膜的共形沉积
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
CN108028172A (zh) * 2015-09-19 2018-05-11 应用材料公司 使用硅氢加成钝化的表面选择性原子层沉积
CN110313051A (zh) * 2016-12-16 2019-10-08 朗姆研究公司 使用远程等离子体处理使碳化硅膜致密化
CN110313051B (zh) * 2016-12-16 2023-06-09 朗姆研究公司 使用远程等离子体处理使碳化硅膜致密化
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN108511332B (zh) * 2017-02-28 2023-06-20 Imec 非营利协会 半导体基材直接结合的方法
CN108511332A (zh) * 2017-02-28 2018-09-07 Imec 非营利协会 半导体基材直接结合的方法
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN111593329A (zh) * 2019-02-20 2020-08-28 Asm Ip私人控股有限公司 包括处理步骤的循环沉积方法及用于其的装置
CN114207184A (zh) * 2019-08-06 2022-03-18 朗姆研究公司 含硅膜的热原子层沉积
US11661656B2 (en) 2020-01-22 2023-05-30 Eq Tech Plus Co., Ltd. Thin film forming apparatus and radical unit for forming thin film
TWI768618B (zh) * 2020-01-22 2022-06-21 南韓商Eq科技加股份有限公司 薄膜形成裝置及用於形成薄膜的自由基單元

Also Published As

Publication number Publication date
WO2012061593A2 (en) 2012-05-10
WO2012061593A3 (en) 2012-09-27
KR20130135261A (ko) 2013-12-10
US20120122302A1 (en) 2012-05-17
US8440571B2 (en) 2013-05-14

Similar Documents

Publication Publication Date Title
CN103168344A (zh) 用于沉积碳化硅和碳氮化硅膜的设备和方法
TWI732777B (zh) 氧化物蝕刻選擇性系統
US20210320003A1 (en) Method of forming a nitrogen-containing carbon film and system for performing the method
US9040127B2 (en) Low temperature silicon carbide deposition process
JP6929279B2 (ja) SiOおよびSiNを含む流動性膜を堆積させる方法
TWI520212B (zh) 選擇性氮化鈦蝕刻
KR102272941B1 (ko) 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름
JP6661625B2 (ja) 高温酸化ケイ素原子層堆積技術
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
CN103243310B (zh) 在衬底表面上的等离子体激活的保形膜沉积的方法
TWI463566B (zh) 低溫氧化矽轉換
CN100547732C (zh) 通过添加碳降低氮化硅蚀刻速率的方法
KR20190126256A (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
CN110313051A (zh) 使用远程等离子体处理使碳化硅膜致密化
KR20200028041A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
JP2015070270A5 (zh)
TW201543567A (zh) 無鹵素之氣相矽蝕刻
CN103975419A (zh) 等离子体活化保形电介质膜沉积
KR20140074942A (ko) 저온 증착용 활성화된 규소 전구체
TW201448041A (zh) 氮化鈦之選擇性移除
CN111463125A (zh) 使用多个流动途径的自由基化学调制及控制
TW201316407A (zh) 用於半導體處理之流動性矽碳氮層

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130619