TW201316407A - 用於半導體處理之流動性矽碳氮層 - Google Patents

用於半導體處理之流動性矽碳氮層 Download PDF

Info

Publication number
TW201316407A
TW201316407A TW101132769A TW101132769A TW201316407A TW 201316407 A TW201316407 A TW 201316407A TW 101132769 A TW101132769 A TW 101132769A TW 101132769 A TW101132769 A TW 101132769A TW 201316407 A TW201316407 A TW 201316407A
Authority
TW
Taiwan
Prior art keywords
plasma
independently
sir
group
nitrogen
Prior art date
Application number
TW101132769A
Other languages
English (en)
Inventor
Abhijit Basu Mallick
Nitin K Ingle
lin-lin Wang
Brian S Underwood
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201316407A publication Critical patent/TW201316407A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

對在半導體基板上形成介電層之方法進行了描述。該方法可包括提供含矽前驅物及高能化(energized)含氮前驅物至化學氣相沉積室,該含矽前驅物與該高能化含氮前驅物可於該化學氣相沉積室中反應,而於該基板上沉積流動性矽碳氮材料。該方法可進一步包括處理該流動性矽碳氮材料,以於該半導體基板上形成該介電層。

Description

用於半導體處理之流動性矽碳氮層 相關申請案的交叉引用
本專利申請案主張於2011年9月19日提出申請、標題為「用於半導體處理之流動性含矽碳層(FLOWABLE SILICON-AND-CARBON-CONTAINING LAYERS FOR SEMICONDUCTOR PROCESSING)」的美國臨時專利申請案第61/536,380號的優先權權益。本專利申請案亦主張Mallick等人於2011年9月9日提出申請、標題為「用於半導體處理之流動性矽碳氮層(FLOWABLE SILICON-CARBON-NITROGEN LAYERS FOR SEMICONDUCTOR PROCESSING)」的美國臨時專利申請案第61/532,708號的優先權權益。本專利申請案亦主張Underwood等人於2011年10月24日提出申請、標題為「在沉積流動性含矽碳氮層之後用於降低蝕刻速度之處理(TREATMENTS FOR DECREASING ETCH RATES AFTER FLOWABLE DEPOSITION OF SILICON-CARBON-AND-NITROGEN-CONTAINING LAYERS)」的美國臨時專利申請案第61/550,755號的優先權權益。本專利申請案亦主張Underwood等人於2011年12月7日提出申請、標題為「介電層之摻雜(DOPING OF DIELECTRIC LAYERS)」的美國臨時專利申請案第61/567,738號的優先權權益。為了所有的目的,將以上每一件美國臨時專利申請案之內容全部併入本文中。
本發明係關於半導體處理技術。
半導體電路元件的小型化已經到達了以商業規模製造45 nm、32 nm,甚至是28 nm的特徵尺寸之時間點,隨著尺寸不斷變小,看似平凡的製程步驟也產生了新的挑戰,像是將作為電絕緣的介電材料填充於電路元件之間的縫隙。由於元件之間的寬度不斷縮小,該等元件之間的縫隙時常會變得更高、更窄,使得填充縫隙時介電材料沒有被卡住而產生孔隙和弱接縫是很難的。現有的化學氣相沉積(CVD)技術經常遭遇在完全填滿縫隙之前材料即在縫隙頂部增生,此舉會在縫隙中產生孔隙或接縫,使得沉積的介電材料被該增生過早地切斷,是一個有時也稱作「麵包塊化(breadloafing)」的問題。
麵包塊化問題的現有解決方案是使用液體前驅物作為介電質起始材料,介電質起始材料更容易被注入縫隙中,就像將水裝入玻璃杯一樣,目前在商業使用中如此做的一種技術被稱為旋塗式玻璃(SOG),並採用液體前驅物,該液體前驅物通常是有機矽化合物,而且將有機矽化合物旋轉塗佈於晶圓基板的表面上。雖然液體前驅物的麵包塊化問題較少,但是當前驅物材料轉化為介電 材料時會出現其他的問題。該等轉化時常涉及將沉積的前驅物曝露於分出與趕出該材料中的碳基團之條件下,通常是藉由使該等碳基團與氧反應而產生從該縫隙逸出的一氧化碳與二氧化碳氣體。該等逸出氣體會在介電材料中留下氣孔和氣泡,類似於逸出的二氧化碳在烘烤麵包中留下孔洞。留在最終介電材料中的增大孔隙率會有與現有的CVD技術產生的孔隙和弱接縫相同的有害影響。
近來,賦予CVD沉積的介電材料流動性特性的技術已被開發。該等技術可以沉積流動性前驅物來填充又高又窄的縫隙,而且不會產生孔隙或弱接縫,同時也避免排出顯著量的、會留下氣孔和氣泡的二氧化碳、水及其他物種的氣體之需要。示例性的流動性CVD技術已經使用無碳的矽前驅物,無碳的矽前驅物被沉積在縫隙中之後很少需要去除碳。
雖然新的流動性CVD技術表示將介電材料(如氧化矽)填充於又高又窄的(即高深寬比的)縫隙之重大突破,但仍然需要可以將富含碳的低介電常數(κ)介電材料無縫地填充於該等縫隙之技術。該等材料通常具有比純的氧化矽或氮化物更低的介電常數(κ),並且該等材料通常藉由結合矽與碳物種來實現該等較低的κ水平。除了其他主題之外,本申請案藉由描述用於在基板上形成含矽碳介電材料之流動性CVD技術而解決了該需要。
對在半導體基板上形成及硬化流動性矽碳氮(Si-C-N)層之方法進行了描述。矽與碳組分可來自含矽碳前驅物,而氮可來自已活化的含氮前驅物,以加速氮與含矽碳前驅物於較低的沉積室溫度下之反應。示例性的前驅物包括1,3,5-三矽戊烷(H3Si-CH2-SiH2-CH2-SiH3)作為含矽碳前驅物及電漿活化氨(NH3)作為含氮前驅物。可使用1,4,7-三矽庚烷來取代或加強1,3,5-三矽戊烷。該等前驅物在沉積室中反應時會在半導體基板上沉積流動性Si-C-N層。在基板上那些以高深寬比的縫隙建構的部分中,該流動性Si-C-N材料可被沉積進入該等縫隙中,並且具有明顯較少的孔隙與弱接縫。
初始沉積的流動性Si-C-N可包括顯著數量的Si-H與C-H鍵結,該等鍵結可與空氣中的濕氣和氧以及各種蝕刻劑反應,而造成薄膜加速老化與污染以及蝕刻劑有更高的濕蝕刻速度比(WERR)。在沉積之後,可將Si-C-N薄膜硬化,以減少最終薄膜中Si-H鍵結的數量,同時也增加最終薄膜中Si-Si、Si-C及/或Si-N鍵結的數量。該硬化亦可減少最終薄膜中C-H鍵結的數量並增加C-N及/或C-C鍵結的數量。硬化技術包括使流動性Si-C-N薄膜曝露於電漿,如誘導式耦合電漿(例如高密度電漿化學氣相沉積(HDP-CVD)電漿)或電容式耦合電漿(例如電漿增強化學氣相沉積(PE-CVD)電漿)。在某些實 施例中,沉積室可配備有原位電漿產生系統,以在沉積之後進行電漿處理而不需將基板從該沉積室移出。或者,可將基板轉移至同一製造系統中的電漿處理單元,而不需破真空及/或從該系統移出基板,以允許初始沉積的Si-C-N薄膜可在曝露於來自空氣的濕氣和氧之前進行硬化步驟。
最終的Si-C-N薄膜對於現有的氧化物與氮化物介電質蝕刻劑可皆展現增強的蝕刻抗性。舉例來說,Si-C-N薄膜對於稀釋的氫氟酸溶液(DHF)可以比氧化矽薄膜具有較好的蝕刻抗性,而且Si-C-N薄膜對於熱磷酸溶液也可以比氮化矽薄膜具有較好的蝕刻抗性。對於現有的氧化物與氮化物蝕刻劑皆增強的蝕刻抗性允許該等Si-C-N薄膜在製程常序的過程中保持不受損傷,該製程常序會使基板曝露於該二種類型的蝕刻劑中。
本發明的實施例包括於半導體基板上形成介電層之方法,該方法可包括提供含矽前驅物及高能化含氮前驅物至化學氣相沉積室之步驟,使該含矽前驅物與該高能化含氮前驅物可於該沉積室中反應,而於該基板上沉積流動性矽碳氮材料。該方法可進一步包括處理該流動性矽碳氮材料以於該半導體基板上形成該介電層。
本發明的實施例可進一步包括處理流動性矽碳氮層以降低該層之濕蝕刻速度比(WERR)的方法,該方法可包含藉由含矽前驅物與活化氮前驅物之化學氣相沉積而在基板上形成該流動性矽碳氮層。該方法可進一步包括使該 流動性矽碳氮層曝露於電漿,其中該電漿曝露減少該層中Si-H鍵結之數量並增加該層中Si-C鍵結之數量,以及其中該電漿曝露降低該層之WERR。
在以下的部分描述中提出另外的實施例與特徵,而且對於本技術領域中具有通常知識者而言,在檢視本說明書之後其中的部分將變得顯而易見,或者是可藉由實施本發明而學習其中的部分。藉由說明書中描述的手段、組合以及方法可實現及獲得本發明之特徵與優點。
對應用流動性CVD技術來形成流動性含矽碳氮的材料之方法進行了描述。可以進一步處理該等流動性Si-C-N薄膜,以形成可用於製造積體電路的Si-C-N毯覆層、縫隙填充以及犧牲性阻障層(還有其他元件)。
示例性的Si-C-N形成方法
現在參照第1圖,在基板上形成含矽碳氮的介電層之方法中的精選步驟。該方法可包括提供含矽前驅物至化學氣相沉積室的步驟102。含矽前驅物可提供矽組分給沉積的Si-C-N薄膜,而且含矽前驅物也可提供碳成分。示例性的含矽前驅物除了其他之外包括1,3,5-三矽戊烷(1,3,5-trisilapentane)、1,4,7-三矽庚烷(1,4,7-trisilaheptane)、二矽環丁烷 (disilacyclobutane)、三矽環己烷(trisilacyclohexane)、3-甲基矽烷(3-methylsilane)、矽環戊烯(silacyclopentene)、矽環丁烷(silacyclobutane)以及三甲基矽基乙炔(trimethylsilylacetylene):
另外的示例性含矽前驅物可包括單-、二-、三-、四-及五-矽烷,其中一或多個中央矽原子被氫及/或飽和及/或不飽和烷基基團環繞。該等前驅物之實例可包括SiR4、Si2R6、Si3R8、Si4R10及Si5R12,其中每個R基團係獨立為氫(-H)或飽和或不飽和烷基基團。該等前驅物之特定實例可非限制性地包括以下結構;
更多的示例性含矽前驅物可包括具有式R3Si-[CR2]x-SiR3的二矽烷,其中每個R係獨立為氫(-H)、烷基基團(例如-CH3、-CmH2m+2,其中m為1至10之數)、不飽和烷基基團(例如-CH=CH2)以及其中x為0至10之數。示例性的矽前驅物亦可包括具有式R3Si-[CR2]x-SiR2-[CR2]y-SiR3的三矽烷,其中每個R係獨立為氫(-H)、烷基基團(例如-CH3、-CmH2m+2,其中m為1至10之數)、不飽和烷基基團(例如-CH=CH2)以及其中x與y係獨立為0至10之數。示例性的含矽前驅物可進一步包括具有R3Si-[CH2]n-[SiR3]m-[CH2]n-SiR3形式的矽基烷(silylalkane)與矽基烯(silylalkene),其中 n與m可獨立為1至10之整數,以及每個R基團係獨立為氫(-H)、甲基(-CH3)、乙基(-CH2CH3)、乙烯(-CHCH2)、丙基(-CH2CH2CH3)、異丙基(-CHCH3CH3)等。
示例性的含矽前驅物可進一步包括聚矽基烷化合物,且亦可包括具有複數個矽原子的化合物,該等化合物係選自具有式R-[(CR2)x-(SiR2)y-(CR2)z]n-R的化合物,其中每個R係獨立為氫(-H)、烷基基團(例如-CH3、-CmH2m+2,其中m為1至10之數)、不飽和烷基基團(例如-CH=CH2)或矽烷基團(例如-SiH3,-(Si2H2)m-SiH3,其中m為1至10之數)以及其中x、y及z係獨立為0至10之數,且n為0至10之數。在揭示的實施例中,x、y及z係獨立為介於1與10之間且包含1與10的整數。在本發明之實施例中x與z係相等,且在某些實施例中不管x與z是否相等,y可等於1。在某些實施例中n可為1。
對於兩個R基團皆為-SiH3的實例,該化合物將包括具有式H3Si-[(CH2)x-(SiH2)y-(CH2)z]n-SiH3的聚矽基烷。含矽化合物也可包括具有式R-[(CR' 2)x-(SiR" 2)y-(CR' 2)z]n-R的化合物,其中每個R、R'及R"係獨立為氫(-H)、烷基基團(例如-CH3、-CmH2m+2,其中m為1至10的數)、不飽和烷基基團(例如-CH=CH2)、矽烷基團(例如-SiH3、-(Si2H2)m-SiH3,其中m為1至10的數)以及其中x、y及z係獨立為0至10的數,而且n為0至10的數。在某些實例中,R' 基團及/或R"基團中之一或多個基團可具有式-[(CH2)x-(SiH2)y-(CH2)z]n-R''',其中R'''為氫(-H)、烷基基團(例如-CH3、-CmH2m+2,其中m為1至10的數)、不飽和烷基基團(例如-CH=CH2)或矽烷基團(例如-SiH3、-(Si2H2)m-SiH3,其中m為1至10的數))以及其中x、y及z係獨立為0至10的數,而且n為0至10的數。
還有更多的示例性含矽前驅物可包括矽基烷與矽基烯,如R3Si-[CH2]n-SiR3,其中n可為1至10的整數,而且每個R基團係獨立為氫(-H)、甲基(-CH3)、乙基(-CH2CH3)、乙烯(-CHCH2)、丙基(-CH2CH2CH3)、異丙基(-CHCH3CH3)等。該含矽前驅物亦可包括矽環丙烷(silacyclopropanes)、矽環丁烷(silacyclobutanes)、矽環戊烷(silacyclopentanes)、矽環己烷(silacyclohexanes)、矽環庚烷(silacycloheptanes)、矽環辛烷(silacyclooctanes)、矽環壬烷(silacyclononanes)、矽環丙烯(silacyclopropenes)、矽環丁烯(silacyclobutenes)、矽環戊烯(silacyclopentenes)、矽環己烯(silacyclohexenes)、矽環庚烯(silacycloheptenes)、矽環辛烯(silacyclooctenes)、矽環壬烯(silacyclononenes)等。該等前驅物的特定實例可非限制性地包括以下結構:
示例性的含矽前驅物可進一步包括一或多個與中央碳原子或部分連結的矽烷基團,該等示例性前驅物可包括具有式H4-x-yCXy(SiR3)x的化合物,其中x為1、2、3或4,y為0、1、2或3,每個X係獨立為氫或鹵素(例如F、Cl、Br),而且每個R係獨立為氫(-H)或烷基基團。示例性的前驅物可進一步包括其中中央碳部分為C2-C6飽和或不飽和烷基基團如(SiR3)xC=C(SiR3)x的化合物,其中x為1或2,而且每個R係獨立為氫(-H)或烷基基團。該等前驅物之特定實例可非限制性地包括以下結構:
其中X可為氫或鹵素(例如F、Cl、Br)。
該含矽前驅物亦可包括氮部分。舉例來說,該前驅物可包括經取代或未經取代的Si-N與N-Si-N部分。舉例來說,該前驅物可包括與一或多個氮部分鍵結的中央Si原子,可以式R4-xSi(NR2)x表示,其中x可為1、2、3或4,而且每個R係獨立為氫(-H)或烷基基團。另外的前驅物可包括與一或多個含Si部分鍵結的中央N原子,可以式R4-yN(SiR3)y表示,其中y可為1、2或3,而且每個R係獨立為氫(-H)或烷基基團。進一步的實例可包括具有整合入環結構的Si-N與Si-N-Si基團之環狀化合物。舉例來說,該環結構可具有三個(例如環丙基)、四個(例如環丁基)、五個(例如環戊基)、六個(例如環 己基)、七個(例如環庚基)、八個(例如環辛基)、九個(例如環壬基)或更多的矽與氮原子。在環中的每個原子可與一或多個懸垂部分如氫(-H)、烷基基團(例如-CH3)、矽烷(例如-SiR3)、胺(-NR2)還有其他的基團鍵結。該等前驅物之特定實例可非限制性地包括以下結構:
在期望形成具有低(或無)氧濃度的Si-C-N薄膜之實施例中,可選擇矽前驅物為無氧前驅物,該無氧前驅物不含氧部分。在該等實例中,不會使用現有的如四乙氧基矽烷(TEOS)或四甲氧基矽烷(TMOS)之矽CVD前驅物 作為含矽前驅物。
另外的實施例亦可包括使用無碳矽源,如矽烷(SiH4)及矽基胺(例如N(SiH3)3),還有其他的矽源。碳源可來自分開的前驅物,該分開的前驅物可被獨立提供至沉積室,或是與含矽前驅物混合。示例性的含碳前驅物可包括有機矽烷前驅物及碳氫化合物(例如甲烷、乙烷等)。在某些實例中,含矽碳的前驅物可與無碳的矽前驅物組合,以調整沉積薄膜中的矽碳比率。
除了含矽前驅物之外,還有可將高能化的含氮前驅物加入沉積室中之步驟104。高能化的含氮前驅物可對沉積Si-C-N薄膜貢獻一些或全部的氮組分。使含氮前驅物流入遠端電漿以形成電漿流出物,亦稱為高能化的含氮前驅物。示例性的含氮前驅物之來源可包括氨(NH3)、聯氨(N2H4)、胺、NO、N2O及NO2,還有其他的來源。含氮前驅物可伴隨一或多種另外的氣體,如氫(H2)、氮(N2)、氦、氖、氬等。含氮前驅物亦可含有碳,該碳提供了沉積的Si-C-N層中至少一些的碳組分。示例性的亦含有碳的氮前驅物包括烷基胺。在某些實例中,該另外的氣體亦可至少部分地被電漿解離及/或激化,同時在其他的實例中,該另外的氣體可作為稀釋劑/載體氣體。
含氮前驅物可被電漿高能化,該電漿係於遠端電漿系統(RPS)中形成,遠端電漿系統係位於沉積室外部。當將含氮來源解離、激化及/或以其他方式轉變成高能化含氮前驅物時,可將含氮來源曝露於遠端電漿中。舉例來說, 當含氮前驅物之來源為NH3時,高能化含氮前驅物可包括一或多個.N、.NH、.NH2、氮自由基。然後將高能化的前驅物導入沉積室,在沉積室中高能化的前驅物可首次與獨立導入的含矽前驅物混合。
或者(或另外地),含氮前驅物可在沉積室內部的電漿區中被高能化,該電漿區可與沉積區分隔開,在沉積區該等前驅物混合反應而沉積流動性Si-C-N薄膜於基板的曝露表面上。在該等實例中,可將沉積區描述為在沉積製程的過程中「無電漿」的區域。應注意到,「無電漿」未必是意謂沒有電漿的區域。在腔室的電漿區中很難界定電漿的邊緣,而且電漿的邊緣可能會超出沉積區,例如假使使用噴頭來將前驅物傳送至沉積區時可能會經由噴頭的孔洞傳出沉積區。假使將誘導式耦合電漿整合於沉積室內,則在沉積的過程中可能會在沉積區中啟動少量的離子化。
一旦在沉積室中,高能化的含氮前驅物與含矽前驅物會產生反應(步驟106)而在基板上形成流動性Si-C-N層。在沉積Si-C-N薄膜的過程中,沉積室之反應區中的溫度可以是低的(例如小於100℃),而且腔室總壓可為約0.1 Torr至約10 Torr(例如約0.5至約6 Torr等)。可以部分藉由支撐基板的溫控臺座來控制溫度,該臺座可與冷卻/加熱單元熱耦接,該冷卻/加熱單元將該臺座與基板的溫度調整至例如約0℃至約150℃。
可將初始流動性Si-C-N層沉積於曝露的平面上以及進 入縫隙內。沉積厚度可為約50 Å或更多(例如約100 Å、約150 Å、約200 Å、約250 Å、約300 Å、約350 Å、約400 Å等)。最終的Si-C-N層可為二層或更多的沉積Si-C-N層之累積,該沉積Si-C-N層在沉積後續層之前已經歷處理步驟。舉例來說,該Si-C-N層可為1200 Å厚的層,該1200 Å厚的層係由四層沉積的與處理過的300 Å的層所組成。
初始沉積的Si-C-N層之可流動性可來自由高能化含氮前驅物與含矽碳前驅物混合所產生的各種性質,該等性質可包括在初始沉積的Si-C-N層中的大量氫成分以及短鍊聚矽氮烷聚合物的存在。該可流動性不是依賴高的基板溫度,因此,初始流動性含矽碳氮層可填充縫隙,即使是在相對低溫的基板上。在本發明的實施例中,在形成含矽碳氮層的過程中,基板溫度可低於或約為400℃、基板溫度可低於或約為300℃、基板溫度可低於或約為200℃、基板溫度可低於或約為150℃或是基板溫度可低於或約為100℃。
當流動性Si-C-N層達到所需厚度時,可從沉積室移出製程流出物。該等製程流出物可包括任何未反應的含氮與含矽前驅物、稀釋劑及/或載體氣體,以及未沉積於基板上的反應產物。可藉由排空沉積室及/或以沉積區中的非沉積氣體置換流出物來移出該等製程流出物。
在初始沉積Si-C-N層及選擇性移出該等製程流出物之後,可進行處理步驟108來減少Si-C-N層中的Si-H及/ 或C-H鍵結數量,同時亦增加Si-Si、Si-C、Si-N及/或C-N鍵結的數量。如上所指明的,在沉積之後會需要減少該等鍵結的數量,以硬化該層並增加該層對抗蝕刻、老化及污染還有其他形式的層退化之抗性。處理技術可包括使初始沉積的層曝露於一或多種處理氣體(如氦、氮、氬等)之電漿。
該電漿可以是在沉積室的沉積區中原位產生的電容式耦合電漿或誘導式耦合電漿。舉例來說,誘導式耦合電漿處理可在高密度電漿化學氣相沉積(HDP-CVD)沉積室中進行,而電容式耦合電漿可在電漿增強CVD沉積室中進行。
電漿處理可在與沉積Si-C-N層相當的溫度完成,舉例來說,腔室的電漿處理區域可為約300℃或更低、腔室的電漿處理區域可為約250℃或更低、腔室的電漿處理區域可為約225℃或更低、腔室的電漿處理區域可為約200℃或更低等。舉例來說,電漿處理區域可具有約100℃至約300℃的溫度。基板的溫度可為約25℃或更高、基板的溫度可為約50℃或更高、基板的溫度可為約100℃或更高、基板的溫度可為約125℃或更高、基板的溫度可為約150℃或更高等。舉例來說,基板溫度可具有約25℃至約150℃的範圍。電漿處理區域中的壓力可取決於電漿處理(例如CCP對比ICP),但該壓力通常在mTorr至數十Torr的等級之範圍中。
經處理的Si-C-N層可選擇性曝露於一或多種蝕刻劑 (步驟110)。經處理的Si-C-N可具有比初始沉積的流動性Si-C-N層更低的濕蝕刻速度比(WERR)。可將WERR定義為Si-C-N層(例如Å/min)在特定蝕刻劑(例如稀釋的HF、熱磷酸)中的相對蝕刻速度,該相對蝕刻速度是與形成在相同基板上的熱生長氧化矽層之蝕刻速度相比。1.0的WERR是意謂討論中的層具有與熱氧化物層相同的蝕刻速度,而大於1的WERR是意謂該層之蝕刻速度比熱氧化物層之蝕刻速度快。電漿處理使沉積的Si-C-N層對蝕刻更有抗性,因而降低該Si-C-N層之WERR。
對於氧化矽與氮化矽兩者而言,經處理的Si-C-N層對於濕蝕刻劑可具有提高的蝕刻抗性(即較低的WERR水平)。舉例來說,Si-C-N層的電漿處理可降低對於稀釋的氫氟酸(DHF)之WERR水平,氫氟酸為現有用於氧化物的濕蝕刻劑,而且Si-C-N層的電漿處理也可降低對於熱磷酸之WERR水平,熱磷酸為現有用於氮化物的濕蝕刻劑。因此,經處理的Si-C-N層可對包括氧化物與氮化物兩種蝕刻步驟的蝕刻製程製作良好的阻擋及/或蝕刻終止層。
示例性沉積系統
可實施本發明實施例的沉積室可包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室以 及熱化學氣相沉積腔室,還有其他類型的腔室。可實施本發明實施例的CVD系統之具體實例包括CENTURA ULTIMA® HDP-CVD腔室/系統及PRODUCER® PECVD腔室/系統,該等腔室/系統可向美國加州聖大克勞拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,Calif.)購得。
可與本發明之示例性方法一起使用的基板處理腔室之實例可包括該等圖示且描述於共同受讓給Lubomirsky等人、於2006年5月30日提出申請及標題為「用於介電質縫隙填充之處理腔室(PROCESS CHAMBER FOR DIELECTRIC GAPFILL)」的美國臨時專利申請案第60/803,499號,為了所有的目的以引用方式將該美國臨時專利申請案之全部內容併入本文中。另外的示例性系統可包括該等圖示且描述於美國專利第6,387,207號與第6,830,624號中者,為了所有的目的以引用方式將該等美國專利之內容併入本文中。
可將沉積系統之實施例整合於較大的製造系統中,以生產積體電路晶片。第2圖圖示一個該種系統200,依據揭示的實施例之系統200由沉積腔室、烘烤腔室及硬化腔室所構成。於該圖中,一對FOUP(前開一致化箱)202供應基質基板(例如直徑300 mm的晶圓),機械手臂204接收該等基質基板,並在該等基質基板被放入晶圓處理室208a-f其中之一者之前將該等基質基板放入低壓承載區206。可以使用第二機械手臂210來將基板晶 圓從承載區206傳送至處理室208a-f並回傳。
處理室208a-f可包括一或多個用以沉積、退火、硬化及/或蝕刻基板晶圓上的流動性介電薄膜之系統部件。在一個架構中,可使用兩對處理室(例如208c-d與208e-f)來將流動性介電材料沉積在基板上,而且可使用第三對處理室(例如208a-b)來使沉積的介電質退火。在另一個架構中,可以架設相同的兩對處理室(例如208c-d與208e-f)皆來將流動性介電薄膜沉積在基板上並使基板上的流動性介電薄膜退火,同時可使用第三對腔室(例如208a-b)來進行沉積薄膜之紫外線(UV)或電子束(E-beam)硬化。在仍另一個架構中,可以架設全部三對腔室(例如208a-f)來沉積及硬化基板上的流動性介電薄膜。在又另一個架構中,可以使用兩對處理室(例如208c-d與208e-f)皆來沉積及紫外線或電子束硬化流動性介電質,同時可使用第三對處理室(例如208a-b)來進行介電薄膜的退火。可以在圖示於不同實施例中、與製造系統分離的腔室中進行任何一或多個描述的製程。
另外,可將一或多個處理室208a-f架設為濕處理室,該等處理室包括在包含濕氣的氛圍中加熱流動性介電薄膜。因此,系統200之實施例可包括濕處理室208a-b與退火處理室208c-d,以對沉積的介電薄膜進行濕與乾退火兩者。
第3A圖為依據所揭示實施例的基板處理室300。遠端 電漿系統(RPS)310可處理氣體,該氣體接著移動通過氣體入口配件311。在氣體入口配件311內可看到兩個不同的氣體供應通道,第一通道312攜載通過遠端電漿系統(RPS)310的氣體,而第二通道313繞過RPS310。在揭示的實施例中,可將第一通道312用於製程氣體並將第二通道313用於處理氣體。圖示在蓋體(或傳導頂部)321與穿孔的隔板353之間具有絕緣環324,絕緣環324容許相對於穿孔的隔板353將AC電位施加於蓋體321。製程氣體移動通過第一通道312而進入腔室電漿區320,並且製程氣體單獨被腔室電漿區320中的電漿激發,或是製程氣體被腔室電漿區320中的電漿與RPS 310的組合激發。在本文中可將腔室電漿區320及/或RPS 310的組合指稱為遠端電漿系統。穿孔的隔板(亦指稱為噴頭)353將腔室電漿區320與噴頭353下方的基板處理區370分隔。噴頭353容許電漿存在於腔室電漿區320中,以避免直接激發基板處理區370中的氣體,同時仍容許被激發的物種從腔室電漿區320移動進入基板處理區370。
噴頭353位於腔室電漿區320與基板處理區370之間,並且噴頭353容許在腔室電漿區320內產生的電漿流出物(前驅物或其他氣體之激發衍生物)通過複數個穿孔356,該複數個穿孔356貫穿板的厚度。噴頭353也具有一或多個中空容積351,中空容積351可被蒸汽或氣體形式的前驅物(如含矽前驅物)填充,而且中空 容積351可穿過小孔355而進入基板處理區370但不直接進入腔室電漿區320。在本揭示實施例中,噴頭353比穿孔356之最小直徑350的長度更厚。為了維持從腔室電漿區320滲出到基板處理區370的激發物種之顯著濃度,可藉由形成部分貫穿噴頭353的穿孔356之較大直徑部分來限縮穿孔之最小直徑350的長度326。在揭示的實施例中,穿孔356之最小直徑350的長度可與穿孔356之最小直徑屬於相同量級或屬於比穿孔356之最小直徑更小的量級。
在圖示的實施例中,噴頭353可分配(經由穿孔356)製程氣體,製程氣體含有氧、氫及/或氮及/或該種製程氣體在腔室電漿區320中被電漿激發之電漿流出物。在實施例中,經由第一通道312導入RPS 310及/或腔室電漿區320的製程氣體可含有氧(O2)、臭氧(O3)、N2O、NO、NO2、NH3、包括N2H4之NxHy、矽烷、二矽烷、TSA、DSA以及烷基胺中之一或多種。製程氣體也可包括載體氣體,如氦、氬、氮(N2)等。第二通道313也可傳送製程氣體及/或載體氣體,及/或用以從生長中的或初沉積的薄膜中移除不想要成分的薄膜硬化氣體(例如O3)。電漿流出物可包括製程氣體的離子化或中性衍生物,而且在本文中也可參照導入的製程氣體之原子組分將電漿流出物指稱為氧基前驅物及/或氮基前驅物。
在實施例中,穿孔356的數量可介於約60與約2000之間。穿孔356可具有各式各樣的形狀,但最簡單的是 將穿孔356做成圓形。在揭示的實施例中,穿孔356的最小直徑350可介於約0.5 mm與約20 mm之間,或穿孔356的最小直徑350可介於約1 mm與約6 mm之間。在選擇穿孔的剖面形狀上也有緯度之分,穿孔的剖面形狀可製成圓錐形、圓柱形或上述兩種形狀之組合。在不同的實施例中,用以將氣體導入基板處理區370的小孔355之數量可介於約100與約5000之間或該數量可介於約500與約2000之間。小孔355的直徑可介於約0.1 mm與約2 mm之間。
第3B圖為依據揭示的實施例用於處理室的噴頭353之底部視圖。噴頭353與第3A圖中圖示的噴頭對應,繪示的穿孔356在噴頭353底部具有較大的內徑(ID),而且繪示的穿孔356在噴頭353頂部具有較小的ID。小孔355大致上均勻地分佈於噴頭表面上,小孔355甚至分佈於穿孔356之間,以有助於提供比本文中所述的其他實施例更均勻的混合。
當抵達通過噴頭353中的穿孔356之電漿流出物與抵達通過小孔355(起源於中空容積351)之含矽前驅物結合時,在基板處理區370內由臺座(未圖示)支撐的基板上便產生示例性薄膜。雖然可以配備基板處理區370來供應用於其他製程(如硬化)的電漿,然而在生長示例性薄膜的過程中基板處理區370中無電漿存在。
可以在噴頭353上方的腔室電漿區320中或是在噴頭353下方的基板處理區370中激起電漿。電漿存在於腔 室電漿區320中,以由含氮氫的氣體流入物產生氮基前驅物。將通常在射頻(RF)範圍中的AC電壓施加於處理室的傳導頂部321與噴頭353之間,以於沉積過程中在腔室電漿區320中激起電漿。RF電源產生13.56 MHz的高RF頻率,但RF電源也可產生其他單獨的頻率或與13.56 MHz的頻率組合之頻率。示例性的RF頻率包括微波頻率,如2.4 GHz的微波頻率。在本發明的實施例中,在沉積流動性薄膜的過程中,頂部電漿功率可大於或約為1000瓦特、頂部電漿功率可大於或約為2000瓦特、頂部電漿功率可大於或約為3000瓦特或是頂部電漿功率可大於或約為4000瓦特。
當在第二硬化階段或清洗基板處理區370邊緣的內部表面期間開啟基板處理區370中的底部電漿時,可使頂部電漿處於低功率或無功率。藉由在噴頭353與臺座或腔室底部之間施加AC電壓,以激起基板處理區370中的電漿。當電漿存在時,可將清洗氣體導入基板處理區370。
臺座可具有熱交換通道,熱交換流體流經該熱交換通道,以控制基板的溫度。此種架構容許基板溫度被冷卻或加熱,以將基板維持於相對低溫(從室溫至約120℃)。熱交換流體可包含乙二醇與水。也可使用內嵌式單迴圈內嵌加熱元件(設以造成二個平形同心圓形式的完整迴圈)來電阻式加熱臺座之晶圓支撐淺盤(較佳為鋁、陶瓷或上述物質之組合),以達成相對高溫(從約120℃至 約1100℃)。加熱元件的外圈部分可在鄰接支撐淺盤周圍之處運作,而加熱元件的內圈部分在具有較小外徑的同心圓路徑上運作。到加熱元件的配線通過臺座的桿座。
基板處理系統受系統控制器控制,在示例性實施例中,系統控制器包括硬式磁碟機、軟式磁碟機以及處理器。該處理器含有單板電腦(SBC)、類比與數位輸入/輸出板、介面板以及步進馬達控制板。CVD系統的各種部件符合Versa模件歐洲的(Versa Modular European,VME)標準,VME標準定義寬的卡片機架及連接器尺寸與類型。VME標準亦定義匯流排結構為具有16位元資料匯流排與24位元定址匯流排。
系統控制器控制沉積系統的所有活動,系統控制器執行系統控制軟體,系統控制軟體為儲存於電腦可讀媒體之電腦程式。較佳的,該媒體為硬式磁碟機,但是該媒體也可以是其他種類的記憶體。電腦程式包括指令集,該等指令集指定時間、氣體混合物、腔室壓力、腔室溫度、RF功率等級、基座位置,以及其他特殊製程的參數。也可使用儲存在其他記憶體裝置(例如包括軟碟或其他合適的磁碟機)的電腦程式來指示系統控制器。
可以使用由系統控制器執行的電腦程式產品來實施在基板上沉積薄膜堆疊(例如依序沉積含矽氮氫層以及之後的含矽氧碳層)、將薄膜轉化為氧化矽之製程或是清洗腔室之製程。可以任何現有的電腦可讀程式語言來撰寫電腦程式編碼:例如68000組合語言、C、C++、Pascal、 Fortran或其他電腦可讀程式語言。使用現有的文字編輯器將適當的程式編碼輸入單一檔案或多個檔案中,並且將該適當的程式編碼儲存或體現於電腦可用媒體中,如電腦的記憶體系統。假使輸入的編碼文字為高階語言,則編譯編碼,之後並將產生的編譯編碼與預編譯的微軟視窗®(Microsoft Windows®)程式館常式之目標編碼聯結。為執行該經聯結、編譯的目標編碼,系統使用者喚起目標編碼、致使電腦系統載入記憶體中的編碼,然後CPU讀取並執行編碼以進行程式中確認的任務。
使用者與控制器之間的介面係經由平板觸摸敏感式監視器。在較佳的實施例中使用二個監視器,一個監視器組裝於潔淨室牆壁中供操作員使用,而另一個監視器組裝於牆壁外面供服務技師使用。該二個監視器可同時顯示相同的資訊,在任一案例中,於同一時間只有一個監視器接受輸入。為了要選擇特殊的畫面或功能,操作員可觸碰觸摸敏感式監視器的指定區域。經觸碰的區域會改變該區域彰顯的顏色,或者會顯示出新的選單或畫面,以確認操作員與觸摸敏感式監視器之間的溝通。可以使用其他的裝置(如鍵盤、滑鼠或其他的指示或溝通裝置)來取代該觸摸敏感式監視器,或是除了該觸摸敏感式監視器之外可同時使用該等其他的裝置,以容許使用者與系統控制器溝通。
本文中使用的「基板」可為支撐基板,該支撐基板上可有或無層形成。該支撐基板可為絕緣體或具有各種摻 雜濃度與濃度曲線的半導體,而且例如該支撐基板可以是半導體基板,該半導體基板的類型與製造積體電路所使用的類型相同。用語「前驅物」係用以指稱任何參與反應以從表面移除材料或是沉積材料於表面上的製程氣體。在「激發狀態」中的氣體係描述其中至少某些氣體分子處於振動激發狀態、解離狀態及/或離子化狀態的氣體。氣體(或前驅物)可以是二或更多種氣體(或前驅物)的組合。「自由基前驅物」係用以描述電漿流出物(處於激發狀態的氣體,該氣體退去電漿),該電漿流出物參與反應以從表面移除材料或是沉積材料於表面上。「氮自由基前驅物」為含有氮的自由基前驅物,而「氫自由基前驅物」為含有氫的自由基前驅物。片語「惰性氣體」係指稱當蝕刻或被整合進入薄膜中時任何不形成化學鍵結的氣體。示例性的惰性氣體包括鈍氣,但也可包括其他的氣體,只要當(通常是)微量的該氣體被捕捉於薄膜中時沒有化學鍵結形成即可。
貫穿全文使用用語「縫隙」,但並無暗示蝕刻的幾何形狀具有大的水平深寬比之意。從表面的上方看,溝槽可能會呈現圓形、卵形、多邊形、矩形或各種其他的形狀。本文中使用的保形層係指稱表面上大致上均勻的材料層具有與該表面相同的形狀,即該層的表面與將被覆蓋的表面大致上是平行的。在本技術領域中具有通常知識之人士將理解到,所沉積的材料可能無法100%保形,因此用語「大致上」容許可接受的公差。
實驗
第4圖圖示沉積的Si-C-N在以誘導式耦合電漿處理之前與之後的FTIR光譜,初始沉積的流動性Si-C-N層係由1,3,5-三矽戊烷與氨氣體混合物的電漿流出物之化學氣相沉積所沉積,該氨氣體混合物係於沉積室外部的遠端電漿單元中高能化。
第4圖中的圖圖示初沉積的流動性Si-C-N層在約2250 cm-1具有強的Si-H尖峰。在HDP電漿處理之後,該尖峰幾乎已經完全消失,表示初始流動性層中大部分的(若非全部)Si-H鍵結已被該電漿處理移除。
有了描述的幾個實施例,在本技術領域中具有通常知識者將理解,可以在不偏離本發明的精神下使用各種修飾、替代結構以及等同物。此外,並未描述數個習知的製程與元件,以避免不必要地混淆本發明。因此,不應將以上描述視為對於本發明範圍之限制。
當提供數值的範圍時,應瞭解到,除非內文以其他方式清楚指明,否則在該範圍的上限與下限之間、每個到下限單位的十分之一之中間值亦為具體揭示的。在陳述範圍中的任何陳述值或中間值與該陳述範圍中的任何其他陳述值或中間值之間的每個較小範圍亦被涵括。該等較小範圍的上限與下限可獨立地被包括或排除於該範圍中,而且不論是該等較小範圍包括任一限值、不包括二限值或是包括二限值,該等較小範圍中的每個範圍亦被涵括於本發明中,取決於該陳述範圍中任何經具體排除 的限值。當該陳述範圍包括該等限值中之一者或二者時,排除該等包括的限值中之任一者或二者的範圍亦被包括。
除非內文以其他方式清楚指明,否則本文中與隨附申請專利範圍中使用的單數形「一」及「該」包括複數的指示對象。因此,舉例來說,提及「一製程」係包括複數個該種製程,而提及「該前驅物」係包括提及一或多個前驅物及該一或多個前驅物之等同物(為本技術領域中具有通常知識者所習知者),以此類推。
同樣地,當用於本說明書中及以下申請專利範圍中時,字眼「包含」與「包括」意欲指明陳述的特徵、整數、成分或步驟之存在,但該等字眼並不排除一或多個其他的特徵、整數、成分、步驟、動作或基團的存在或加入。
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
200‧‧‧系統
202‧‧‧FOUP
204‧‧‧機械手臂
206‧‧‧承載區
208a‧‧‧處理室
208b‧‧‧處理室
208c‧‧‧處理室
208d‧‧‧處理室
208e‧‧‧處理室
208f‧‧‧處理室
210‧‧‧第二機械手臂
300‧‧‧基板處理室
310‧‧‧遠端電漿系統
311‧‧‧氣體入口配件
312‧‧‧第一通道
313‧‧‧第二通道
320‧‧‧腔室電漿區
321‧‧‧蓋體
324‧‧‧絕緣環
326‧‧‧長度
350‧‧‧最小直徑
351‧‧‧中空容積
353‧‧‧穿孔的隔板/噴頭
355‧‧‧小孔
356‧‧‧穿孔
370‧‧‧基板處理區
藉由參照本說明的剩餘部分及圖式可以對本發明的本質與優點有進一步的瞭解,其中在該幾張圖式中從頭至尾使用類似的元件符號來指稱類似的元件。在某些實例中,下標係與元件符號有關,並且下標接續在連字號後面以表示多個類似元件中之一者。當提及元件符號而未敘明存在的下標時,則意欲指稱該多個類似元件之全部。
第1圖係圖示於基板上形成含矽碳氮的介電層之方法 中的精選步驟之流程圖;第2圖圖示依據本發明的實施例之基板處理系統;第3A圖圖示依據本發明的實施例之基板處理室;第3B圖圖示依據本發明的實施例之氣體分配噴頭;以及第4圖圖示進行電漿處理之前與之後的矽碳氮薄膜之紅外線光譜。
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟

Claims (20)

  1. 一種於一半導體基板上形成一介電層之方法,該方法包含以下步驟:提供一含矽前驅物及一高能化含氮前驅物至一化學氣相沉積室;使該含矽前驅物與該高能化含氮前驅物於該化學氣相沉積室中反應,而於該基板上沉積一流動性矽碳氮材料;以及處理該流動性矽碳氮材料以於該半導體基板上形成該介電層。
  2. 如請求項1所述之方法,其中該含矽前驅物包含1,3,5-三矽戊烷(1,3,5-trisilapentane)、1,4,7-三矽庚烷(1,4,7-trisilaheptane)、二矽環丁烷(disilacyclobutane)、三矽環己烷(trisilacyclohexane)、3-甲基矽烷、矽環戊烯(silacyclopentene)、矽環丁烷(silacyclobutane)或三甲基矽基乙炔(trimethylsilylacetylene)。
  3. 如請求項1所述之方法,其中該含矽前驅物包含:(i)SiR4、Si2R6、Si3R8、Si4R10或Si5R12,其中每一R基團係獨立為氫(-H)或一飽和或不飽和烷基基團;(ii)一具有式R3Si-[CH2]n-SiR3的矽基烷或矽基烯, 其中n為1至10之一整數,及每一R基團係獨立為一氫(-H),或一飽和或不飽和烷基基團;(iii)一具有式R3Si-[CR2]x-SiR2-[CR2]y-SiR3的矽基烷或矽基烯,其中x與y係獨立為1至10之一整數,及每一R基團係獨立為一氫(-H),或一飽和或不飽和烷基基團;(iv)一選自由下列所組成的群組之矽環烷或矽環烯:矽環丙烷(silacyclopropanes)、矽環丁烷(silacyclobutanes)、矽環戊烷(silacyclopentanes)、矽環己烷(silacyclohexanes)、矽環庚烷(silacycloheptanes)、矽環辛烷(silacyclooctanes)、矽環壬烷(silacyclononanes)、矽環丙烯(silacyclopropenes)、矽環丁烯(silacyclobutenes)、矽環戊烯(silacyclopentenes)、矽環己烯(silacyclohexenes)、矽環庚烯(silacycloheptenes)、矽環辛烯(silacyclooctenes)及矽環壬烯(silacyclononenes);(v)H4-x-yCXy(SiR3)x,其中x為1、2、3或4,y為0、1、2或3,每一X係獨立為一氫或鹵素(例如F、Cl、Br),而且每一R係獨立為一氫(-H)或一烷基基團;(vi)(SiR3)xC=C(SiR3)x,其中x為1或2,而且每一R係獨立為一氫(-H)或一烷基基團;或(vii)R-[(CR' 2)x-(SiR" 2)y-(CR' 2)z]n-R,其中每一R、R'及R"係獨立為一氫、一烷基基團、一不飽和烷基基 團、一矽烷基團或-[(CH2)x1-(SiH2)y1-(CH2)z1]n1-R''',其中x1、y1及z1係獨立為0至10之一數,及n1為0至10之一數;以及其中x、y及z係獨立為0至10之一數,及n為0至10之一數。
  4. 如請求項1所述之方法,其中該含矽前驅物包含一含矽氮前驅物,該含矽氮前驅物係選自由以下所組成的群組:(i)R4-xSi(NR2)x,其中x可為1、2、3或4,及每一R係獨立為一氫(-H)或一烷基基團;(ii)R4-yN(SiR3)y,其中y可為1、2或3,及每一R係獨立為一氫(-H)或一烷基基團;或(iii)一經取代或未經取代的環結構,該環結構於環中包含至少一個Si原子及至少一個氮原子。
  5. 如請求項1所述之方法,其中該含矽前驅物包含1,3,5-三矽戊烷或1,4,7-三矽庚烷中之一者。
  6. 如請求項1所述之方法,其中該高能化含氮前驅物包含高能化氨或氨之一高能化碎體。
  7. 如請求項1所述之方法,其中該高能化氨係於一遠端 電漿系統中產生,該遠端電漿系統與該化學氣相沉積室流體耦接。
  8. 如請求項1所述之方法,其中該流動性矽碳氮材料包含Si-H鍵結。
  9. 如請求項8所述之方法,其中處理該流動性矽碳氮材料之該步驟減少該材料中之Si-H鍵結數量。
  10. 如請求項1所述之方法,其中處理該流動性矽碳氮材料之該步驟包含:使該材料曝露於一電漿。
  11. 如請求項10所述之方法,其中用於處理該流動性矽碳氮材料之該電漿係位於該化學氣相沉積室中。
  12. 如請求項10所述之方法,其中該電漿為一誘導式耦合電漿或一電容式耦合電漿。
  13. 一種處理一流動性矽碳氮層以降低該層之一濕蝕刻速度比(WERR)的方法,該方法包含以下步驟:藉由一含矽前驅物及一活化氮前驅物之化學氣相沉積而在一基板上形成該流動性矽碳氮層;使該流動性矽碳氮層曝露於電漿,其中該電漿曝露減少該層中之Si-H鍵結數量並增加該層中之Si-C鍵結 數量,以及其中該電漿曝露降低該層之WERR。
  14. 如請求項13所述之方法,其中該流動性含矽前驅物包含1,3,5-三矽戊烷(1,3,5-trisilapentane)、1,4,7-三矽庚烷(1,4,7-trisilaheptane)、二矽環丁烷(disilacyclobutane)、三矽環己烷(trisilacyclohexane)、3-甲基矽烷、矽環戊烯(silacyclopentene)、矽環丁烷(silacyclobutane)或三甲基矽基乙炔(trimethylsilylacetylene)。
  15. 如請求項13所述之方法,其中該流動性含矽前驅物包含:(i)SiR4、Si2R6、Si3R8、Si4R10或Si5R12,其中每一R基團係獨立為氫(-H)或一飽和或不飽和烷基基團;(ii)一具有式R3Si-[CH2]n-SiR3的矽基烷或矽基烯,其中n為1至10之一整數,及每一R基團係獨立為一氫(-H),或一飽和或不飽和烷基基團;(iii)一具有式R3Si-[CR2]x-SiR2-[CR2]y-SiR3的矽基烷或矽基烯,其中x與y係獨立為1至10之一整數,及每一R基團係獨立為一氫(-H),或一飽和或不飽和烷基基團;(iv)一選自由下列所組成的群組之矽環烷或矽環烯:矽環丙烷(silacyclopropanes)、矽環丁烷(silacyclobutanes)、矽環戊烷(silacyclopentanes)、矽 環己烷(silacyclohexanes)、矽環庚烷(silacycloheptanes)、矽環辛烷(silacyclooctanes)、矽環壬烷(silacyclononanes)、矽環丙烯(silacyclopropenes)、矽環丁烯(silacyclobutenes)、矽環戊烯(silacyclopentenes)、矽環己烯(silacyclohexenes)、矽環庚烯(silacycloheptenes)、矽環辛烯(silacyclooctenes)及矽環壬烯(silacyclononenes);(v)H4-x-yCXy(SiR3)x,其中x為1、2、3或4,y為0、1、2或3,每一X係獨立為一氫或鹵素(例如F、Cl、Br),而且每一R係獨立為一氫(-H)或一烷基基團;(vi)(SiR3)xC=C(SiR3)x,其中x為1或2,而且每一R係獨立為一氫(-H)或一烷基基團;或(vii)R-[(CR' 2)x-(SiR" 2)y-(CR' 2)z]n-R,其中每一R、R'及R"係獨立為一氫、一烷基基團、一不飽和烷基基團、一矽烷基團或-[(CH2)x1-(SiH2)y1-(CH2)z1]n1-R''',其中x1、y1及z1係獨立為0至10之一數,及n1為0至10之一數,以及其中x、y及z係獨立為0至10之一數,及n為0至10之一數。
  16. 如請求項13所述之方法,其中該流動性含矽前驅物包含一含矽氮前驅物,該含矽氮前驅物係選自由以下所 組成的群組:(i)R4-xSi(NR2)x,其中x可為1、2、3或4,及每一R係獨立為一氫(-H)或一烷基基團;(ii)R4-yN(SiR3)y,其中y可為1、2或3,及每一R係獨立為一氫(-H)或一烷基基團;或(iii)一經取代或未經取代的環結構,該環結構於環中包含至少一個Si原子及至少一個氮原子。
  17. 如請求項13所述之方法,其中該活化氮前驅物包含氨或一氨碎體,該氨碎體已曝露於一電漿。
  18. 如請求項13所述之方法,其中該電漿曝露減少該矽碳氮層中之C-H鍵結數量並增加該矽碳氮層中之Si-Si鍵結數量、Si-N鍵結數量及C-N鍵結數量。
  19. 如請求項13所述之方法,其中該電漿為一誘導式耦合電漿或一電容式耦合電漿。
  20. 如請求項13所述之方法,其中該電漿曝露減少該矽碳氮層於稀釋氫氟酸與熱磷酸兩者中之WERR。
TW101132769A 2011-09-09 2012-09-07 用於半導體處理之流動性矽碳氮層 TW201316407A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161532708P 2011-09-09 2011-09-09
US201161536380P 2011-09-19 2011-09-19
US201161550755P 2011-10-24 2011-10-24
US201161567738P 2011-12-07 2011-12-07
US13/590,611 US20130217240A1 (en) 2011-09-09 2012-08-21 Flowable silicon-carbon-nitrogen layers for semiconductor processing

Publications (1)

Publication Number Publication Date
TW201316407A true TW201316407A (zh) 2013-04-16

Family

ID=47832774

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101132769A TW201316407A (zh) 2011-09-09 2012-09-07 用於半導體處理之流動性矽碳氮層

Country Status (4)

Country Link
US (1) US20130217240A1 (zh)
KR (1) KR20140066220A (zh)
TW (1) TW201316407A (zh)
WO (1) WO2013036667A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636011B (zh) * 2013-08-16 2018-09-21 美商恩特葛瑞斯股份有限公司 基板內矽佈植及其之矽前驅物組合物之供給
TWI742327B (zh) * 2017-12-20 2021-10-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6101467B2 (ja) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102317440B1 (ko) * 2015-05-27 2021-10-26 주성엔지니어링(주) 반도체 소자의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US11515149B2 (en) * 2016-07-19 2022-11-29 Applied Materials, Inc. Deposition of flowable silicon-containing films
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220082751A (ko) * 2020-12-09 2022-06-17 에이에스엠 아이피 홀딩 비.브이. 실리콘-탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4049214B2 (ja) * 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
WO2006029388A2 (en) * 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
WO2008104059A1 (en) * 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636011B (zh) * 2013-08-16 2018-09-21 美商恩特葛瑞斯股份有限公司 基板內矽佈植及其之矽前驅物組合物之供給
TWI654136B (zh) 2013-08-16 2019-03-21 美商恩特葛瑞斯股份有限公司 基板內矽佈植及其之矽前驅物組合物之供給
TWI742327B (zh) * 2017-12-20 2021-10-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
US11746416B2 (en) 2017-12-20 2023-09-05 Kokusai Electric Corporation Method of processing substrate and manufacturing semiconductor device by forming film containing silicon

Also Published As

Publication number Publication date
WO2013036667A3 (en) 2013-05-02
US20130217240A1 (en) 2013-08-22
WO2013036667A2 (en) 2013-03-14
KR20140066220A (ko) 2014-05-30

Similar Documents

Publication Publication Date Title
TW201316407A (zh) 用於半導體處理之流動性矽碳氮層
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US9343293B2 (en) Flowable silicon—carbon—oxygen layers for semiconductor processing
US20130217241A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US20130217243A1 (en) Doping of dielectric layers
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
TWI463566B (zh) 低溫氧化矽轉換
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
TW201610205A (zh) 藉由可流動沉積之低k介電質間隙塡充
TW201310529A (zh) 減少脫氣所用的表面處理及沉積
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
JP2015521375A (ja) 流動性膜のための改善された緻密化
CN103154102A (zh) 胺硬化的硅-氮-氢薄膜
KR20120125623A (ko) 라디칼-성분 cvd를 위한 인­시츄 오존 경화
TW201233842A (en) Radical steam CVD
TW201127983A (en) Dielectric film formation using inert gas excitation
TW201308432A (zh) 降低釋氣的覆蓋層
JP7487189B2 (ja) 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
TW202015131A (zh) 碳間隙填充膜