JP2015521375A - 流動性膜のための改善された緻密化 - Google Patents

流動性膜のための改善された緻密化 Download PDF

Info

Publication number
JP2015521375A
JP2015521375A JP2015510292A JP2015510292A JP2015521375A JP 2015521375 A JP2015521375 A JP 2015521375A JP 2015510292 A JP2015510292 A JP 2015510292A JP 2015510292 A JP2015510292 A JP 2015510292A JP 2015521375 A JP2015521375 A JP 2015521375A
Authority
JP
Japan
Prior art keywords
dielectric layer
plasma
layer
substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015510292A
Other languages
English (en)
Inventor
チンメイ リャン,
チンメイ リャン,
ソグォン ホン,
ソグォン ホン,
チュン タエ チョイ,
チュン タエ チョイ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015521375A publication Critical patent/JP2015521375A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Abstract

誘電体層を形成する方法が記述される。その方法は最初に基板上に初期流動性の層を堆積する。その後、初期流動性の層は、基板を高密度プラズマ(HDP)に暴露することによって緻密化される。複数の実施形態において、初期流動性の層上に基本的に付加材料が堆積されるのではなく、加速されたイオン種の衝撃が層を凝縮し、処理された層の耐エッチング性を増大させる役割を果たす。【選択図】図1

Description

関連出願の相互参照
本出願は、2012年4月30日に出願された「IMPROVED DENSIFICATION FOR FLOWABLE FILMS」と題する米国仮特許出願第61/640,514号の恩典を主張し、その仮特許出願はあらゆる点で参照によりその全体を本明細書に援用する。
半導体デバイス幾何形状は、数十年前に導入されて以来、劇的にサイズが縮小された。最新の半導体製造装置は、32nm、28nm及び22nmのフィーチャサイズを有するデバイスを当たり前のように生成し、更に小さな幾何形状を有するデバイスを形成するために、新たな装置が開発され、実現されつつある。フィーチャサイズを縮小することにより、デバイス上の構造フィーチャの空間寸法も縮小してきた。デバイス上の間隙及びトレンチの幅は、誘電体材料で間隙を満たすのを難しくするほど間隙の深さとその幅とのアスペクト比が高くなる程度まで狭くなっている。堆積する誘電体材料は、間隙を完全に充填する前に上部を塞ぐ傾向があり、それにより、間隙の中央にボイド又は継ぎ目が生成される。
長年にわたって、誘電体材料が間隙の上部を塞ぐのを回避するために、又は形成されたボイド又は継ぎ目を「解消する」ために、数多くの技法が開発されてきた。1つの手法は、回転する基板表面に液相で塗布することができる流動性の高い前駆体材料から開始することであった(例えば、SOG堆積技法)。これらの流動性前駆体は、非常に小さな基板間隙内に流れ込み、ボイド又は脆い継ぎ目を形成することなく、その間隙を満たすことができる。しかしながら、これらの流動性の高い材料は、堆積した後に、固化して固体誘電体材料にしなければならない。
多くの場合、固化は、堆積された材料から成分を除去し、酸化ケイ素などの固体誘電体を残す熱処理を含む。これらの成分の中には、初期に堆積された膜を流動性にするために必要なものもあった。脱離する成分は固化された誘電体の密度を増大させ、それにより、通常、また望ましいことに、固化された膜のエッチング耐性を増大させる。固化する誘電体の体積は縮小する傾向があり、それにより、誘電体とそれを包囲する基板との界面に亀裂及び空間が残る可能性がある。
パターニングされた基板上のフィーチャ内に流し込むために、スピンオン誘電体(SOD)も用いられてきた。その材料は一般的にケイ素窒素水素を含むシラザンタイプ層から酸化ケイ素に変換される。ケイ素窒素水素含有層は通常、酸素含有環境内で高温において酸化ケイ素に変換される。その環境からの酸素が窒素及び水素を置換し、酸化ケイ素層を作り出す。回路アーキテクチャによっては、高温で酸素環境に暴露することによって下層が損なわれるおそれがある。このことを考慮すると、製造プロセスフロー中に「サーマルバジェット」内にとどまることが必要になる。サーマルバジェットを考慮することは、SODを、酸化から下層のフィーチャを保護することができる下層の窒化ケイ素層を組み込むプロセスフローに大きく制限してきた(例えば、DRAM応用例)。ラジカル成分CVDによってシラザン含有層を堆積する代替の方法も開発された。ラジカル成分CVDは、1つの前駆体を励起し、無プラズマ基板処理領域において、その前駆体を励起されていないケイ素含有前駆体と結合することによって流動性層を作り出すことができる。
これらの膜のそれぞれの流動性は、他の流動性膜とは異なる化学成分から生じる場合があるが、膜の緻密化は、一連の流動性堆積技法にわたって概ね均一であることが望ましい。したがって、開発中及び現在利用可能である、想定される多種多様の流動性膜を緻密化するための新たな後処理技法が必要とされている。この要求及び他の要求が本出願において対処される。
誘電体層を形成する方法が記述される。その方法は最初に基板上に、初期流動性の層を堆積する。その誘電体層は、誘電体層を形成する作業の間、流動性がある。初期流動性の層はその後、基板を高密度プラズマ(HDP)に暴露することによって緻密化される。複数の実施形態において、基本的には、初期流動性の層の上に更なる材料が堆積されるのではなく、加速されたイオン種の衝撃が層を凝縮し、処理された層の耐エッチング性を増大させる役割を果たす。
本発明の実施形態は、基板上に誘電体層を形成する方法を含む。その方法は、(1)基板上に誘電体層を形成するステップと、(2)層を高密度プラズマに暴露することによって誘電体層を処理するステップとからなる連続したステップを含む。ステップ(2)は誘電体層の密度を増大させる。
更なる実施形態及び特徴は、或る程度は以下の説明に記述されており、或る程度は、明細書を検討すると当業者には明らかになり、又は本発明を実践することによって学習することができる。本発明の特徴及び利点は、明細書中に記述される手段、組み合わせ及び方法によって実現し、達成することができる。
本発明の特性及び利点の更なる理解は、明細書及び図面の残りの部分を参照することによって達成することができ、幾つかの図面を通して、類似の構成要素を参照するために類似の参照番号が用いられる。場合によっては、参照番号にサブラベルが関連付けられ、ハイフンに続き、複数の類似の構成要素のうちの1つを表す。既存のサブラベルを指定することなく参照番号が参照されるとき、全てのそのような複数の類似の構成要素を参照することを意図している。
本発明の実施形態による誘電体層を作製するための選択されたステップを示す流れ図である。 本発明の実施形態による基板処理システムを示す図である。 本発明の実施形態による膜緻密化チャンバを示す図である。 本発明の実施形態によるガスリングを示す簡略化された断面図である。 本発明の実施形態による流動性膜堆積チャンバを示す図である。 本発明の実施形態によるガス導入シャワーヘッドを示す図である。
誘電体層を形成する方法が記述される。その方法は最初に基板上に、初期流動性の層を堆積する。初期流動性の層はその後、基板を高密度プラズマ(HDP)に暴露することによって緻密化される。複数の実施形態において、基本的には、初期流動性の層の上に更なる材料が堆積されるのではなく、加速されたイオン種の衝撃が層を凝縮し、処理された層の耐エッチング性を増大させる役割を果たす。
高密度プラズマを用いて、初期流動性の誘電体層を後処理することによって、処理された誘電体層を劇的に緻密化し、その湿式エッチング速度を減速させることがわかった。流動性層は、スピンオンガラス(SOG)、スピンオン誘電体(SOD)、eHARPプロセス(HO−TEOS−O)、ラジカル成分CVDなどSACVD又は流動性CVDプロセスなどのプロセスによって堆積することができる。流動性膜は、非流動性膜に比べて、小さな密度及び高いエッチング速度を有することができる。本明細書において記述される高密度プラズマ処理によれば、湿式エッチング速度比を劇的に、例えば、3〜5から3をはるかに下回る値まで低減できるようになることがわかった。
本明細書において用いられるときに、高密度プラズマプロセスは、約1011イオン/cm又はそれより大きなイオン密度を有するプラズマを利用するプラズマCVDプロセスである。高密度プラズマは、約10−4又はそれより大きなイオン化率(イオン/中性比)も有することができる。通常のHDP−CVDプロセスは、トレンチ形状の間隙を充填するのに適合する。間隙充填プロセスにおいて、基板バイアスRF電力を用いて、基板に向かってイオンを加速し、狭い範囲の接近軌道を生成する。この狭小化と、スパッタリング作用とを組み合わせることによって、成長しているビアの上側角が一体になり、ボイドを形成し、維持する前に間隙を充填できるようになる。その場合に、HDP−CVDを特徴付けるために、多くの場合に、堆積対スパッタ比(D:S)が用いられる。しかしながら、本発明は、ほとんど、又は全く付加材料を堆積しないことを目指しており、むしろ、基板上に既に存在している材料を圧縮することを目指す。D:S比の従来の定義は以下の通りである。
[(正味の堆積速度)+(ブランケットスパッタリング速度)]/(ブランケットスパッタリング速度)
堆積対スパッタ比は、堆積が増加するにつれて上昇し、スパッタリングが増加するにつれて低下する。堆積対スパッタ比の定義において用いられるときに、「正味の堆積速度」は、堆積及びスパッタリングが同時に行われているときに測定される堆積速度を指している。「ブランケットスパッタ速度」は、堆積ガス(例えば、窒素及び廃水を残す)を用いることなくプロセスレシピが実行されるときに測定されるスパッタ速度である。残留ガス間の一定の比を維持しながら、その流量が増大し、通常のプロセス中にプロセスチャンバ内に存在する圧力を達成する。本発明の実施形態では全く、又は基本的に堆積ガスは使用されないので、D:S比は基本的に1に等しくすることができる。旧来のHDPプロセスを定量化するために用いられる別の従来の尺度は、「エッチング対堆積比」と呼ばれる。エッチング対堆積比の定義は、量の分母に「ソースのみの堆積速度」を含む。この量は、本発明の場合の1つの特異点に存在するか、又は接近する。それゆえ、これら2つの標準的な比は、本出願では広範には参照されず、本明細書において記述されるHDP緻密化プロセスは、本発明の実施形態において基板バイアス電力を加えながら、及び加えることなく用いられる場合がある。
本明細書において記述される例は、ラジカル成分CVDシラザン膜、すなわち、ケイ素窒素水素含有層の堆積と、結果として生じる膜のエッチング速度を下げることがわかっている後続の高密度プラズマ処理とに焦点を合わせる。高密度プラズマ処理を用いない場合、ラジカル成分CVDと、その後のオゾン硬化及び酸素アニール又は水処理とによって作り出される酸化ケイ素膜のエッチング速度は、熱酸化物層より3〜5倍速いことがわかっている。また、本明細書において教示される高密度プラズマ処理方法は、多用途のラジカル成分CVD炭素系膜、スピンオンガラス(SOG)、スピンオン誘電体(SOD)及び他の流動可能に堆積される誘電体を有することができる。それらの膜は、複数の実施形態においてケイ素、水素及び窒素を含むことができる。それらの膜は、本発明の実施形態において、ケイ素、炭素、酸素、水素及び窒素を含むことができる。ここで、酸化ケイ素キャッピング層を形成する方法及びシステムについての更なる詳細が記述されることになる。
例示的な誘電体スタックプロセス
図1は、本発明の実施形態による、誘電体層スタックを作製する方法100の選択されたステップを示す流れ図である。その方法100は、無炭素ケイ素含有前駆体を基板処理領域102に与えることを含む。無炭素ケイ素含有前駆体は、複数の実施形態において、プラズマ励起を通り抜けないので、その前駆体は無傷のまま基板処理領域に入る。その後、手短に記述されることになるラジカル前駆体によってのみ励起が与えられる。無炭素ケイ素含有前駆体は、数ある種類のケイ素前駆体の中でも、例えば、ケイ素窒素含有前駆体、ケイ素水素含有前駆体、又はケイ素窒素水素含有前駆体とすることができる。炭素が存在しないと、堆積された層の収縮が抑制される。ケイ素含有前駆体は、本発明の幾つかの実施形態において、無炭素に加えて、無酸素とすることができる。酸素がない結果として、それらの前駆体から形成されたケイ素窒素含有層内のシラノール(Si−OH)基の濃度が低下する。堆積された層内に余分なシラノール部分があると、堆積された層からヒドロキシル(−OH)部分を除去する堆積後ステップ中に多孔率が増加し、収縮が大きくなる可能性がある。
無炭素ケイ素前駆体の具体例は、数あるシリルアミンの中でも、HN(SiH)、HN(SiH、及びN(SiHなどシリルアミンを含むことができる。シリルアミンの流量は、種々の実施形態において、約200sccm以上、約300sccm以上又は約500sccm以上とすることができる。本明細書において与えられる全ての流量は、デュアルチャンバ基板処理システムに当てはまる。シングルウエハシステムであれば、必要な流量は半分になり、他のウエハ形状/サイズであれば、流量も処理されるエリアによって増減する必要がある。これらのシリルアミンは、キャリアガス、反応性ガス、又はその両方としての役割を果たすことができる付加的なガスと混合することができる。付加的なガスは、数あるガスの中でも、H、N、NH、He、Ne及び/又はArを含むことができる。無炭素ケイ素前駆体の更なる例は、単体の、又は他のケイ素含有ガス(例えば、N(SiH)、水素(例えば、H)及び/又は窒素(例えば、N、NH)と混合されたシラン(SiH)も含むことができる。無炭素ケイ素前駆体は、単体の、又は互いに、若しくは上記の無炭素ケイ素前駆体と組み合わせた、ジシラン、トリシラン、更に高次のシラン及び塩素化シランも含むことができる。
ラジカル前駆体も基板処理領域104に用意される。ラジカル前駆体は、任意の安定した化学種(不活性又は反応性)から基板処理領域外でのプラズマ励起において生成されたプラズマ放出物を表す。ラジカル前駆体は窒素含有ラジカル前駆体とすることができ、本明細書ではラジカル窒素前駆体と呼ばれる。ラジカル窒素前駆体は、より安定した窒素前駆体から基板処理領域外で生成された窒素ラジカル含有前駆体である。前駆体がまだプラズマを通っていないことを示すために、本明細書において、安定した前駆体は非励起前駆体と呼ばれる場合がある。NH、ヒドラジン(N)及び/又はNを含む安定した窒素前駆体化合物を、チャンバプラズマ領域、又は処理チャンバ外の別の遠隔プラズマシステム(RPS)において活性化して、ラジカル窒素前駆体を形成することができ、その後、基板処理領域内に移送して、ケイ素含有前駆体を励起する。安定した窒素前駆体を活性化してラジカル窒素前駆体にすることは、数ある方法の中でも、熱解離、紫外光解離及び/又はプラズマ解離によって成し遂げることができる解離を伴う。プラズマ解離は、遠隔プラズマ発生チャンバ内で、ヘリウム、アルゴン、水素(H)、キセノン、アンモニア(NH)などからのプラズマを当てることと、プラズマ領域に安定した窒素前駆体を導入し、ラジカル窒素前駆体を発生させることとを伴う。
安定した窒素前駆体は、種々の実施形態において、NH&N、NH&H、NH&N&H及びN&Hを含む混合物とすることもできる。NHの代わりに、又はそれと組み合わせて、及びN及びHを含む混合物においてヒドラジンを用いることもできる。安定した窒素前駆体の流量は、種々の実施形態において、約300sccm以上、約500sccm以上、又は約700sccm以上とすることができる。チャンバプラズマ領域内で生成されるラジカル窒素前駆体は、N、NH、NH等のうちの1つ又は複数とすることができ、プラズマ内で形成されたイオン化された化学種も伴う場合がある。本発明の実施形態において、遠隔プラズマ内で、より安定した窒素前駆体と酸素源を結合させることもできる。酸素源を加えることは、流動性を減少させながら、層に酸素を予め組み込む。酸素源はO、HO、O、H、NO、NO又はNOのうちの1つ又は複数を含むことができる。
チャンバプラズマ領域を利用する複数の実施形態において、堆積領域から仕切られた基板処理領域の部分においてラジカル窒素前駆体が生成され、堆積領域において、前駆体が混合し、反応して、堆積基板(例えば、半導体ウエハ)上にケイ素窒素含有層を堆積する。ラジカル窒素前駆体は、水素(H)、窒素(N)、ヘリウム、ネオン、アルゴン等のキャリアガスを伴う場合もある。基板処理領域は、本明細書において、無炭素ケイ素窒素水素含有層の成長中、及び後続の処理中に「無プラズマ」であると説明される場合がある。「無プラズマ」は、その領域にプラズマがないことを必ずしも意味しない。チャンバプラズマ領域内のプラズマの境界は画定するのが難しく、シャワーヘッド内の開口部を通って基板処理領域上に侵入する場合がある。例えば、誘導結合プラズマの場合、基板処理領域内で、少量のイオン化が開始される場合がある。一般的に、形成しつつある層の流動性を失うことなく、基板処理領域内に低密度プラズマが生成される場合がある。ラジカル窒素前駆体の生成中に、プラズマが遠隔/チャンバプラズマ領域よりもはるかに低いイオン密度を有する全ての原因が、本明細書に用いられるような「無プラズマ」の範囲から逸脱しない。
基板処理領域において、無炭素ケイ素前駆体及びラジカル窒素前駆体が混合し、反応して、堆積基板106上にケイ素窒素水素含有層を堆積する。実施形態において、堆積されたケイ素窒素水素含有層は、従来の窒化ケイ素(Si)層堆積技法とは異なり、流動性を有する。形成中に流動性であることによって、層は固化する前に狭いフィーチャ内に流れ込むことができるようになる。
ケイ素窒素水素含有層内の窒素は、ラジカル前駆体又は非励起前駆体のいずれか(又は両方)から生じる場合がある。幾つかの実施形態において、無炭素ケイ素含有前駆体は基本的に無窒素とすることができる。しかしながら、実施形態によっては、無炭素ケイ素含有前駆体及びラジカル窒素前駆体はいずれも窒素を含む。第3の一連の実施形態では、ラジカル前駆体は基本的に無窒素とすることができ、無炭素ケイ素窒素水素含有層のための窒素は、無炭素ケイ素含有前駆体によって供給することができる。結果として、ラジカル前駆体は本明細書において「ラジカル窒素及び/又は水素前駆体」と呼ばれる場合もあり、その前駆体が窒素及び/又は水素を含むことを意味する。同様に、プラズマ領域に流れ込み、ラジカル窒素及び/又は水素前駆体を形成する前駆体は、窒素及び/又は水素含有前駆体と呼ばれる場合がある。この用語は、本明細書に開示される各実施形態に適用することができる。実施形態において、窒素及び/又は水素含有前駆体は水素(H)を含み、一方、ラジカル窒素及び/又は水素前駆体はH等を含む。
図1に示される具体例に戻ると、ケイ素窒素水素含有層の流動性はラジカル窒素前駆体を無炭素ケイ素含有前駆体と混合することから生じる種々の特性に起因する場合がある。これらの特性は、堆積された層内の著しい水素成分、及び/又は短鎖ポリシラザンポリマーの存在を含むことができる。これらの短鎖は成長し、網状化して、層の形成中及び形成後に、より高密度の誘電体材料を形成する。例えば、堆積された層は、シラザンタイプのSi−NH−Siバックボーン(すなわち、無炭素Si−N−H層)を有することができる。ケイ素含有前駆体及びラジカル前駆体がいずれも無炭素であるとき、堆積されたケイ素窒素水素含有層も概ね無炭素である。当然、「無炭素」は、極微量の炭素さえ層に存在しないことを必ずしも意味しない。前駆体材料内に、堆積されたケイ素窒素含有前駆体内に入り込む炭素汚染物が存在する場合もある。しかしながら、これらの炭素不純物の量は炭素成分を有するケイ素前駆体(例えば、TEOS、TMDSO等)であれば見られることになる量よりもはるかに少ない。
プロセスのこの時点において、本発明の実施形態において、基板処理領域からプロセス廃水を除去することができる。プロセス廃水は、任意の未反応ケイ素含有前駆体、未反応ラジカル窒素前駆体、不活性キャリアガス、及び層成長からの反応生成物を含む場合がある。プロセス廃水は、開示される実施形態において、不活性種を基板処理領域に流し込むことによって、及び/又は排気口を通して排気することによって追い出すことができる。
その後、ステップ108において、ケイ素窒素含有層を硬化させ、かつ/又はアニールする。硬化ステージは、酸化ケイ素キャッピング層及び無炭素ケイ素窒素水素含有層を酸素含有雰囲気に暴露することを伴う場合がある。酸素含有雰囲気は、本発明の実施形態において、オゾンを含む場合がある。堆積基板は硬化のために基板処理領域内にとどまる場合があるか、又は基板は、酸素含有雰囲気が導入された異なるチャンバに移送することができる。基板の硬化温度は、種々の実施形態において、約300℃以下、約250℃以下、約225℃以下又は約200℃以下とすることができる。基板の温度は、種々の実施形態において、室温(25℃)以上、約50℃以上、約100℃以上、約125℃以上、約150℃以上とすることができる。開示される更なる実施形態によれば、上限のいずれかを下限のいずれかと組み合わせて、基板温度の更なる範囲を形成することができる。
硬化工程は、無炭素ケイ素窒素水素含有層を、ケイ素酸素含有層に変更した。ケイ素酸素含有層は、酸素含有雰囲気内で相対的に高い温度において基板をアニールすることによって更に変換することができる。堆積基板は、酸素含有雰囲気が導入されるとき、硬化のために用いられる同じ基板処理領域内にとどまることができるか、又は基板は、酸素含有雰囲気が導入される異なるチャンバに移送される場合がある。酸素含有雰囲気は、数ある酸素含有ガスの中でも、分子酸素(O)、オゾン(O)、水蒸気(HO)、過酸化水素(H)、及び窒素酸化物(NO、NOなど)など1つ又は複数の酸素含有ガスを含む場合がある。酸素含有雰囲気は、遠隔して発生させ、基板チャンバに輸送することができる、ラジカル酸素、及び原子酸素(O)、水酸化物(OH)などのヒドロキシル種を含むこともできる。酸素含有種のイオンも存在する場合がある。硬化工程及びアニール工程の酸素含有雰囲気は、酸素を与え、ケイ素窒素水素含有層を酸化ケイ素(SiO)層に変換する。基板の酸素アニール温度は、種々の実施形態において、約1100℃以下、約1000℃以下、約900℃以下、又は約800℃以下とすることができる。基板の温度は、種々の実施形態において、約500℃以上、約600℃以上、約700℃以上、又は約800℃以上とすることができる。開示される更なる実施形態によれば、再び、上限のいずれかを下限のいずれかと組み合わせて、基板温度に関する更なる範囲を形成することができる。
方法100は、ケイ素酸素含有層の高密度プラズマ処理(工程110)も含む。HDP処理は通常、ラジカル成分CVDのために用いられるチャンバとは異なる方式のチャンバを必要とすることになる。図2に関連して後に論じられるように、2つの異なるチャンバを同じ基板処理システム上の別々のポートに取り付けることができる。複数の実施形態において、基板は、ラジカル成分堆積とHDP処理との間に雰囲気に暴露されないことが好ましい。本発明の実施形態において、HDP処理は、硬化工程後に、酸素含有雰囲気内でのアニール後に、又は硬化−アニールシーケンス後に行われる場合がある。ケイ素酸素含有層への変換を開始するために、1つの形態又は別の形態において、ケイ素窒素水素含有層へのHDP処理前にある量の酸素が与えられるべきである。他の流動性膜の場合、酸素の導入は、必ずしも全ての実施形態において必要であるとは限らない場合がある。HDP処理中のイオン化された種の衝撃の結果として、処理された層が緻密化される。
プラズマ電力を加えてガスを励起している間に、HDPチャンバの中に種々のガスを導入することができる。本発明の種々の実施形態において、高密度プラズマは、O、O、NH、NO、HO、H、Ar、N又はHeのうちの1つ又は複数から形成することができる。イオン密度及びイオン分率はそれぞれ、1011イオン/cmより、及び10−4より大きくすることができる。堆積対スパッタ比は、本明細書において尺度量が定義されるとき、1に等しくすることができるか、又は1に接近することができる。高密度プラズマ領域に加えられるプラズマ電力は、次のセクションにおいて更に詳細に論じられることになるが、約1kW以上、約3kW以上、約5kW以上、約7.5kW以上、又は約10kW以上とすることができる。本発明の実施形態において、これらのプラズマ電力は、高密度プラズマに対して基板にバイアスをかけるために加えられるプラズマ電力を含むか、又は除く。
高密度プラズマ処理は標準的には、基板を約400℃〜約450℃に加熱する。基板とプラズマとの間にバイアス電圧を使用することは、基板温度を更に高める場合がある。熱バジェット内にとどまるために、基板の背面に冷却ガスを流すか、又は別の基板冷却源を設けることによって、基板温度を下げることができる。複数の実施形態において、HDP処理中の基板の温度は、約400℃以下、約350℃以下、約325℃以下、又は約300℃以下にすることができる。
HDP処理前に誘電体材料のある量を除去することにより、処理の有効性を高めることができ、それにより、密度を更に増大させることができるようになる。これは、間隙充填誘電体材料の場合に特に当てはまる。例えば、高密度プラズマ処理に先行して、誘電体層を化学機械研磨して、パターニングされた基板の背面により近接して位置決めされる新たな誘電体−空気界面を形成することができる。本発明の種々の実施形態において、化学機械研磨ステップは、誘電体層を堆積した後に行われ、硬化工程後に、かつアニール工程後に行うことができる。HDP処理は、HDP処理中に新たな誘電体−空気界面で気相イオンと分子フラグメントとの間の衝突を引き起こしている可能性がある。それらの衝突は、誘電体層の間隙充填部分のより近くで生じており、それにより、CMPステップを省く処理に比べて更に大きく緻密化できるようになる。同様に、堆積を複数の堆積−緻密化シーケンスに分けることによって、密度を増大させることができる。複数の実施形態において、連続したステップを少なくとも2回繰り返して、同じ全厚の単一の堆積シーケンスと比べて誘電体密度を増大させる。
高密度プラズマ処理は標準的には、基板を約400℃〜約450℃まで加熱する。基板とプラズマとの間にバイアス電圧を使用することは、基板温度を更に高める場合がある。熱バジェット内にとどまるために、基板の背面に冷却ガスを流すか、又は別の基板冷却源を設けることによって、基板温度を下げることができる。複数の実施形態において、HDP処理中の基板の温度は、約400℃以下、約350℃以下、約325℃以下、又は約300℃以下にすることができる。
無炭素ケイ素窒素水素含有層及びキャッピング層を堆積するために用いられる基板は、パターニングされた基板とすることができ、基板上に形成されるデバイス構成要素(例えば、トランジスタ)の間隔及び構造のための複数の間隙を有する場合がある。その間隙は、高さ及び幅を有することができ、高さと幅とのアスペクト比(AR)(すなわち、H/W)を定義し、アスペクト比は1:1より著しく大きい(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上等)。多くの場合、高いARは、約90nm〜約22nm以下(例えば、90nm未満、65nm、50nm、45nm、32nm、22nm、16nm等)の範囲内にある小さな間隙幅に起因する。無炭素ケイ素窒素水素含有層は流動性があるので、充填材料の中心の周囲にボイド又は弱い継ぎ目を作り出すことなく、高いアスペクト比を有する間隙を満たすことができる。例えば、堆積中の流動性材料は、完全に充填される前に間隙の上部を早期に塞いで、間隙の中央にボイドを残す可能性は小さい。
例示的な酸化ケイ素堆積システムを説明する中で更なる処理パラメータが導入される場合がある。
例示的な酸化ケイ素堆積システム
本発明の実施形態を実施することができる堆積チャンバは、数あるタイプのチャンバの中でも、高密度プラズマ化学気相堆積(HDP−CVD)チャンバ、プラズマ化学気相堆積(PECVD)チャンバ、準常圧化学気相堆積(SACVD)チャンバ、熱化学気相堆積チャンバを含むことができる。本発明の実施形態を実施することができるCVDシステムの具体例は、カリフォルニア州サンタ・クララのApplied Materials, Inc.から市販されるCENTURA ULTIMA(登録商標)HDP−CVDチャンバ/システム及びPRODUCER(登録商標)PECVDチャンバ/システムを含む。
堆積システムの実施形態は、集積回路チップを作製するために更に大型の製造システム内に組み込むことができる。図2は、開示される実施形態による、堆積チャンバ、加熱乾燥チャンバ及び硬化チャンバからなる1つのそのようなシステム200を示す。図において、一対のFOUP(前方開口型統一ポッド)202が基板(例えば、300mm径ウエハ)を供給し、その基板はロボットアーム204によって受け取られ、低圧保持エリア206に入れられ、その後、基板処理チャンバ208a〜fに入れられる。第2のロボットアーム210を用いて、基板ウエハを低圧保持エリア206から基板処理チャンバ208a〜fに移送し、戻すことができる。
基板処理チャンバ208a〜fは、基板ウエハ上に流動性誘電体層を堆積し、アニールし、硬化させ、及び/又はエッチングするための1つ又は複数の構成要素を含むことができる。1つの構成では、2つの処理チャンバ対(例えば、208c〜d及び208e〜f)を用いて、基板上に流動性誘電体材料を堆積し、第3の処理チャンバ対(例えば、208a〜b)を用いて、堆積された誘電体をアニールすることができる。別の構成では、同じ2つの処理チャンバ対(例えば、208c〜d及び208e〜f)を、基板上に流動性誘電体層を堆積しアニールするように構成することができ、一方、第3の処理チャンバ対(例えば、208a〜b)は、堆積された層のUV又はEビーム硬化のために用いることができる。更に別の構成では、3つ全てのチャンバ対(例えば、208a〜f)を、基板上に流動性誘電体層を堆積し、硬化させるように構成することができる。更に別の構成では、2つの処理チャンバ対(例えば、208c〜d及び208e〜f)を、流動性誘電体の堆積及びUV又はEビーム硬化の両方のために用いることができ、一方、第3の処理チャンバ対(例えば、208a〜b)は、誘電体層をアニールするために用いることができる。異なる実施形態では、図示される製造システムから分離された1つ又は複数のチャンバ上で、上記の処理のうちのいずれか1つ又は複数を実行することができる。
更に、基板処理チャンバ208a〜208fのうちの1つ又は複数は湿式処理チャンバとして構成することができる。これらのプロセスチャンバは、水分を含む雰囲気内で流動性誘電体層を加熱することを含む。したがって、システム200の実施形態は、湿式処理チャンバ及びアニール処理チャンバを含み、堆積された誘電体層上で湿式及び乾式両方のアニールを実行することができる。
本発明者らは、Santa Clara、Calif.のAPPLIED MATERIALS,INC.によって製造されたULTIMA(商標)システムを用いて本発明の実施形態を実施しており、その包括的な記述が、Fred C. Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong及びAshok Sinhaによって1996年7月15日に出願された「SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP−CVD REACTOR」と題する同じ譲受人に譲渡された米国特許第6,170,428号において与えられており、その開示全体は参照により本明細書に援用される。そのシステムの概説が以下に図3A及び図3Bに関連して与えられる。図3Aは、一実施形態におけるそのようなHDP−CVDシステム310の構造を概略的に示す。システム310は、チャンバ313と、真空システム370と、ソースプラズマシステム380Aと、基板バイアスプラズマシステム380Bと、ガス供給システム333と、遠隔プラズマ洗浄システム350とを含む。
チャンバ313の上側部分はドーム314を含み、ドームは、酸化アルミニウム又は窒化アルミニウムなどセラミック誘電体材料から作製される。ドーム314は、プラズマ処理領域316の上側境界を画定する。プラズマ処理領域316は、その底部において基板317の上面及び基板支持部材318と接している。
ヒータ板323及び冷却板324がドーム314に載置され、ドーム314に熱結合される。ヒータ板323及び冷却板324によって、ドーム温度を約100℃〜200℃の範囲にわたって約+10℃以内に制御できるようになる。これにより、種々のプロセスに対してドーム温度を最適化できるようになる。例えば、洗浄又はエッチングプロセスの場合、堆積プロセスの場合よりもドームを高い温度に維持することが望ましい場合がある。ドーム温度の厳密な制御は、チャンバ内の断片又は粒子の数も減らし、堆積された層と基板との間の接着を改善する。
チャンバ313の下側部分は本体部材322を含み、本体部材はチャンバを真空システムに接合する。基板支持部材318の基部321が本体部材322上に取着され、本体部材とともに連続した内面を形成する。基板は、チャンバ313の側方にある挿入/取出開口(図示せず)を通して、ロボットブレード(図示せず)によってチャンバ313の内外に移送される。モータ(図示せず)の制御下でリフトピン(同じく図示せず)が上げられ、その後、下げられて、基板を上側ローディング位置357にあるロボットブレードから、基板が基板支持部材318の基板受取部319上に配置される下側処理位置356まで移動する。基板受取部319は、基板処理中に基板を基板支持部材318に固定する静電チャック320を含む。好ましい実施形態では、基板支持部材318は、酸化アルミニウム又はアルミニウムセラミック材料から作製される。
真空システム370はスロットル本体325を含み、スロットル本体はツインブレードスロットルバルブ326を収容し、ゲートバルブ327及びターボ分子ポンプ328に取り付けられる。スロットル本体325はガス流が妨げられるのを最小限に抑え、対称にポンピングできるようにすることに留意されたい。ゲートバルブ327は、ポンプ328をスロットル本体325から隔離することができ、スロットルバルブ326が完全に開いているときに、排出流量を制限することによってチャンバ圧を制御することもできる。スロットルバルブ、ゲートバルブ及びターボ分子ポンプの構成によって、チャンバ圧を約1mTorr〜約2Torrまで厳密に、かつ安定的に制御できるようになる。
ソースプラズマシステム380Aは、ドーム314上に取着されるトップコイル329及びサイドコイル330を含む。対称な接地シールド(図示せず)が、コイル間の電気的結合を低減する。トップコイル329はトップソースRF(SRF)発生器331Aによって電力を供給されるのに対して、サイドコイル330はサイドSRF発生器331Bによって電力を供給され、それにより、コイルごとに独立した電力レベル及び動作周波数を可能にする。このデュアルコイルシステムによれば、チャンバ313内のラジカルイオン密度を制御できるようになり、それにより、プラズマ均一性を改善することができる。サイドコイル330及びトップコイル329は通常、誘導的に駆動され、相補電極を必要としない。具体的な実施形態では、トップソースRF発生器331Aは、標準的には2MHzにおいて、5,000ワットまでのRF電力を与え、サイドソースRF発生器331Bは、標準的には2MHzにおいて、7,500ワットまでのRF電力を与える。プラズマ発生効率を改善するために、トップRF発生器及びサイドRF発生器の動作周波数は、公称動作周波数から(例えば、それぞれ1.7MHz〜1.9MHz及び1.9MHz〜2.1MHzまで)オフセットされる場合がある。
基板バイアスプラズマシステム380Bは、バイアスRF(「BRF」)発生器331C及びバイアス整合回路網332Cを含む。バイアスプラズマシステム380Bは、基板部分317を、相補電極として働く本体部材332に容量性結合する。バイアスプラズマシステム380Bは、ソースプラズマシステム380Aによって作り出されたプラズマ種(例えば、イオン)の基板表面への輸送を促進する役割を果たす。具体的な実施形態では、基板バイアスRF発生器は、約13.56MHzの周波数において、10,000ワットまでのRF電力を与える。複数の実施形態において、高密度プラズマは、約1kW以上、約1.5kW以上、又は約2kW以上のRF電力を加えることによって形成することができる。本発明の実施形態では、高密度プラズマを形成するためのRF電力は、ソースプラズマシステム(例えば、380A)からの電力を含み、基板バイアスプラズマシステム(例えば、380B)からの電力を含む場合もある。
RF発生器331A及び331Bはデジタル制御シンセサイザを含む。各発生器は、当業者によって理解されるように、チャンバ及びコイルから反射され、発生器に戻される電力を測定し、動作周波数を調整して、最も低い反射電力を得るRF制御回路(図示せず)を含む。RF発生器は通常、50オームの特性インピーダンスを有する負荷につないで動作するように設計される。RF電力は、発生器とは異なる特性インピーダンスを有する負荷から反射される場合がある。これは、負荷に移送される電力を低減する可能性がある。更に、負荷から反射され、発生器に戻される電力は、発生器に過大な負荷をかけ、損傷を与えるおそれがある。プラズマのインピーダンスは、数ある要因の中でも、プラズマイオン密度によって、5オーム未満から900オーム以上までの範囲に及ぶ場合があるので、かつ反射された電力は周波数の関数の場合があるので、反射された電力に従って発生器周波数を調整することは、RF発生器からプラズマに移送される電力を増やし、発生器を保護する。反射される電力を低減し、効率を改善する別の方法は整合回路網による。
整合回路網332A及び332Bは、発生器331A及び331Bの出力インピーダンスをそれぞれのコイル329及び330と整合させる。RF制御回路は、負荷が変化するのに応じて、整合回路網内のコンデンサの値を変更することによって両方の整合回路網を同調させて、発生器を負荷に整合させることができる。RF制御回路は、負荷から反射され、発生器に戻される電力が一定の限界を超えたときに整合回路網を同調させることができる。一定の整合を与え、事実上、RF制御回路を用いることなく整合回路網を同調させる1つの方法は、反射される電力の任意の予測値より高い反射電力限界を設定することである。これは、整合回路網を最新の条件において一定に保持することによって、幾つかの条件下でプラズマを安定化するのを助けることができる。
他の措置がプラズマを安定化するのを助ける場合もある。例えば、RF制御回路を用いて、負荷(プラズマ)に送達される電力を決定することができ、発生器の出力電力を増減して、層の堆積中に送達される電力を実質的に一定に保持することができる。
ガス供給システム333は、ガス供給ライン338(そのうちの幾つかのみが図示される)を経由して、幾つかのソース334A〜334Eから、基板を処理するためのチャンバにガスを与える。当業者であれば理解するように、ソース334A〜334Eのために用いられる実際のソース及びチャンバ313への供給ライン338の実際の接続は、チャンバ313内で実行される堆積プロセス及び洗浄プロセスに応じて異なる。ガスは、ガスリング337及び/又はトップノズル345を通してチャンバ313に導入される。図3Bは、ガスリング337の更なる細部を示す、チャンバ313の簡略化された部分断面図である。
一実施形態では、第1のガス源334A及び第2のガス源334B、並びに第1のガス流コントローラ335A’及び第2のガス流コントローラ335B’が、ガス供給ライン338(そのうちの幾つかのみが図示される)を経由して、ガスリング337内のリングプレナム336にガスを与える。ガスリング337は複数のソースガスノズル339(例示のために、そのうちの1つのみが図示される)を有し、ソースガスノズルは基板にわたって均一なガス流を与える。個々のチャンバ内の特定のプロセスに対して均一性プロファイル及びガス利用効率を適応させることができるように、ノズル長及びノズル角を変更することができる。好ましい実施形態では、ガスリング337は、酸化アルミニウムセラミックから作製された12個のソースガスノズルを有する。
ガスリング337は複数の酸化剤ガスノズル340(そのうちの1つのみが図示される)も有し、酸化剤ガスノズルは、一実施形態ではソースガスノズル339と同一平面をなし、かつソースガスノズル339より短く、一実施形態では、本体プレナム341からガスを受け取る。幾つかの実施形態では、ガスをチャンバ313の中に注入する前に、ソースガス及び酸化剤ガスを混合しないことが望ましい。他の実施形態では、本体プレナム341とガスリングプレナム336との間に開孔(図示せず)を設けることによって、ガスをチャンバ313に注入する前に、酸化剤ガス及びソースガスを混合することができる。一実施形態では、第3のガス源334C、第4のガス源334D及び第5のガス源334D’並びに第3のガス流コントローラ335C及び第4のガス流コントローラ335D’が、ガス供給ライン338を経由して、ガスを本体プレナムに与える。343B(他のバルブは図示されない)など更なるバルブが、ガス流コントローラからチャンバへのガスを遮断することができる。本発明の特定の実施形態を実施する際に、ソース334AはシランSiH源を含み、ソース334Bは分子窒素N源を含み、ソース334CはTSA源を含み、ソース334DはアルゴンAr源を含み、ソース334D’はジシランSi源を含む。
可燃性ガス、毒ガス又は腐食性ガスが用いられる実施形態では、堆積後にガス供給ライン内に残留するガスを除去することが望ましい場合がある。これは、バルブ343Bなど三方バルブを用いてチャンバ313を供給ライン338Aから隔離し、供給ライン338Aに、例えば、真空フォアライン344への通気孔を設けることによって成し遂げることができる。図3Aに示されるように、343A及び343Cなど他の類似のバルブを他のガス供給ライン上に組み込むことができる。そのような三方バルブをできるだけチャンバ313の近くに配置して、通気孔のないガス供給ライン(三方バルブとチャンバとの間の)容積を最小限に抑えることができる。更に、二方(開閉)バルブ(図示せず)を質量流量コントローラ(「MFC」)とチャンバとの間に、又はガス源とMFCとの間に配置することができる。
再び図3Aを参照すると、チャンバ313は、トップノズル345及びトップベント346も有する。トップノズル345及びトップベント346によって、ガスの上方流及び側方流を独立制御できるようになり、それにより、膜均一性が改善され、膜の堆積及びドーピングパラメータを微調整できるようになる。トップベント346は、トップノズル345周囲の環状開口である。一実施形態では、第1のガス源334Aはソースガスノズル339及びトップノズル345に供給する。ソースノズルMFC335A’は、ソースガスノズル339に送達されるガスの量を制御し、トップノズルMFC335Aは、トップガスノズル345に送達されるガスの量を制御する。同様に、2つのMFC335B及び335B’を用いて、ソース334Bなど単一の酸素源からトップベント346及び酸化剤ガスノズル340の両方への酸素の流れを制御することができる。幾つかの実施形態では、酸素は、サイドノズルからチャンバに供給されない。トップノズル345及びトップベント346に供給されるガスは、ガスをチャンバ313に流し込む前に別々にしておくことができるか、又はガスは、チャンバ313に流入する前にトッププレナム348において混合することができる。同じガスの別々のソースを用いて、チャンバの種々の部分に供給することができる。
チャンバ構成要素から堆積残留物を定期的に取り除くために、遠隔マイクロ波発生プラズマ洗浄システム350が設けられる。洗浄システムは、リアクタキャビティ353において洗浄ガス源334E(例えば、分子フッ素、三フッ化窒素、他のフッ化炭素又は同等物)からプラズマを作り出す遠隔マイクロ波発生器351を含む。このプラズマから生じる反応性種は、アプリケータチューブ355を経由して、洗浄ガス流入口354を通してチャンバ313に搬送される。洗浄プラズマを含むために用いられる材料(例えば、キャビティ353及びアプリケータチューブ355)は、プラズマによる侵蝕に耐えなければならない。リアクタキャビティ353と流入口354との間の距離は、望ましいプラズマ種の濃度がリアクタキャビティ353からの距離とともに減少する場合があるので、できるだけ短くしておくべきである。遠隔キャビティにおいて洗浄プラズマを発生させることによって、効率的なマイクロ波発生器を使用できるようになり、チャンバ構成要素が温度、放射、又はその場で形成されたプラズマ内に存在する場合があるグロー放電の照射にさらされない。その結果、その場のプラズマ洗浄プロセスの場合に必要とされる場合があるような、静電チャック320など比較的取扱いに注意を要する構成要素をダミーウエハで覆うこと、又は別の方法で保護することは不要である。図3Aにおいて、プラズマ洗浄システム350は、チャンバ313の上方に配置されるように示されるが、代替的には、他の位置を用いることができる。
トップノズルを通してチャンバの中に供給されるソースガスの流れを誘導し、かつ遠隔発生したプラズマの流れを誘導するために、トップノズルに近接してバッフル361を設けることができる。トップノズル345を通して与えられるソースガスが中央通路362を通してチャンバの中に誘導され、一方、洗浄ガス流入口354を通して与えられる遠隔発生したプラズマ種は、バッフル361によってチャンバの側方に誘導される。
図4Aは、開示される実施形態による基板処理チャンバ400である。遠隔プラズマシステム(RPS)410はガスを処理することができ、その後、ガスはガス入り口アセンブリ411を通って進む。ガス入り口アセンブリ411内に2つの異なるガス供給チャネルが見える。第1のチャネル412は遠隔プラズマシステムRPS410を通り抜けるガスを搬送し、一方、第2のチャネル413はRPS410を迂回する。開示される実施形態では、第1のチャネル412はプロセスガスのために用いることができ、第2のチャネル413は処理ガスのために用いることができる。蓋(又は導電性上部)421及び有孔仕切り又はシャワーヘッド453が、その間にある絶縁性リング424とともに示されており、絶縁性リングによって、有孔仕切り453に対して、蓋421にAC電位をかけることができるようになる。プロセスガスは、第1のチャネル412を通ってチャンバプラズマ領域420内に進み、チャンバプラズマ領域420だけで、又はRPS410と組み合わせて、プラズマによって励起することができる。チャンバプラズマ領域420及び/又はRPS410の組み合わせは本明細書において遠隔プラズマシステムと呼ばれる場合がある。有孔仕切り(シャワーヘッドとも呼ばれる)453は、チャンバプラズマ領域420を、シャワーヘッド453下方の基板処理領域470から分離する。シャワーヘッド453によって、励起された化学種がチャンバプラズマ領域420から基板処理領域470内に依然として進むことができるようにしながら、チャンバプラズマ領域420内に存在するプラズマが基板処理領域470内のガスを直接励起するのを回避できるようにする。
シャワーヘッド453は、チャンバプラズマ領域420と基板処理領域470との間に配置され、チャンバプラズマ領域420内で生成されたプラズマ放出物(前駆体又は他のガスの励起された誘導体)が、板の厚みを横断する複数のスルーホール456を通り抜けることができるようにする。シャワーヘッド453は1つ又は複数の中空体積部451も有し、中空体積部は蒸気又はガス(ケイ素含有前駆体)の形の前駆体を充填することができ、小さな穴455を通り抜けて基板処理領域470に入るが、チャンバプラズマ領域420には直接入らない。シャワーヘッド453は、この開示される実施形態では、スルーホール456の最も小さな直径450の長さよりも厚い。チャンバプラズマ領域420から基板処理領域470に侵入する励起された化学種の高い濃度を保持するために、シャワーヘッド453の途中でスルーホール456のより大きな直径部分を形成することによって、スルーホールの最も小さな直径450の長さ426を制限することができる。スルーホール456の最も小さな直径450の長さは、開示される実施形態では、スルーホール456の最も小さな直径と同じ桁に、又はそれ未満にすることができる。
図示される実施形態では、シャワーヘッド453は、酸素、水素及び/又は窒素を含むプロセスガスを、及び/又はチャンバプラズマ領域420内のプラズマによる励起時にそのようなプロセスガスのプラズマ放出物を(スルーホール456を介して)分配することができる。複数の実施形態において、RPS410内に、及び/又は第1のチャネル412を通ってチャンバプラズマ領域420内に導入されるプロセスガスは、酸素(O)、オゾン(O)、NO、NO、NO、NH、並びにN、シラン、ジシラン、TSA及びDSAを含むNうちの1つ又は複数を含むことができる。プロセスガスはヘリウム、アルゴン、窒素(N)等のキャリアガスを含むこともできる。第2のチャネル413は、プロセスガス及び/又はキャリアガス、及び/又は成長しつつある層又は堆積されたままの層から望ましくない成分を除去するために用いられる層硬化ガス(例えば、O)も供給することができる。プラズマ放出物は、プロセスガスのイオン化誘導体又は中性誘導体を含むことができ、導入されるプロセスガスの原子成分を引き合いに出して、本明細書においてラジカル酸素前駆体及び/又はラジカル窒素前駆体と呼ばれる場合もある。
複数の実施形態において、スルーホール456の数は約60個〜約2000個とすることができる。スルーホール456は、種々の形状を有することができるが、円形とするのが最も容易である。スルーホール456の最も小さな直径450は、開示される実施形態では、約0.5mm〜約20mm、又は約1mm〜約6mmである。スルーホールの断面形状を選択する際に自由度もあり、円錐形、円柱形又は2つの形状の組み合わせにすることができる。種々の実施形態において、ガスを基板処理領域470に導入するために用いられる小孔455の数は、約100個〜約5000個、又は約500個〜約2000個とすることができる。小孔455の直径は約0.1mm〜約2mmとすることができる。
図4Bは、開示される実施形態による、処理チャンバとともに用いるためのシャワーヘッド453の底面図である。シャワーヘッド453は、図3Aに示されるシャワーヘッドに対応する。スルーホール456が示されており、シャワーヘッド453の底面において内径(ID)が大きく、上面においてIDは小さい。小孔455は、シャワーヘッドの表面にわたって概ね均等に分散配置され、更にはスルーホール456の間にも分散配置され、それにより、本明細書に示される他の実施形態よりも均一な混合をもたらすのを助ける。
シャワーヘッド453内のスルーホール456を通って到達するプラズマ放出物が、中空の体積部451から生じる小孔455を通って到達するケイ素含有前駆体と結合するとき、基板処理領域470内のペデスタル(図示せず)によって支持された基板上に例示的な層が生成される。基板処理領域470は硬化など他のプロセスのためのプラズマに対応する設備を有することができるが、例示的な層の成長中にプラズマは存在しない。
シャワーヘッド453上方のチャンバプラズマ領域420内で、又はシャワーヘッド453下方の基板処理領域470内でプラズマを点火することができる。チャンバプラズマ領域420内にプラズマが存在し、窒素酸素含有ガスの流入からラジカル窒素前駆体を生成する。通常無線周波数(RF)範囲内のAC電圧が、処理チャンバの蓋421に形成される導電性上部とシャワーヘッド453との間に印加され、堆積中にチャンバプラズマ領域420内のプラズマを点火する。RF電源は、13.56MHzの高いRF周波数を生成するが、単独で、又は13.56MHz周波数と組み合わせて他の周波数を生成することもできる。
第2の硬化ステージ中に基板処理領域470内の底部プラズマがオンに切り替えられるか、又は基板処理領域470に隣接する内面を洗浄するときに、上部プラズマは低電力又は無電力のままにすることができる。基板処理領域470内のプラズマは、シャワーヘッド453と、ペデスタル又はチャンバの底部との間にAC電圧を印加することによって点火される。プラズマが存在する間に、基板処理領域470内に洗浄ガスを導入することができる。
ペデスタルは、基板の温度を制御するために熱交換流体が流れる熱交換チャネルを有することができる。この構成によれば、基板温度を冷却又は加熱して、相対的に低い温度を保持できるようになる(室温から約120℃)。熱交換流体は、エチレングリコール及び水を含むことができる。相対的に高い温度(約120℃〜約1100℃)を達成するために、平行な同心円の形で完全に2巻きするように構成される埋込単一ループ埋込ヒータ素子を用いて、ペデスタルのウエハ支持円板(アルミニウム、セラミック、又はその組み合わせであることが好ましい)を抵抗加熱することもできる。ヒータ素子の外側部分は支持円板の周辺に隣接して延在することができ、一方、内側部分は、小さな径を有する同心円の経路上に延在する。ヒータ素子への配線は、ペデスタルの心棒を通り抜ける。
基板処理システムはシステムコントローラによって制御される。例示的な実施形態では、システムコントローラはハードディスクドライブ、フロッピー(登録商標)ディスクドライブ、及びプロセッサを含む。プロセッサはシングルボードコンピュータ(SBC)、アナログ及びデジタル入力/出力ボード、インターフェースボード及びステッパモータコントローラボードを含む。CVDシステムの種々の部品は、ボード、カードケージ、並びにコネクタ寸法及びタイプを規定するバーサ・モジュラ・ヨーロッパ(VME:Versa Modular European)標準規格に適合する。また、VME標準規格は、16ビットデータバス及び24ビットアドレスバスを有するようにバス構造を規定する。
システムコントローラは、堆積システムの活動の全てを制御する。システムコントローラは、システム制御ソフトウェアを実行し、そのソフトウェアはコンピュータ可読媒体に記憶されるコンピュータプログラムである。好ましくは、その媒体はハードディスクドライブであるが、媒体は他の種類のメモリとすることもできる。コンピュータプログラムは、タイミング、ガスの混合物、チャンバ圧、チャンバ温度、RF電力レベル、サセプタ位置、及び特定のプロセスの他のパラメータを指示する複数の命令セットを含む。例えば、フロッピー(登録商標)ディスク又は別の適切なドライブを含む、他のメモリデバイス上に記憶される他のコンピュータプログラムを用いて、システムコントローラに指示することもできる。
基板上に層スタックを堆積する(例えば、無炭素ケイ素窒素水素含有層と、その後に、酸化ケイ素キャッピング層とを連続して堆積する)ためのプロセス、層を酸化ケイ素に変換するためのプロセス、又はチャンバを洗浄するためのプロセスは、システムコントローラによって実行されるコンピュータプログラム製品を用いて実施することができる。コンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン等で書くことができる。従来のテキストエディタを用いて、単一のファイル又は複数のファイルに適切なプログラムコードが入力され、コンピュータのメモリシステムなど、コンピュータ使用可能媒体内に記憶される、又は含まれる。入力されたコードテキストが高級言語である場合には、そのコードはコンパイルされ、結果として生成されたコンパイラコードが、その後、予めコンパイル済みのMicrosoft Windows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされたコンパイル済みオブジェクトコードを実行するために、システムユーザはオブジェクトコードを呼び出し、それにより、コンピュータシステムが、そのコードをメモリにロードする。その後、CPUがそのコードを読み出し、実行して、プログラム内で特定されたタスクを実行する。
ユーザとコントローラとの間のインターフェースは、フラットパネルタッチセンシティブモニタによる。好ましい実施形態では、2つのモニタが用いられ、一方は作業者のためにクリーンルーム壁に取り付けられ、他方はサービス技術者のために壁の背面に取り付けられる。2つのモニタが同じ情報を同時に表示することができ、その場合でも、一度に一方のみが入力を受け取る。特定の画面又は機能を選択するために、作業者はタッチセンシティブモニタの指定されたエリアに触れる。触れられたエリアはそのハイライトされた色を変更するか、又は新たなメニュー又は画面が表示され、作業者とタッチセンシティブモニタとの間の通信を確認する。ユーザがシステムコントローラと通信できるようにするために、タッチセンシティブモニタの代わりに、又はそれ加えて、キーボード、マウス又は他のポインティング若しくは通信デバイスなど他のデバイスを用いることもできる。
本明細書において用いられるときに、「基板」は、その上に層が形成されるにしても、形成されないにしても、支持基板とすることができる。支持基板は、絶縁体、又は種々のドーピング濃度及びプロファイルからなる半導体とすることができ、例えば、集積回路の製造において用いられるタイプの半導体基板とすることができる。「酸化ケイ素」の層は、窒素、水素、炭素などの或る微量の濃度の他の元素成分を含む場合がある。幾つかの実施形態では、酸化ケイ素は、基本的にケイ素及び酸素からなる。用語「前駆体」は、或る表面から材料を除去するか、又は或る表面上に材料を堆積する反応に関与する任意のプロセスガスを指すために用いられる。「励起された状態」にあるガスは、ガス分子のうちの少なくとも幾つかが振動励起された状態、解離された状態及び/又はイオン化された状態にあるガスを示す。ガス(又は前駆体)は2つ以上のガス(又は前駆体)の組み合わせとすることができる。「ラジカル前駆体」は、或る表面から材料を除去するか、又は或る表面上に材料を堆積する反応に関与するプラズマ放出物(プラズマから出つつある励起状態にあるガス)を示すために用いられる。「ラジカル窒素前駆体」は窒素を含むラジカル前駆体であり、「ラジカル水素前駆体」は水素を含むラジカル前駆体である。「不活性ガス」という語句は、エッチングするとき、又は層に組み込まれるときに、化学結合を形成しない任意のガスを指している。例示的な不活性ガスは希ガスを含むが、(通常)微量が層内に閉じ込められるときに化学結合が形成されない限り、他のガスを含むことができる。
用語「トレンチ」は、本明細書全体を通して用いられるが、エッチングされた幾何形状が大きな水平アスペクト比を有することは意味しない。表面の上方から見るとき、トレンチは、円形、楕円形、多角形、長方形又は様々な他の形状に見える場合がある。用語「ビア」は、垂直な電気的接続を形成するために金属を充填されるにしても、充填されないにしても、低いアスペクト比のトレンチを指すために用いられる。本明細書において用いられるときに、共形層は、表面と同じ形状を成す、その表面上の概ね均一な材料層を指しており、すなわち、その層の表面と、覆われる表面は概ね平行である。堆積された材料が100%共形である可能性はなく、それゆえ、用語「概ね」は許容範囲を考慮に入れていることは当業者には認識されよう。
幾つかの実施形態を説明してきたが、本発明の精神から逸脱することなく様々な変更形態、代替構成、又は均等形態を用いることができることは当業者には理解されよう。更に、本発明を不必要に曖昧にしないように、幾つかの周知のプロセス及び要素は記述されていない。したがって、上記の説明は、本発明の範囲を限定するものと見なされるべきではない。
或る範囲の値が与えられる場合、文脈において明確に別段の指示がない限り、その範囲の上限と下限の間にある、下限の単位の10分の1まで具体的に開示されることは理解されたい。規定された範囲内の任意の規定された値又は介在する値と、その規定された範囲内の任意の他の規定された値又は介在する値との間の狭い方の範囲がそれぞれ包含される。これらの狭い方の範囲の上限と下限は、その範囲内に独立して含まれる場合も、除外される場合もあり、規定された範囲における任意の具体的に除外される限界値に応じて、限界値のいずれかが狭い方の範囲内に含まれる場合、限界値のいずれも狭い方の範囲内に含まれない場合、又は両方の限界値が狭い方の範囲内に含まれる場合の各範囲も本発明に包含される。規定された範囲が限界値の一方又は両方を含む場合、それらの含まれる限界値の一方又は両方を除外することも含まれる。
本明細書において及び添付の特許請求の範囲において用いられるとき、文脈において明確に別段の指示がない限り、単数形「1つの」(a、an)及び「その」(the)は、複数の指示物も含む。したがって、例えば「1つのプロセス」に言及することは、複数のそのようなプロセスを含み、「その前駆体」に言及することは、1つ又は複数の前駆体、及び当業者に知られているその均等物に言及することを含み、それ以外も同様である。
また、用語「備える、含む」(comprise、comprising)及び「含む」(include、including、includes)は、本明細書において及び添付の特許請求の範囲において用いられるときに、規定される特徴、整数、構成要素、又はステップの存在を指定することを意図しているが、1つ又は複数の他の特徴、整数、構成要素、ステップ、動作又はグループの存在又は追加を除外するものではない。

Claims (16)

  1. 基板上に誘電体層を形成する方法であって、前記方法は、
    前記基板上に誘電体層を形成するステップであって、前記誘電体層は前記誘電体層を形成する作業の間、流動性がある、形成するステップと、
    前記層を高密度プラズマに暴露することによって前記誘電体層を処理するステップであって、前記層を前記高密度プラズマに暴露することは前記誘電体層の密度を増大させる、処理するステップとからなる連続したステップを含む方法。
  2. 前記基板の温度は前記誘電体層を処理する前記作業の間、400℃未満に維持される、請求項1に記載の方法。
  3. 前記高密度プラズマのプラズマ密度は約1011イオン/cm又はそれよりも大きい、請求項1に記載の方法。
  4. 前記高密度プラズマは、O、O、NH、NO、HO、H、Ar、N又はHeのうちの1つ又は複数から形成される、請求項1に記載の方法。
  5. 前記高密度プラズマは、約1kW以上のRF電力を加えることによって形成される、請求項1に記載の方法。
  6. 前記高密度プラズマによって前記誘電体層を処理する前記作業の間、前記誘電体層の垂直厚は同じままであるか、又は減少し、前記誘電体層の上方に基本的に新しい層は形成されない、請求項1に記載の方法。
  7. 前記誘電体層を形成した後に、かつ前記誘電体層を処理してその密度を増大させる前に、化学機械研磨するステップが存在する、請求項1に記載の方法。
  8. 前記連続したステップを少なくとも2回繰り返し、同じ全厚の単一の堆積シーケンスと比べて誘電体密度を増大させる、請求項1に記載の方法。
  9. 前記誘電体層を形成するステップは、ケイ素、炭素、酸素、水素及び窒素を含む層を形成することを含む、請求項1に記載の方法。
  10. 前記誘電体層を形成するステップは、ケイ素、窒素及び水素を含む層を形成することを含む、請求項1に記載の方法。
  11. 前記誘電体層は基本的に無炭素である、請求項1に記載の方法。
  12. 前記誘電体層を形成するステップは、化学気相堆積(CVD)によって前記誘電体層を形成することを含む、請求項1に記載の方法。
  13. 前記誘電体層はラジカル成分CVDによって形成される、請求項12に記載の方法。
  14. 前記誘電体層を形成するステップは、スピンオンガラス(SOG)又はスピンオン誘電体(SOD)層を形成することを含む、請求項1に記載の方法。
  15. 前記誘電体層を形成するステップは、準常圧化学気相堆積(SACVD)層を形成することを含む、請求項1に記載の方法。
  16. 前記SACVD層を形成することは、プラズマが存在しないときにO、TEOS及びHOを結合することを含む、請求項15に記載の方法。
JP2015510292A 2012-04-30 2013-04-09 流動性膜のための改善された緻密化 Pending JP2015521375A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261640514P 2012-04-30 2012-04-30
US61/640,514 2012-04-30
US13/792,767 US20130288485A1 (en) 2012-04-30 2013-03-11 Densification for flowable films
US13/792,767 2013-03-11
PCT/US2013/035790 WO2013165658A1 (en) 2012-04-30 2013-04-09 Improved densification for flowable films

Publications (1)

Publication Number Publication Date
JP2015521375A true JP2015521375A (ja) 2015-07-27

Family

ID=49477680

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015510292A Pending JP2015521375A (ja) 2012-04-30 2013-04-09 流動性膜のための改善された緻密化

Country Status (5)

Country Link
US (1) US20130288485A1 (ja)
JP (1) JP2015521375A (ja)
KR (1) KR20150009959A (ja)
TW (1) TW201411721A (ja)
WO (1) WO2013165658A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021520630A (ja) * 2018-04-03 2021-08-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated H2プラズマを用いた流動性膜の硬化
KR20230113330A (ko) 2020-12-09 2023-07-28 가부시키가이샤 아비트 테크놀로지즈 절연막의 제조 방법

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
SG10202012631SA (en) * 2015-02-06 2021-01-28 Versum Materials Us Llc Compositions and methods using same for carbon doped silicon containing films
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109071847B (zh) * 2016-03-31 2022-02-01 株式会社Lg化学 用于制备阻挡膜的方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10535550B2 (en) * 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020123119A1 (en) * 2018-12-10 2020-06-18 Applied Materials, Inc. Dome stress isolating layer
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210217585A1 (en) * 2020-01-15 2021-07-15 Applied Materials, Inc. Methods and apparatus for carbon compound film deposition
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
KR20210100535A (ko) * 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01206631A (ja) * 1988-02-15 1989-08-18 Toshiba Corp 半導体装置の製造方法
JPH06283520A (ja) * 1993-03-26 1994-10-07 Kawasaki Steel Corp 半導体装置の製造方法及び製造装置
JPH08213383A (ja) * 1995-02-08 1996-08-20 Nec Corp スピンオングラス膜の形成方法
JPH11260913A (ja) * 1998-03-10 1999-09-24 Sony Corp 半導体装置の製造方法
JP2000340513A (ja) * 1999-05-05 2000-12-08 Applied Materials Inc 基板処理チャンバ用アンテナコイルアセンブリ
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2007305981A (ja) * 2006-04-17 2007-11-22 Applied Materials Inc 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
WO2011043933A2 (en) * 2009-10-05 2011-04-14 Applied Materials, Inc. Post-planarization densification

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
DE10056261A1 (de) * 2000-11-14 2002-05-29 Infineon Technologies Ag Verfahren zur Herstellung eines integrierten Halbleiter-Bauelements
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7056833B2 (en) * 2003-09-23 2006-06-06 Micron Technology, Inc. Methods of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01206631A (ja) * 1988-02-15 1989-08-18 Toshiba Corp 半導体装置の製造方法
JPH06283520A (ja) * 1993-03-26 1994-10-07 Kawasaki Steel Corp 半導体装置の製造方法及び製造装置
JPH08213383A (ja) * 1995-02-08 1996-08-20 Nec Corp スピンオングラス膜の形成方法
JPH11260913A (ja) * 1998-03-10 1999-09-24 Sony Corp 半導体装置の製造方法
JP2000340513A (ja) * 1999-05-05 2000-12-08 Applied Materials Inc 基板処理チャンバ用アンテナコイルアセンブリ
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2007305981A (ja) * 2006-04-17 2007-11-22 Applied Materials Inc 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
WO2011043933A2 (en) * 2009-10-05 2011-04-14 Applied Materials, Inc. Post-planarization densification

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021520630A (ja) * 2018-04-03 2021-08-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated H2プラズマを用いた流動性膜の硬化
KR20230113330A (ko) 2020-12-09 2023-07-28 가부시키가이샤 아비트 테크놀로지즈 절연막의 제조 방법

Also Published As

Publication number Publication date
US20130288485A1 (en) 2013-10-31
KR20150009959A (ko) 2015-01-27
WO2013165658A1 (en) 2013-11-07
TW201411721A (zh) 2014-03-16

Similar Documents

Publication Publication Date Title
JP2015521375A (ja) 流動性膜のための改善された緻密化
US8647992B2 (en) Flowable dielectric using oxide liner
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8329262B2 (en) Dielectric film formation using inert gas excitation
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US8466073B2 (en) Capping layer for reduced outgassing
US8304351B2 (en) In-situ ozone cure for radical-component CVD
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8445078B2 (en) Low temperature silicon oxide conversion
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20140073144A1 (en) Low cost flowable dielectric films
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
WO2013062756A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
WO2012048041A2 (en) Amine curing silicon-nitride-hydride films
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160406

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20171010