KR20140066220A - 반도체 공정용 유동성 실리콘-탄소-질소 층 - Google Patents

반도체 공정용 유동성 실리콘-탄소-질소 층 Download PDF

Info

Publication number
KR20140066220A
KR20140066220A KR1020147009305A KR20147009305A KR20140066220A KR 20140066220 A KR20140066220 A KR 20140066220A KR 1020147009305 A KR1020147009305 A KR 1020147009305A KR 20147009305 A KR20147009305 A KR 20147009305A KR 20140066220 A KR20140066220 A KR 20140066220A
Authority
KR
South Korea
Prior art keywords
silicon
nitrogen
plasma
sir
alkyl group
Prior art date
Application number
KR1020147009305A
Other languages
English (en)
Inventor
아비지트 바수 말릭
니틴 케이. 잉글
린린 왕
브라이언 에스. 언더우드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140066220A publication Critical patent/KR20140066220A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

반도체 기판상에 유전층을 형성시키는 방법이 기술된다. 본 방법은 실리콘-함유 전구체 및 에너자이징된 질소-함유 전구체를 화학기상증착 챔버에 공급하는 것을 포함할 수 있다. 실리콘-함유 전구체와 에너자이징된 질소-함유 전구체는 화학기상증착 챔버에서 반응하여 기판상에 유동성 실리콘-탄소-질소 물질을 증착시킬 수 있다. 본 방법은 유동성 실리콘-탄소-질소 물질을 처리하여 반도체 기판상에 유전층을 형성시키는 것을 추가로 포함할 수 있다.

Description

반도체 공정용 유동성 실리콘-탄소-질소 층 {FLOWABLE SILICON-CARBON-NITROGEN LAYERS FOR SEMICONDUCTOR PROCESSING}
관련 출원의 상호-참조
본 출원은 "반도체 공정용 유동성 실리콘-탄소-질소 층"이라는 발명의 명칭으로 2012년 8월 21일 출원된 U.S. 특허 출원 제 13/590,611호의 PCT 출원이며, 이는 "반도체 공정용 유동성 실리콘-및-탄소-함유 층"이라는 발명의 명칭으로 2011년 9월 19일 출원된 미국 가출원 번호 제 61/536,380호에 관한 것이며 이의 이익을 주장한다. 본 출원은 또한, "반도체 공정용 유동성 실리콘-탄소-질소 층"이라는 발명의 명칭으로 2011년 9월 9일 출원된 미국 가출원 제 61/532,708호의 이익을 주장한다. 본 출원은 또한, "실리콘-탄소-및-질소-함유 층의 유동성 증착 후 에칭 레이트를 감소시키기 위한 처리"라는 발명의 명칭으로 2011년 10월 24일 출원된 미국 가출원 번호 제 61/550,755호의 이익을 주장한다. 본 출원은 또한, "유전층의 도핑"이라는 발명의 명칭으로 2011년 12월 7일 출원된 미국 가출원 번호 제 61/567,738호의 이익을 주장한다. 상기 출원 각각은 모든 목적을 위해 이의 전체가 본원에 통합된다.
반도체 회로 소자들의 소형화는, 45nm, 32nm 및 심지어 28nm의 피쳐 크기들을 상업적 규모로 제작하는 지점에 이르렀다. 크기들이 계속해서 더 작아짐에 따라, 회로 소자들 사이의 갭을 전기 절연체로서 작용하는 유전체로 충전시키는 것과 같은, 보기에는 평범한 공정 단계들이 새로운 과제들이 되고 있다. 회로 소자들 사이의 폭이 계속 줄어들기 때문에, 이들 사이의 갭은 종종 더 길어지고 더 좁아지게 되어, 유전체로의 막힘 없이 갭을 충전하는 것을 어렵게 하여 보이드들 (voids) 및 약한 시임들 (seams)을 초래한다. 통상적인 화학기상증착 (CVD) 기법들은 갭이 완전히 충전되기 전에 갭 상단에서의 유전체의 과성장을 종종 경험하게 된다. 이는 유전체 증착이 과성장에 의해 너무 이르게 차단된 갭에서 보이드 또는 시임을 초래할 수 있다; 종종 브레드로핑 (breadloafing)으로서 불리는 문제점임.
브레드로핑 문제점에 대한 한가지 해결책으로서, 유리컵을 물로 채우는 것과 같이 갭으로 더욱 용이하게 부어지는 출발 유전체에 대한 액체 전구체를 사용하였다. 이를 수행하기 위해 현재 통상적으로 사용되는 기법은 스핀-온-글래스 (SOG)로 불리며, 액체 전구체, 일반적으로, 유기-실리콘 화합물을 사용하며, 이러한 액체 전구체를 기판 웨이퍼의 표면상에 스핀 코팅한다. 액체 전구체가 더 적은 브레드로핑 문제점들을 가질 수 있으나, 전구체 물질이 유전체로 전환될 때 다른 문제점들이 발생한다. 이러한 전환들은 전형적으로, 탄소 기들과 산소를 반응시켜 일산화탄소와 이산화탄소 가스를 생성시키고 이러한 가스를 갭으로부터 빠져나오게 함으로써, 전구체 물질중의 탄소 기들을 분해하고 제거하는 조건들에 증착된 전구체를 노출시키는 것을 종종 포함한다. 이렇게 빠져나온 가스들은, 베이킹된 빵에서 이산화탄소가 빠져나오면서 뒤에 남긴 구멍과 유사하게 유전체내에 공극들 및 버블들을 뒤에 남길 수 있다. 최종 유전체내에 남겨진 증가된 공극율은 통상적인 CVD 기법들에 의해 초래된 보이드들 및 약한 시임들과 동일한 유해한 효과들을 가질 수 있다.
더욱 최근에는, CVD에 의해 증착된 유전체들에 유동성 특징들을 부여하는 기법들이 개발되었다. 이러한 기법들은 상당량의 이산화탄소, 물, 및 공극들과 버블들을 뒤에 남기는 기타 종류들을 탈기(outgas)시킬 필요 없이, 보이드들 또는 약한 시임들을 초래하지 않으면서 높고 좁은 갭을 충전하도록 유동성 전구체들을 증착시킬 수 있다. 예시적인 유동성 CVD 기법들은 전구체들이 갭에 증착된 후 매우 소량의 탄소 제거만 요하는 탄소-비함유 실리콘 전구체들을 사용하였다.
이러한 신규한 유동성 CVD 기법들은 높고 좁은 (즉, 높은 종횡비) 갭들을 유전체들 예컨대, 산화 실리콘으로 충전시키는데 있어서 중요한 돌파구를 제시하였으나, 이러한 갭들을 탄소-풍부 저-κ 유전체들로 시임 없이 (seamlessly) 충전시킬 수 있는 기법들이 여전히 필요하다. 이러한 유전체들은 일반적으로 순수한 산화 실리콘 또는 질화 실리콘 보다 더 낮은 유전상수 (κ)를 지니며, 전형적으로 실리콘과 탄소 종들을 배합시킴으로써 이러한 더 낮은 κ 수준을 달성한다. 다른 문제 중에서, 본 출원은 기판상에 실리콘-및-탄소 함유 유전체를 형성하기 위한 유동성 CVD 기법을 기술함으로써 이러한 요구를 해소한다.
발명의 간단한 요약
반도체 기판상의 유동성 실리콘-탄소-질소 (Si-C-N) 층을 형성시키고 경화시키기 위한 방법이 기술된다. 실리콘과 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래될 수 있는 반면, 질소는 더 낮은 증착 챔버 온도하에 질소와 실리콘-및-탄소-함유 전구체의 반응을 가속시키도록 활성화된 질소-함유 전구체로부터 유래될 수 있다. 예시적인 전구체들로는 실리콘-및-탄소-함유 전구체로서 1,3,5-트리실라펜탄 (H3Si-CH2-SiH2-CH2-SiH3) 및 질소-함유 전구체로서 플라즈마 활성화된 암모니아 (NH3)를 포함한다. 1,4,7-트리실라헵탄은 1,3,5-트리실라펜탄을 대신하여 또는 1,3,5-트리실라펜탄에 더하여 사용될 수 있다. 이러한 전구체들이 증착 챔버에서 반응하는 경우, 이들은 반도체 기판상에 유동성 Si-C-N 층을 증착시킨다. 높은 종횡비 갭들을 갖도록 구조화된 이러한 기판 부분들에서, 유동성 Si-C-N 물질이 현저하게 더 적은 보이드들 및 약한 시임들을 가지면서 상기 갭들로 증착될 수 있다.
유동성 Si-C-N의 초기 증착은 많은 수의 Si-H 및 C-H 결합들을 포함할 수 있다. 이들 결합들은 다양한 에천트 (etchants)뿐만 아니라 공기중의 수분 및 산소에 반응성을 띠어, 필름 에이징 및 오염의 증가된 속도 및 에천트에 대한 더 높은 습식-에칭-레이트-비율들 (WERRs)에 기여한다. 증착 후, Si-C-N 필름은 경화되어, 최종 필름중의 Si-Si, Si-C 및/또는 Si-N 결합들의 수를 증가시키면서 또한 Si-H 결합들의 수를 저하시킬 수 있다. 또한, 경화는 최종 필름에서 C-H 결합들의 수를 감소시킬 수 있으며, C-N 및/또는 C-C 결합들의 수를 증가시킨다. 경화 기법들은 유동성 Si-C-N 필름을 플라즈마 예컨대, 유도 결합 플라즈마 (예를 들어, HDP-CVD 플라즈마) 또는 용량-결합 플라즈마 (예를 들어, PE-CVD 플라즈마)에 노출시키는 것을 포함한다. 일부 구체예에서, 증착 챔버에는 인-시츄 플라즈마 발생 시스템이 구비되어 있어, 챔버로부터 기판을 제거하지 않으면서, 증착 후 플라즈마 처리를 수행할 수 있다. 대안적으로, 기판은 진공을 해제하지 않고/거나 시스템으로부터 회수하지 않으면서, 동일한 제작 시스템내의 플라즈마 처리 유닛으로 이동될 수 있다. 이는 초기에 증착된 Si-C-N 필름이 공기로부터의 수분 및 산소에 노출되기 전에 경화 단계가 발생하게 한다.
최종 Si-C-N 필름은 통상적인 산화물 및 질화물 유전성 에천트 둘 모두에 대한 증가된 에칭 내성을 나타낼 수 있다. 예를 들어, Si-C-N 필름은 산화실리콘 필름 보다 묽은 불산 용액 (DHF)에 더욱 우수한 에칭 내성을 띨 수 있으며, 또한, 질화실리콘 필름 보다 고온 인산 용액에 더욱 우수한 에칭 내성을 띨 수 있다. 통상적인 산화물 및 질화물 에천트 둘 모두에 대한 증가된 에칭 내성은, 기판을 두 유형들의 에천트들에 노출시키는 일정한 공정들 동안 이러한 Si-C-N 필름들을 온전하게 유지되게 한다.
본 발명의 구체예들은 반도체 기판상의 유전층을 형성하는 방법들을 포함한다. 이러한 방법들은 실리콘-함유 전구체 및 에너자이징된 (energized) 질소-함유 전구체를 화학기상증착 챔버에 공급하는 단계를 포함할 수 있다. 실리콘-함유 전구체 및 에너자이징된 질소-함유 전구체는 증착 챔버내에서 반응하여 기판상에 유동성 실리콘-탄소-질소 물질을 증착시킬 수 있다. 이러한 방법은 유동성 실리콘-탄소-질소 물질을 처리하여 반도체 기판상에 유전층을 형성시키는 것을 추가로 포함할 수 있다.
본 발명의 구체예들은 유동성 실리콘-탄소-질소 층을 처리하여 이러한 층의 습식 에칭 레이트 비율 (WERR)을 감소시키는 방법들을 추가로 포함할 수 있다. 이러한 방법들은 실리콘-함유 전구체 및 활성화된 질소 전구체의 화학기상증착에 의해 기판상에 유동성 실리콘-탄소-질소 층을 형성시키는 것을 포함할 수 있다. 이러한 방법들은 유동성 실리콘-탄소-질소 층을 플라즈마에 노출시키는 것을 추가로 포함할 수 있으며, 플라즈마 노출은 층내의 Si-H 결합의 수를 감소시키며, Si-C 결합의 수를 증가시키며, 플라즈마 노출은 층의 WERR을 감소시킨다.
추가적인 구체예들 및 특징들은 하기 설명에서 부분적으로 제시되며, 부분적으로는, 본 명세서의 검토시 당업자에게 자명해질 것이며, 또는 본 발명의 실시에 의해 숙지될 수 있다. 본 발명의 특징들 및 이점들은 본 명세서에 기술된 수단들, 조합들 및 방법들에 의해 실현되고 달성될 수 있다.
본 발명의 특징들 및 이점들에 대한 추가의 이해는 명세서의 나머지 부분들 및 도면들을 참조로 하여 실현될 수 있으며, 도면에서 동일한 참조 번호들이 여러 도면들을 통틀어서 유사한 요소들을 나타내기 위해 사용된다. 일부 경우에, 서브라벨 (sublabel)은 참조 번호와 관련되며, 하이픈 뒤에 위치하여 여러 유사한 요소들중 하나를 표시한다. 존재하는 서브라벨에 대한 명시가 없는 참조 번호가 언급된 경우, 이는 모든 이러한 많은 유사한 요소들을 나타내고자 하는 것이다.
도 1은 기판상에 실리콘-탄소-질소 함유 유전층을 형성하는 방법에서 선택된 단계들을 묘사한 흐름도이다.
도 2는 본 발명의 구체예들에 따른 기판 처리 시스템을 나타낸다.
도 3a는 본 발명의 구체예들에 따른 기판 처리 챔버를 나타낸다.
도 3b는 본 발명의 구체예들에 따른 가스 분배 샤워헤드 (showerhead)를 나타낸다.
도 4는 플라즈마 처리를 받기 전 및 후의 실리콘-탄소-질소 필름의 적외선 스펙트럼을 나타낸다.
본 발명의 상세한 설명
유동성 실리콘-탄소-질소 함유 물질들의 형성에 유동성 CVD 기법들을 적용하는 방법들이 기술되어 있다. 이러한 유동성 Si-C-N 필름은 추가로 처리되어 집적 회로의 제작에 유용한 (다른 요소중에서) Si-C-N 블랜켓 층들 (blanket layers), 갭필들 (gapfills) 및 희생막들을 형성할 수 있다.
예시적인 Si -C-N 형성 방법들
이제 도 1과 관련하여, 이는 기판상에 실리콘-탄소-질소 함유 유전층을 형성하는 방법에서 선택된 단계들이다. 본 방법은 화학기상증착 챔버로 실리콘-함유 전구체를 공급하는 단계 (102)를 포함할 수 있다. 이러한 실리콘-함유 전구체는 실리콘 성분을 증착된 Si-C-N 필름에 공급할 수 있으며, 또한, 탄소 성분을 공급할 수 있다. 예시적인 실리콘-함유 전구체들로는 특히, 1,3,5-트리실라펜탄, 1,4,7-트리실라헵탄, 디실라사이클로부탄, 트리실라사이클로헥산, 3-메틸실란, 실라사이클로펜텐, 실라사이클로부탄, 및 트리메틸실릴아세틸렌을 포함한다:
Figure pct00001
Figure pct00002

추가의 예시적인 실리콘-함유 전구체들은 모노-, 디-, 트리-, 테트라- 및 펜타-실란들을 포함할 수 있으며, 여기서 하나 이상의 중심 실리콘 원자들은 수소 및/또는 포화되고/거나 불포화된 알킬 기들에 의해 둘러 싸인다. 이러한 전구체들의 예들로는 SiR4, Si2R6, Si3R8, Si4R10, 및 Si5R12를 포함할 수 있으며, 여기서 각각의 R 기는 독립적으로, 수소 (-H) 또는 포화되거나 불포화된 알킬 기이다. 이들 전구체들의 특정 예들로는 하기 구조들을 포함할 수 있으나 이에 제한되지 않는다:
Figure pct00003

더욱 예시적인 실리콘-함유 전구체들은 화학식 R3Si-[CR2]x-SiR3 (여기서, 각각의 R은 독립적으로, 수소 (-H), 알킬 기 (예를 들어, -CH3, -CmH2m +2 (여기서, m은 1 내지 10의 수임)), 불포화된 알킬 기 (예를 들어, -CH=CH2)이며, x는 0 내지 10의 수임)의 디실릴알칸들을 포함할 수 있다. 예시적인 실리콘 전구체들은 또한, 화학식 R3Si-[CR2]x-SiR2-[CR2]y-SiR3 (여기서, 각각의 R은 독립적으로, 수소 (-H), 알킬 기 (예를 들어, -CH3, -CmH2m +2(여기서, m은 1 내지 10의 수임)), 불포화된 알킬 기 (예를 들어, -CH=CH2)이며, x 및 y는 독립적으로 0 내지 10의 수임)의 트리실란들을 포함할 수 있다. 예시적인 실리콘-함유 전구체들은 화학식 R3Si-[CH2]n-[SiR3]m-[CH2]n-SiR3 (여기서, n 및 m은 1 내지 10의 독립된 정수일 수 있으며, 각각의 R 기들은 독립적으로, 수소 (-H), 메틸 (-CH3), 에틸 (-CH2CH3), 에틸렌 (-CHCH2), 프로필 (-CH2CH2CH3), 이소프로필 (-CHCH3CH3), 등임)의 실릴알칸들 및 실릴알켄들을 추가로 포함할 수 있다.
예시적인 실리콘-함유 전구체들은 폴리실릴알칸 화합물들을 추가로 포함할 수 있으며, 또한 화학식 R-[(CR2)x-(SiR2)y-(CR2)z]n-R (여기서, 각각의 R은 독립적으로, 수소 (-H), 알킬 기 (예를 들어, -CH3, -CmH2m +2 (여기서, m은 1 내지 10의 수임)), 불포화된 알킬 기 (예를 들어, -CH=CH2) 또는 실란 기 (예를 들어, -SiH3, -(Si2H2)m-SiH3 (여기서, m은 1 내지 10의 수임))이며, x, y 및 z는 독립적으로, 0 내지 10의 수이며, n은 0 내지 10의 수임)의 화합물들로부터 선택되는 복수의 실리콘 원자들을 갖는 화합물들을 포함할 수 있다. 기재된 구체예들에서, x, y 및 z는 독립적으로 1 내지 10의 정수들이다. 본 발명의 구체예들에서, x 및 z는 동일하며, x와 z의 등가에 무관하게 일부 구체예들에서 y는 1일 수 있다. 일부 구체예들에서, n은 1일 수 있다.
예를 들어, 두 R 기들이 -SiH3인 경우, 화합물들은 화학식 H3Si-[(CH2)x-(SiH2)y-(CH2)z]n-SiH3의 폴리실릴알칸들을 포함할 것이다. 실리콘-함유 화합물들은 또한, 화학식 R-[(CR'2)x-(SiR"2)y-(CR'2)z]n-R (여기서, 각각의 R, R' 및 R"는 독립적으로, 수소 (-H), 알킬 기 (예를 들어, -CH3, -CmH2m +2 (여기서, m은 1 내지 10의 수임)), 불포화된 알킬 기 (예를 들어, -CH=CH2), 또는 실란 기 (예를 들어, -SiH3, -(Si2H2)m-SiH3 (여기서 m은 1 내지 10의 수임))이며, x, y 및 z는 독립적으로 0 내지 10의 수이며, n은 0 내지 10의 수임)을 갖는 화합물을 포함할 수 있다. 일부 경우에서, R' 및/또는 R" 기들중 하나 또는 그 초과는 화학식 -[(CH2)x-(SiH2)y-(CH2)z]n-R"' (여기서, R"'는 수소 (-H), 알킬 기 (예를 들어, -CH3, -CmH2m +2(여기서, m은 1 내지 10의 수임)), 불포화된 알킬 기(예를 들어, -CH=CH2), 또는 실란 기 (예를 들어, -SiH3, -(Si2H2)m-SiH3 (여기서, m은 1 내지 10의 수임))이며, x, y, 및 z는 독립적으로, 0 내지 10의 수이며, n은 0 내지 10의 수임)을 가질 수 있다.
여전히 더욱 예시적인 실리콘-함유 전구체들은 실릴알칸들 및 실릴알켄들 예컨대, R3Si-[CH2]n-SiR3 (여기서, n은 1 내지 10의 정수일 수 있으며, 각각의 R 기들은 독립적으로, 수소 (-H), 메틸 (-CH3), 에틸 (-CH2CH3), 에틸렌 (-CHCH2), 프로필 (-CH2CH2CH3), 이소프로필 (-CHCH3CH3), 등임)을 포함할 수 있다. 이들은 또한, 실라사이클로프로판, 실라사이클로부탄, 실라사이클로펜탄, 실라사이클로헥산, 실라사이클로헵탄, 실라사이클로옥탄, 실라사이클로노난, 실라사이클로프로펜, 실라사이클로부텐, 실라사이클로펜텐, 실라사이클로헥센, 실라사이클로헵텐, 실라사이클로옥텐, 실라사이클로노넨, 등을 포함할 수 있다. 이러한 전구체들의 특정 예들로는 비제한적으로, 하기 구조들을 포함할 수 있다:
Figure pct00004

예시적인 실리콘-함유 전구체들은 중심 탄소 원자 또는 부분에 결합된 하나 이상의 실란 기들을 추가로 포함할 수 있다. 이러한 예시적인 전구체들은 화학식 H4-x-yCXy(SiR3)x (여기서, x는 1, 2, 3, 또는 4이며, y는 0, 1, 2 또는 3이며, 각각의 X는 독립적으로, 수소 또는 할로겐 (예를 들어, F, Cl, Br)이며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임)의 화합물을 포함할 수 있다. 예시적인 전구체들은, 중심 탄소 부분이 C2-C6 포화된 또는 불포화된 알킬 기인 화합물 예컨대, (SiR3)xC=C(SiR3)x (여기서, x는 1 또는 2이며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임)를 추가로 포함할 수 있다. 이러한 전구체들의 특정 예들로는 비제한적으로, 하기 구조들을 포함할 수 있다:
Figure pct00005

상기 식에서, X는 수소 또는 할로겐 (예를 들어, F, Cl, Br)일 수 있다.
실리콘-함유 전구체들은 또한 질소 부분들을 포함할 수 있다. 예를 들어, 전구체들은 치환되거나 비치환된 Si-N 및 N-Si-N 부분들을 포함할 수 있다. 예를 들어, 전구체들은 화학식 R4 - xSi(NR2)x (여기서, x는 1, 2, 3 또는 4일 수 있으며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임)로 나타낸 하나 이상의 질소 부분들에 결합된 중심 Si 원자를 포함할 수 있다. 추가적인 전구체들은 화학식 R4 -yN(SiR3)y (여기서, y는 1, 2 또는 3일 수 있으며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임)로 나타낸 하나 이상의 Si-함유 부분들에 결합된 중심 N 원자를 포함할 수 있다. 추가의 예들로는 고리 구조에 통합된 Si-N 및 Si-N-Si 기들을 갖는 시클릭 화합물들을 포함할 수 있다. 예를 들어, 고리 구조는 3개 (예를 들어, 시클로프로필), 4개 (예를 들어, 시클로부틸), 5개 (예를 들어, 시클로펜틸), 6개 (예를 들어, 시클로헥실), 7개 (예를 들어, 시클로헵틸), 8개 (예를 들어, 시클로옥틸), 9개 (예를 들어, 시클로노닐) 또는 그 초과의 실리콘 및 질소 원자들을 가질 수 있다. 고리내의 각각의 원자는 하나 이상의 펜던트 부분들 예컨대, 다른 기들중 수소 (-H), 알킬 기 (예를 들어, -CH3), 실란 (예를 들어, -SiR3), 아민 (-NR2)에 결합될 수 있다. 이러한 전구체들의 특정 예들로는 비제한적으로, 하기 구조들을 포함할 수 있다:
Figure pct00006

저농도의 산소를 갖는 (또는 산소를 갖지 않는) Si-C-N 필름을 형성시키고자 하는 구체예들에서, 실리콘-전구체는 산소 부분을 함유하지 않는 산소-비함유 전구체가 되도록 선택될 수 있다. 이러한 경우, 통상적인 실리콘 CVD 전구체들 예컨대, 테트라에틸 오르토실리케이트 (TEOS) 또는 테트라메틸 오르토실리케이트 (TMOS)는 실리콘-함유 전구체로서 사용될 수 없을 것이다.
추가적인 구체예는 또한, 탄소-비함유 실리콘 공급원 예컨대, 특히 실란 (SiH4) 및 실릴-아민들 (예를 들어, N(SiH3)3)을 사용하는 것을 포함할 수 있다. 탄소 공급원은 증착 챔버에 독립적으로 공급되거나 실리콘-함유 전구체와 혼합되는 별도의 전구체로부터 유래될 수 있다. 예시적인 탄소-함유 전구체들은 오르가노실란 전구체들, 및 히드로카본들 (예를 들어, 메탄, 에탄, 등)을 포함할 수 있다. 일부 예에서, 실리콘-및-탄소 함유 전구체는 탄소-비함유 실리콘 전구체와 조합되어 증착된 필름에서 실리콘-대-탄소 비를 조절할 수 있다.
실리콘-함유 전구체 이외에, 에너자이징된 질소-함유 전구체는 증착 챔버에 첨가될 수 있다 (104). 에너자이징된 질소-함유 전구체는 증착된 Si-C-N 필름에서 모든 질소 성분 또는 질소 성분의 일부를 구성할 수 있다. 질소-함유 전구체는 원격 플라즈마로 유동되어, 에너자이징된 질소-함유 전구체로도 알려진 플라즈마 유출물을 형성한다. 질소-함유 전구체에 대한 예시적인 공급원들은 특히, 암모니아 (NH3), 히드라진 (N2H4), 아민들, NO, N2O 및 NO2을 포함할 수 있다. 질소-함유 전구체는 하나 이상의 추가적인 가스들 예컨대, 수소 (H2), 질소 (N2), 헬륨, 네온, 아르곤 등을 수반할 수 있다. 질소-전구체는 또한, 증착된 Si-C-N 층에서 탄소 성분의 적어도 일부를 공급하는 탄소를 함유할 수 있다. 탄소를 또한 함유하는 예시적인 질소-전구체들은 알킬 아민들을 포함한다. 일부 예에서, 추가적인 가스들은 또한, 플라즈마에 의해 적어도 부분적으로 분해되고/거나 라디칼화될 수 있으며, 또 다른 경우에는, 이러한 추가적인 가스들은 희석제/캐리어 가스로서 작용할 수 있다.
질소-함유 전구체는 증착 챔버 외부에 위치한 원격 플라즈마 시스템 (RPS)에 형성된 플라즈마에 의해 에너자이징될 수 있다. 질소-함유 공급원은 원격 플라즈마에 노출될 수 있으며, 원격 플라즈마에서 이러한 공급원이 분해되고/거나, 라디칼화되고/거나 다르게는, 에너자이징된 질소-함유 전구체로 변환된다. 예를 들어, 질소-함유 전구체의 공급원이 NH3인 경우, 에너자이징된 질소-함유 전구체는 ㆍN, ㆍNH, ㆍNH2, 질소 라디칼중 하나 이상을 포함할 수 있다. 그 후, 에너자이징된 전구체는 증착 챔버로 도입되며, 이러한 증착 챔버에서 에너자이징된 전구체는 비로소 독립적으로 도입된 실리콘-함유 전구체와 혼합될 수 있다.
대안적으로 (또는 추가로), 질소-함유 전구체는 증착 챔버 내부의 플라즈마 영역에서 에너자이징될 수 있다. 이러한 플라즈마 영역은 증착 영역으로부터 분할될 수 있는데, 이러한 증착 영역에서는 전구체들이 혼합되고 반응하여 유동성 Si-C-N 필름을 기판의 노출된 표면상에 증착시킨다. 이러한 경우, 증착 영역은 증착 공정 동안 "플라즈마 비함유" 영역으로서 기술될 수 있다. "플라즈마 비함유"는 증착 영역에 플라즈마가 전혀 없음을 반드시 의미하는 것은 아님을 주의해야 한다. 챔버 플라즈마 영역에서 플라즈마의 경계는 규정되기 어려우며, 샤워헤드가 전구체들을 증착 영역으로 수송하는데 사용되는 경우, 예를 들어, 샤워헤드의 구멍들을 통해 플라즈마의 경계가 증착 영역으로 잠식해 나갈 수 있다. 유도-결합 플라즈마가 증착 챔버내로 혼입되는 경우, 소량의 이온화가 증착 동안 증착 영역에서 개시될 수 있다.
일단 증착 챔버에서는, 에너자이징된 질소-함유 전구체와 실리콘-함유 전구체가 반응하여 기판상에 유동성 Si-C-N 층을 형성할 수 있다 (106). Si-C-N 필름의 증착 동안, 증착 챔버의 반응 영역내의 온도는 낮을 수 있으며 (예를 들어, 100℃ 미만), 총 챔버 압력은 약 0.1 토르 내지 약 10 토르 (예를 들어, 약 0.5 내지 약 6 토르, 등)일 수 있다. 온도는 기판을 지지하는 온도 제어된 페데스탈 (pedestal)에 의해 부분적으로 제어될 수 있다. 페데스탈은 페데스탈과 기판 온도를 예를 들어, 약 0℃ 내지 약 150℃로 조절하는 냉각/가열 유닛에 열적으로 결합될 수 있다.
초기에 유동성인 Si-C-N 층이 갭 내부로 증착될 뿐만 아니라 노출된 평탄한 표면상에 증착될 수 있다. 증착 두께는 약 50Å 또는 그 초과 (예를 들어, 약 100Å, 약 150Å, 약 200Å, 약 250Å, 약 300Å, 약 350Å, 약 400Å 등)일 수 있다. 최종 Si-C-N 층은, 후속 층의 증착 전에 처리 단계를 거친 2개 또는 그 초과의 증착된 Si-C-N 층들의 축적물일 수 있다. 예를 들어, Si-C-N 층은 4개의 증착되고 처리된 300Å 층으로 이루어진 1200Å 두께 층일 수 있다.
초기에 증착된 Si-C-N 층의 유동성은 에너자이징된 질소-함유 전구체와 실리콘 및 탄소-함유 전구체를 혼합함으로써 얻어진 다양한 특성들로 인한 것일 수 있다. 이러한 특성들로는 초기에 증착된 Si-C-N 층내의 상당한 수소 성분 및 단쇄 폴리실라잔 폴리머들의 존재를 포함할 수 있다. 유동성은 높은 기판 온도에 좌우되지 않으며, 따라서, 초기-유동성 실리콘-탄소-및-질소-함유 층은 심지어 비교적 저온 기판들에서도 갭들을 충전시킬 수 있다. 본 발명의 구체예들에서, 실리콘-탄소-및-질소-함유 층의 형성 동안, 기판 온도는 약 400℃ 또는 그 미만, 약 300℃ 또는 그 미만, 약 200℃ 또는 그 미만, 약 150℃ 또는 그 미만, 또는 약 100℃ 또는 그 미만일 수 있다.
유동성 Si-C-N 층이 요망되는 두께에 도달하는 경우, 공정 유출물들이 증착 챔버로부터 제거될 수 있다. 이러한 공정 유출물들은 임의의 비반응된 질소-함유 및 실리콘-함유 전구체들, 희석제 및/또는 캐리어 가스들, 및 기판상에 증착되지 못한 반응 생성물들을 포함할 수 있다. 공정 유출물들은, 증착 챔버를 비우고/거나 증착 영역에서 유출물들을 비증착 가스들로 대체함으로써 제거될 수 있다.
Si-C-N 층의 초기 증착 및 공정 유출물의 임의적 제거 후에, Si-C-N 층에서 Si-H 및/또는 C-H 결합들의 수를 감소시키며, 또한 Si-Si, Si-C, Si-N 및/또는 C-N 결합들의 수를 증가시키기 위한 처리 (108)가 수행될 수 있다. 상기 기록된 바와 같이, 이들 결합들의 수의 감소는, 층을 경화시키고, 다른 형태의 층 열화 (degradation)중에서도 에칭, 에이징 및 오염에 대한 이의 내성을 증가시키기 위해 증착 후에 요구될 수 있다. 처리 기법들은 초기에 증착된 층을 하나 이상의 처리 가스들 예컨대, 헬륨, 질소, 아르곤 등의 플라즈마에 노출시키는 것을 포함할 수 있다.
플라즈마는 증착 챔버의 증착 영역에서 인-시츄 생성되는 용량-결합 플라즈마 또는 유도-결합 플라즈마일 수 있다. 예를 들어, 유도-결합 플라즈마 처리는 HDP-CVD 증착 챔버에서 수행될 수 있으며, 용량-결합 플라즈마는 플라즈마-강화 CVD 증착 챔버에서 수행될 수 있다.
플라즈마 처리는 Si-C-N 층의 증착에 필적하는 온도에서 수행될 수 있다. 예를 들어, 챔버의 플라즈마 처리 영역은 약 300℃ 또는 그 미만, 약 250℃ 또는 그 미만, 약 225℃ 또는 그 미만, 약 200℃ 또는 그 미만 등일 수 있다. 예를 들어, 플라즈마 처리 영역의 온도는 약 100℃ 내지 약 300℃일 수 있다. 기판의 온도는 약 25℃ 또는 그 초과, 약 50℃ 또는 그 초과, 약 100℃ 또는 그 초과, 약 125℃ 또는 그 초과, 약 150℃ 또는 그 초과 등일 수 있다. 예를 들어, 기판 온도는 약 25℃ 내지 약 150℃의 범위를 지닐 수 있다. 플라즈마 처리 영역내의 압력은 플라즈마 처리 (예를 들어, CCP 대 ICP)에 의존적일 수 있으나, 전형적으로 대략 밀리 토르 내지 수십 토르의 범위이다.
처리된 Si-C-N 층은 임의적으로, 하나 이상의 에천트들에 노출될 수 있다 (110). 처리된 Si-C-N 층은 초기에 증착된 유동성 Si-C-N 층 보다 더 낮은 습식-에칭-레이트-비율 (WERR)을 가질 수 있다. WERR은 동일한 기판상에 형성된 열-성장 산화실리콘 층의 에칭 레이트와 비교한, 특정 에천트 (예를 들어, 묽은 HF, 고온 인산)에서 Si-C-N 층의 상대적 에칭 레이트 (예를 들어, Å/min)로서 정의될 수 있다. 1.0의 WERR은 해당 층이 열 산화물 (thermal oxide) 층과 동일한 에칭 레이트을 가짐을 의미하는 반면, 1 초과의 WERR은 해당 층이 열 산화물 보다 더 빠른 속도로 에칭됨을 의미한다. 플라즈마 처리는 증착된 Si-C-N 층이 에칭에 대해 더욱 내성을 띠게 하여, Si-C-N 층의 WERR을 감소시킨다.
처리된 Si-C-N 층들은 산화실리콘 및 질화실리콘 둘 모두에 대한 습식 에천트들에 대해 증가된 에치 내성 (즉, 더 낮은 WERR 수준)을 가질 수 있다. 예를 들어, Si-C-N 층의 플라즈마 처리는 산화물에 대한 통상적인 습식 에천트인 묽은 불산 (DHF)에 대한 WERR 수준을 저하시킬 수 있으며, 또한, 질화물에 대한 통상적인 습식 에천트인 고온 인산에 대한 WERR 수준을 저하시킬 수 있다. 이와 같이, 처리된 Si-C-N 층들은 산화물 및 질화물 에칭 단계를 모두 포함하는 에칭 공정들에 있어서 우수한 차단 및/또는 에칭 중단 층들을 만들 수 있다.
예시적인 증착 시스템들
본 발명의 구체예들을 실행할 수 있는 증착 챔버들은, 다른 유형의 챔버들 중에서도, 고밀도 플라즈마 화학기상증착(HDP-CVD) 챔버들, 플라즈마 강화 화학기상증착(PECVD) 챔버들, 서브-대기압 화학기상증착(SACVD) 챔버들, 및 열적 화학기상증착 챔버들을 포함할 수 있다. 본 발명의 구체예들을 실행할 수 있는 CVD 시스템들의 특이적 예들은 미국 캘리포니아 산타클라라 소재의 Applied Materials, Inc.로부터 구입 가능한 CENTURA ULTIMA® HDP-CVD 챔버들/시스템들, 및 PRODUCER® PECVD 챔버들/시스템들을 포함한다.
본 발명의 예시적인 방법들과 함께 사용될 수 있는 기판 처리 챔버들의 예들은 2006년 5월 30일자 출원되고 발명의 명칭이 "유전체 갭필을 위한 처리 챔버 (PROCESS CHAMBER FOR DIELECTRIC GAPFILL)"인 Lubomirsky 등의 공동 계류중인 미국 가특허출원 제60/803,499호에 나타내고 기재된 것들을 포함할 수 있으며, 이의 전체 내용들이 모든 목적들로 본원에서 참조로 포함된다. 추가의 예시적인 시스템들은 미국특허 제6,387,207호 및 제6,830,624호에 나타내고 기재된 것들을 포함할 수 있으며, 이들이 또한 모든 목적들로 본원에서 참조로 포함된다.
증착 시스템들의 구체예들이 집적회로 칩들을 생산하기 위한 더 큰 제작 시스템들 내로 통합될 수 있다. 도 2는 개시된 구체예들에 따른 증착, 베이킹(baking) 및 경화 챔버들 중의 한 가지 그러한 시스템(200)을 도시하고 있다. 도면에서, 한 쌍의 FOUP들(앞으로 열리는 통합화된 통들: front opening unified pods)(202)이 기판들(예, 300mm 직경 웨이퍼들)을 공급하며, 이러한 기판들은 로봇식 아암들(204)에 의해 수용되고, 저압 보유 영역(206)에 넣어진 후 웨이퍼 처리 챔버들(208a-f) 중 하나에 넣어 진다. 제 2 로봇식 아암(210)이 사용되어 기판 웨이퍼들을 보유 영역(206)으로부터 처리 챔버들(208a-f)로 그리고 그 역으로 수송할 수 있다.
처리 챔버들(208a-f)는 기판 웨이퍼 상에 유동성 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭시키기 위한 하나 이상의 시스템 구성요소들을 포함할 수 있다. 한 가지 형태로, 두 쌍의 처리 챔버(예, 208c-d 및 208e-f)가 기판상에 유동성 유전체 물질을 증착시키기 위해서 사용될 수 있으며, 제 3의 쌍의 처리 챔버들(예, 208a-b)이 증착된 유전체 물질을 어닐링하기 위해서 사용될 수 있다. 또 다른 형태로, 동일한 두 쌍의 처리 챔버들(예, 208c-d 및 208e-f)이 기판상에 유동성 유전체 필름을 증착시키고 어닐링하는 것 둘 모두를 위해서 구성될 수 있으며, 제 3의 쌍의 챔버들(예, 208a-b)은 증착된 필름의 UV 또는 E-빔 경화를 위해서 사용될 수 있다. 또 다른 형태로, 모든 세 쌍의 챔버들(예, 208a-f)이 기판상에 유동성 유전체 필름을 증착시키고 경화시키도록 구성될 수 있다. 또 다른 형태로, 두 쌍의 처리 챔버들(예, 208c-d 및 208e-f)이 유동성 유전체 물질의 증착 및 UV 또는 E-빔 경화 둘 모두를 위해서 사용될 수 있으며, 제 3의 쌍의 처리 챔버들(예, 208a-b)이 유전체 필름을 어닐링하기 위해서 사용될 수 있다. 기재된 공정들 중 어떠한 하나 이상의 공정이 상이한 구체예들에 나타낸 제작 시스템과는 분리된 챔버(들) 상에서 수행될 수 있다.
또한, 처리 챔버들(예, 208a-f) 중 하나 이상은 습식 처리 챔버로서 구성될 수 있다. 이들 처리 챔버들은 수분을 포함하는 대기 중의 유동성 유전체 필름을 가열함을 포함한다. 따라서, 시스템(200)의 구체예들은 증착된 유전체 필름에 대한 습식 및 건식 어닐링 둘 모두를 수행하기 위한 습식 처리 챔버들(208a-b) 및 어닐링 처리 챔버들(208c-d)을 포함할 수 있다.
도 3a는 개시된 구체예들에 따른 기판 처리 챔버(300)이다. 원격 플라즈마 시스템(RPS)(310)이 가스를 처리할 수 있고, 이어서, 그러한 가스는 가스 유입 어셈블리(311)를 통해서 이동한다. 두 개의 별개의 가스 공급 채널들이 가스 유입 어셈블리(311) 내에 보인다. 첫 번째 채널(312)은 원격 플라즈마 시스템(RPS)(310)을 통해서 통과하는 가스를 운반하지만, 두 번째 채널(313)은 RPS(310)를 우회한다. 개시된 구체예들에서 첫 번째 채널(312)은 처리 가스를 위해서 사용될 수 있고, 두 번째 채널(313)은 처리 가스를 위해서 사용될 수 있다. 뚜껑(또는 전도성 상부)(321) 및 천공된 파티션(353)이 그들 사이에 있는 절연 고리(324)와 함께 도시되어 있으며, 그러한 절연 고리는 AC 전위가 천공된 파티션(353) 보다는 뚜껑(321)에 인가되게 한다. 처리 가스는 첫 번째 채널(312)을 통해서 챔버 플라즈마 부위(320)로 이동하고, 단독의 챔버 플라즈마 부위(320) 내에서 또는 RPS(310)과 조합된 챔버 플라즈마 부위 내에서 플라즈마에 의해 여기( exciting)될 수 있다. 챔버 플라즈마 부위(320) 및/또는 RPS(310)의 조합이 본원에서 원격 플라즈마 시스템으로 일컬어질 수 있다. 천공된 파티션(또한, 샤워헤드로 일컬어짐)(353)은 샤워헤드(353) 아래의 기판 처리 부위(370)로부터 챔버 플라즈마 부위(320)를 분리한다. 샤워헤드(353)는, 챔버 플라즈마 부위(320)에 존재하는 플라즈마가 기판 처리 부위(370) 내의 가스들을 직접적으로 여기시키는 것을 피하게 하지만, 여기된 화학종이 챔버 플라즈마 부위(320)로부터 기판 처리 부위(370) 내로 여전히 이동하게 한다.
샤워헤드(353)는 챔버 플라즈마 부위(320)와 기판 처리 부위(370) 사이에 위치되며, 플라즈마 유출물들(전구체들 또는 다른 가스들의 여기된 유도체들)이 챔버 플라즈마 부위(320) 내에서 생성되어 플레이트의 두께를 횡단하는 복수의 관통 구멍들(through holes: 356)을 통과하게 한다. 샤워헤드(353)는 또한, 하나 이상의 중공 공간들(hollow volumes: 351)을 지니며, 이러한 공간들은 증기 또는 가스의 형태의 전구체(예컨대, 실리콘-함유 전구체)로 충전될 수 있으며, 이러한 전구체들은 작은 구멍들(355)을 통해서 기판 처리 부위(370) 내로 통과하지만, 챔버 플라즈마 부위(320) 내로 바로 통과하지는 않는다. 샤워헤드(353)는 본원에서 개시된 구체예에서의 관통-구멍들(356)의 최소 직경(350)의 길이보다 더 두껍다. 챔버 플라즈마 부위(320)로부터 기판 처리 부위(370)로 침투하는 상당한 농도의 여기된 화학종을 유지시키기 위해서, 관통-구멍들의 최소 직경(350)의 길이(326)는 샤워헤드(353) 도중에 더 큰 직경의 관통-구멍(356) 부분들을 형성시킴으로써 제한될 수 있다. 관통-구멍들(356)의 최소 직경(350)의 길이는 개시된 구체예들에서 관통-구멍들(356)의 최소 직경과 동일한 정도의 크기이거나 그 미만일 수 있다.
도시된 구체예에서, 샤워헤드(353)는 산소, 수소 및/또는 질소를 함유하는 처리 가스들 및/또는 챔버 플라즈마 부위(320)내의 플라즈마에 의한 여기시의 그러한 처리 가스들의 플라즈마 유출물들을 (관통 구멍들(356)을 통해서) 분배할 수 있다. 구체예들에서, 제 1 채널(312)을 통해서 RPS(310) 및/또는 챔버 플라즈마 부위(320)내로 도입된 처리 가스는 산소(O2), 오존(O3), N2O, NO, NO2, NH3, N2H4를 포함한 NxHy, 실란, 디실란, TSA, DSA, 및 알킬 아민들 중 하나 이상을 함유할 수 있다. 처리 가스는 또한 캐리어 가스, 예컨대, 헬륨, 아르곤, 질소(N2) 등을 포함할 수 있다. 제 2 채널(313)이 또한 처리 가스 및/또는 캐리어 가스, 및/또는 성장 또는 증착된 대로의 필름으로부터 원치않는 성분을 제거하기 위해서 사용된 필름-경화 가스(예, O3)를 전달할 수 있다. 플라즈마 유출물들은 처리 가스의 이온화된 또는 중성 유도체들을 포함할 수 있으며, 또한 본원에서 도입된 처리 가스의 원자 성분을 지칭하는 라디칼-산소 전구체 및/또는 라디칼-질소 전구체로 일컬어질 수 있다.
구체예들에서, 관통-구멍들(356)의 수는 약 60 내지 약 2000개일 수 있다. 관통-구멍들(356)은 다양한 모양들일 수 있지만, 가장 용이하게는 둥글게 제조된다. 관통-구멍들(356)의 최소 직경(350)은 개시된 구체예들에서 약 0.5mm 내지 약 20mm 또는 약 1mm 내지 약 6mm일 수 있다. 또한, 관통-구멍들의 횡단면 모양을 선택하는데 있어서 허용 범위(latitude)가 있으며, 이는 원뿔 모양, 실린더 모양 또는 이들 두 모양들의 조합으로 형성될 수 있다. 기판 처리 부위(370)내로 가스를 도입하기 위해서 사용된 작은 구멍들(355)의 수는 여러 구체예들에서 약 100 내지 약 5000개 또는 약 500개 내지 약 2000개일 수 있다. 작은 구멍들(355)의 직경은 약 0.1mm 내지 약 2mm일 수 있다.
도 3b는 개시된 구체예들에 따른 처리 챔버와 함께 사용하기 위한 샤워헤드(353)의 저면도이다. 샤워헤드(353)는 도 3a에 도시된 샤워헤드에 상응한다. 관통-구멍들(356)은 샤워헤드(353)의 바닥 상에서는 더 큰 내경(ID)으로 그리고 상부에서는 더 작은 ID로 도시된다. 심지어 관통-구멍들(356)중에 작은 구멍들(355)이 샤워헤드의 표면 상에 실질적으로 고르게 분포되어 있으며, 이는 본원에 기재된 다른 구체예들보다 더욱 고른 혼합을 제공하는 것을 돕는다.
샤워헤드(353)내의 관통-구멍들(356)을 통해서 이르게 되는 플라즈마 유출물들이 중공 공간들(351)로부터 유래하는 작은 구멍들(355)을 통해서 도착하는 실리콘-함유 전구체와 조합되는 경우, 예시적인 필름이 기판 처리 부위(370) 내의 페데스탈(pedestal)(도시되지 않음)에 의해서 지지된 기판상에 생성된다. 비록 기판 처리 부위(370)가 다른 공정들, 예컨대, 경화를 위해 플라즈마를 지원하도록 구비될 수 있지만, 예시적인 필름의 성장 동안에 플라즈마는 존재하지 않는다.
플라즈마는 샤워헤드(353) 위의 챔버 플라즈마 부위(320) 또는 샤워헤드(353) 아래의 기판 처리 부위(370)에서 점화될 수 있다. 플라즈마가 챔버 플라즈마 부위(320)에 존재하여 질소-및-수소-함유 가스의 유입물로부터 라디칼 질소 전구체를 생성시킨다. 전형적으로 라디오 주파수(RF) 범위의 AC 전압이 처리 챔버의 전도성 상부 부분(321)과 샤워헤드(353) 사이에 인가되어 증착 동안에 챔버 플라즈마 부위(320)내에서 플라즈마를 점화시킨다. RF 파워 서플라이(RF power supply)는 13.56 MHz의 높은 RF 주파수를 발생시키지만, 또한 다른 주파수를 단독으로 또는 13.56 MHz 주파수와 함께 발생시킬 수 있다. 예시적인 RF 주파수들은 마이크로파 주파수들, 예컨대, 2.4 GHz를 포함한다. 상부 플라즈마 파워(plasma power)는, 유동성 필름의 증착 동안, 본 발명의 구체예들에서 약 1000 와트 또는 그 초과, 약 2000 와트 또는 그 초과, 약 3000 와트 또는 그 초과, 또는 약 4000 와트 또는 그 초과일 수 있다.
제 2 경화 단계 동안 또는 기판 처리 부위(370) 경계의 내부 표면을 세정하는 동안에 기판 처리 부위(370) 내의 하부 플라즈마가 켜져 있을 경우, 상부 플라즈마는 전력이 가해지지 않거나 낮게 유지될 수 있다. 기판 처리 부위(370)내의 플라즈마는 샤워헤드(353)와 페데스탈 또는 챔버의 하부 사이에 AC 전압을 인가함으로써 점화된다. 세정 가스는 플라즈마가 존재하는 동안에 기판 처리 부위(370)내로 도입될 수 있다.
페데스탈은 열 교환 채널을 지닐 수 있고, 이러한 채널을 통해서 열 교환 유체가 흘러서 기판의 온도를 조절한다. 이러한 형태는 기판 온도가 상대적으로 저온(실온 내지 약 120℃)을 유지하도록 냉각되게 하거나 가열되게 한다. 열교환 유체는 에틸렌 글리콜과 물을 포함할 수 있다. 페데스탈의 웨이퍼 지지 플래터(wafer support platter)(바람직하게는, 알루미늄, 세라믹 또는 이들의 조합)가 또한 평행한 동심원들의 형태로 완전한 2회의 회전들이 있도록 구성된 내장형 단일-루프 매립 히터 엘리먼트(single-loop embedded heater element)를 사용하여 상대적 고온(약 120℃ 내지 약 1100℃)을 달성하기 위해서 저항에 의해서 가열될 수 있다. 히터 엘리먼트의 외측부는 지지 플래터의 주변부에 인접하여 지나갈 수 있는 반면, 내측부는 더 작은 반경을 지니는 동심원의 경로를 지나간다. 히터 엘리먼트에 대한 배선은 페데스탈의 스템(stem)을 통해 통과한다.
기판 처리 시스템은 시스템 제어기에 의해서 제어된다. 예시적인 구체예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 프로세서를 포함한다. 프로세서는 싱글-보드 컴퓨터(single-board computer: SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스테퍼 모터 컨트롤러 보드들(stepper motor controller boards)을 함유한다. CVD 시스템의 다양한 부품들은 보드, 카드 케이지(card cage) 및 접속기 치수들 및 유형들을 규정하는 베르사 모듈러 유러피언(Versa Modular European: VME) 표준에 따른다. VME 표준은 또한 버스 구조(bus structure)가 16-비트 데이터 버스와 24-비트 어드레스 버스를 지니는 것으로 규정한다.
시스템 제어기는 증착 시스템의 활동들 모두를 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행시키고, 그러한 소프트웨어는 컴퓨터 판독 가능한 매체내에 저장된 컴퓨터 프로그램이다. 바람직하게는, 그러한 매체는 하드 디스크 드라이브이지만, 그러한 매체는 또한 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 타이밍(timing), 가스들의 혼합, 챔버 압력, 챔버 온도, RF 파워 수준들, 서셉터 위치, 및 다른 특정의 공정 파라미터들을 명령하는 명령들의 세트들을 포함한다. 예를 들어, 플로피 디스크 또는 또 다른 적절한 드라이브를 포함한, 다른 메모리 장치에 저장된 다른 컴퓨터 프로그램들이 또한 시스템 제어기를 명령하도록 사용될 수 있다.
기판 상에 필름 스택(예, 실리콘-질소-및-수소-함유 층 및 이어서 실리콘-산소-및-탄소-함유 층의 순차적 증착)을 증착시키는 공정, 필름을 실리콘 옥사이드로 전환시키는 공정 또는 챔버를 세정하는 공정이 시스템 제어기에 의해서 실행되는 컴퓨터 프로그램 제품을 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 어떠한 통상의 컴퓨터 판독 가능한 프로그래밍 언어, 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 그 밖의 언어로 작성될 수 있다. 적합한 프로그램 코드는 통상의 텍스트 편집기를 사용함으로써 싱글 파일, 또는 멀티플 파일들에 입력되고, 컴퓨터 이용가능한 매체, 예컨대, 컴퓨터의 메모리 시스템에 저장 또는 내장된다. 입력된 코드 텍스트가 고급 언어로 된 경우, 코드가 컴파일링되고, 이어서, 생성되는 컴파일러 코드가 미리 컴파일링된 Microsoft Windows® 라이브러리 루틴의 대상 코드와 링크된다. 링크되고 컴파일링된 대상 코드를 실행시키기 위해서, 시스템 사용자는 대상 코드를 불러내서 컴퓨터 시스템이 메모리 내의 코드를 로딩하게 한다. CPU는 이어서 코드를 판독하고 실행하여 프로그램에서 확인된 작업들을 수행한다.
사용자와 제어기 사이의 인터페이스는 평면-패널 터치-감작성 모니터(flat-panel touch-sensitive monitor)를 통해서 이루어진다. 바람직한 구체예에서, 두 모니터들이 사용되는데, 하나는 작업자들을 위해서 클린 룸 벽(clean room wall)에 장착되고 다른 하나는 서비스 기술자들을 위해서 벽의 뒤에 장착된다. 두 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그러한 경우에, 한번에 하나만 입력을 허용한다. 특정의 스크린 또는 기능을 선택하기 위해서, 작업자는 터치-감작성 모니터의 지정된 부위를 터치한다. 터치된 부위는 그의 하이라이트된 색을 변화시키거나, 새로운 매뉴 또는 스크린이 디스플레이되어, 작업자와 터치-감작성 모니터 사이의 통신을 확인한다. 그 밖의 장치, 예컨대, 키보드, 마우스, 또는 다른 포인팅 또는 통신 장치가 터치-감작성 모니터 대신 또는 그에 추가로 사용되어 사용자가 시스템 제어기와 통신하게 할 수 있다.
본원에서 사용된 "기판"은 그 위에 형성된 층들이 있거나 없는 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있으며, 예를 들어, 집적회로의 제작에 사용되는 유형의 반도체 기판일 수 있다. 용어 "전구체"는 반응에 관여하여 물질을 표면으로부터 제거하거나 물질을 표면 상에 증착시키는 어떠한 처리 가스를 나타내기 위해서 사용된다. "여기된 상태"의 가스는 가스 분자들 중 적어도 일부가 진동방식으로 여기되고/거나, 해리되고/거나, 이온화된 상태에 있는 가스를 설명한다. 가스(또는 전구체)는 둘 이상의 가스들(또는 전구체들)의 조합일 수 있다. "라디칼 전구체"는 반응에 참여하여 물질을 표면으로부터 제거하거나 물질을 표면상에 증착시키는 플라즈마 유출물들(플라즈마를 빠져나오는 여기된 상태에 있는 가스)을 설명하기 위해서 사용된다. "라디칼-질소 전구체"는 질소를 함유하는 라디칼 전구체이고, "라디칼-수소 전구체"는 수소를 함유하는 라디칼 전구체이다. 구 "불활성 가스"는 에칭되거나 필름내로 혼입되는 경우 화학적 결합을 형성하지 않는 어떠한 가스를 나타낸다. 예시적인 불활성 가스들은 희가스(noble gas)들을 포함하지만, (전형적으로) 미량이 필름에 포집되는 경우 화학적 결합이 형성되지 않는 한 그 밖의 가스들을 포함할 수 있다.
용어 "갭"은 에칭된 기하구조가 큰 수평 가로세로 비를 지님을 내포함이 없이 전체에 걸쳐서 사용된다. 표면 위에서 볼 때, 트렌치(trench)들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 모양으로 보일 수 있다. 본원에서 사용된 컨포멀 층(conformal layer)은 표면과 동일한 모양의 표면상의 물질의 일반적으로 균일한 층을 나타낸다. 즉, 층의 표면과 덮이는 표면이 일반적으로 평행함을 나타낸다. 당업자는 증착된 물질이 100% 컨포멀일 수 없으며, 그에 따라서 용어 "일반적으로"가 허용 공차(acceptable tolerance)를 감안함을 인지할 것이다.
실험
도 4는 유도-결합 플라즈마로 처리되기 전 및 처리 후의 증착된 Si-C-N의 FTIR 스펙트럼들을 나타낸다. 초기 증착된 유동성 Si-C-N 층이 1,3,5-트리실라펜탄 및 증착 챔버 외부의 원격 플라즈마 장치에서 에너자이징된 암모니아 가스 혼합물의 플라즈마 유출물의 화학적 기상 증착에 의해서 증착되었다.
도 4의 플롯은 약 2250 cm-1에서 강한 Si-H 피크를 지니는 증착된 대로의 유동성 Si-C-N 층을 나타내고 있다. HDP 플라즈마 처리 후에, 피크는 거의 완전히 사라져서, 초기 유동성 층에서의 대부분(전부가 아닌 경우)의 Si-H 결합들이 플라즈마 처리에 의해서 제거되었음을 나타낸다.
상기된 몇 가지 구체예들을 보면, 당업자라면 본 발명의 사상을 벗어나지 않으면서 다양한 변화들, 대안적인 구성들 및 균등물들이 이용될 수 있다는 것을 인지할 것이다. 추가로, 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위해서, 많은 널리 공지된 공정들 및 엘리먼트들은 기재되지 않았다. 따라서, 상기 설명은 본 발명을 제한하는 것으로 취급되지 않아야 한다.
일정 범위의 값들이 제공되는 경우, 그 문장이 명확히 달리 명시하지 않는 한, 하한 값의 단위의 10분의 1까지에 이르는, 그 범위의 상한과 하한 사이의 각각의 중간값이 또한 특별히 개시되는 것으로 이해될 것이다. 어떠한 언급된 값 또는 언급된 범위 내의 중간값과 그 언급된 범위 내의 어떠한 다른 언급된 값 또는 중간값 사이의 각각의 더 작은 범위가 포함된다. 이들 더 작은 범위들의 상한들 및 하한들은 독립적으로 범위 내에 포함되거나 배제될 수 있으며, 그 상한과 하한 둘 중 하나 또는 둘 다가 더 작은 범위들에 포함되거나 둘 중 어느 것도 그 작은 범위에 포함되지 않는 각각의 범위가 또한, 언급된 범위 내의 어떠한 특정적으로 배제된 한계를 조건으로, 본 발명의 범위 내에 포함된다. 언급된 범위가 상한 및 하한 중 하나 또는 둘 모두를 포함하는 경우, 이들 포함된 상항 및 하한 중 둘 모두 또는 둘 중 하나를 배제하는 범위들이 또한 포함된다.
본원 및 첨부된 청구범위에서 사용된 표현인 단수형은, 문장이 명확히 달리 명시하지 않는 한, 복수의 대상물을 포함한다. 따라서, 예를 들어 "공정"의 언급은 복수의 그러한 공정들을 포함하며, "전구체"의 언급은 본 기술 분야의 전문가에게 공지된 하나 이상의 전구체들 및 그 균등물들의 언급을 포함하고, 기타 등등이다.
또한, 본 명세서 및 하기 청구범위에서 사용되는 용어 "포함하는", "포함한다", "포함한"은 언급된 특징들, 정수들, 구성요소들 또는 단계들의 존재를 명시하고자 하지만, 이들은 하나 이상의 다른 특징들, 정수들, 구성요소들, 단계들, 작용들, 또는 그룹들의 존재 또는 부가를 배제하지 않는다.

Claims (20)

  1. 반도체 기판상에 유전층을 형성시키는 방법으로서,
    실리콘-함유 전구체 및 에너자이징된 (energized) 질소-함유 전구체를 화학기상증착 챔버에 공급하고;
    실리콘-함유 전구체와 에너자이징된 질소-함유 전구체를 화학기상증착 챔버에서 반응시켜 기판상에 유동성 실리콘-탄소-질소 물질을 증착시키고;
    유동성 실리콘-탄소-질소 물질을 처리하여 반도체 기판상에 유전층을 형성시키는 것을 포함하는 방법.
  2. 제 1항에 있어서, 실리콘-함유 전구체가 1,3,5-트리실라펜탄, 1,4,7-트리실라헵탄, 디실라사이클로부탄, 트리실라사이클로헥산, 3-메틸실란, 실라사이클로펜텐, 실라사이클로부텐 또는 트리메틸실릴아세틸렌을 포함하는 방법.
  3. 제 1항에 있어서, 실리콘-함유 전구체가
    (i) SiR4, Si2R6, Si3R8, Si4R10, 또는 Si5R12 (여기서, 각각의 R 기는 독립적으로, 수소 (-H) 또는 포화되거나 불포화된 알킬 기임);
    (ii) 화학식 R3Si-[CR2]n-SiR3의 실릴알칸 또는 실릴알켄 (여기서, n은 1 내지 10의 정수일 수 있으며, 각각의 R 기들은 독립적으로, 수소 (-H), 또는 포화되거나 불포화된 알킬 기임);
    (iii) 화학식 R3Si-[CR2]x-SiR2-[CR2]y-SiR3의 실릴알칸 또는 실릴알켄 (여기서, x 및 y는 독립적으로, 1 내지 10의 정수이며, 각각의 R 기들은 독립적으로, 수소 (-H), 또는 포화되거나 불포화된 알킬 기임);
    (iv) 실라사이클로프로판, 실라사이클로부탄, 실라사이클로펜탄, 실라사이클로헥산, 실라사이클로헵탄, 실라사이클로옥탄, 실라사이클로노난, 실라사이클로프로펜, 실라사이클로부텐, 실라사이클로펜텐, 실라사이클로헥센, 실라사이클로헵텐, 실라사이클로옥텐 및 실라사이클로노넨으로 구성된 군으로부터 선택된 실라사이클로알칸 또는 실라사이클로알켄;
    (v) H4 -x- yCXy(SiR3)x (여기서, x는 1, 2, 3, 또는 4이며, y는 0, 1, 2 또는 3이며, 각각의 X는 독립적으로, 수소 또는 할로겐 (예를 들어, F, Cl, Br)이며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임);
    (vi) (SiR3)xC=C(SiR3)x (여기서, x는 1 또는 2이며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임); 또는
    (vii) R-[(CR'2)x-(SiR"2)y-(CR'2)z]n-R (여기서, 각각의 R, R' 및 R"는 독립적으로, 수소, 알킬 기, 불포화된 알킬 기, 실란 기 또는 -[(CH2)x1-(SiH2)y1-(CH2)z1]n1-R"' (여기서, x1, y1 및 z1은 독립적으로, 0 내지 10의 수이며, n1은 0 내지 10의 수임)이며, x, y 및 z는 독립적으로, 0 내지 10의 수이며, n은 0 내지 10의 수임)를 포함하는 방법.
  4. 제 1항에 있어서, 실리콘-함유 전구체가
    (i) R4 - xSi(NR2)x (여기서, x는 1, 2, 3 또는 4일 수 있으며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임);
    (ii) R4 - yN(SiR3)y (여기서, y는 1, 2 또는 3일 수 있으며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임); 또는
    (iii) 고리에서 하나 이상의 Si 원자 및 하나 이상의 질소 원자를 포함하는 치환되거나 비치환된 고리 구조로 구성된 군으로부터 선택된 실리콘-및-질소 함유 전구체를 포함하는 방법.
  5. 제 1항에 있어서, 실리콘-함유 전구체가 1,3,5-트리실라펜탄 또는 1,4,7-트리실라헵탄중 하나를 포함하는 방법.
  6. 제 1항에 있어서, 에너자이징된 질소-함유 전구체가 에너자이징된 암모니아 또는 에너자이징된 암모니아의 단편을 포함하는 방법.
  7. 제 1항에 있어서, 에너자이징된 암모니아가 화학기상증착 챔버에 유동적으로 결합된 원격 플라즈마 시스템에서 생성되는 방법.
  8. 제 1항에 있어서, 유동성 실리콘-탄소-질소 물질이 Si-H 결합들을 포함하는 방법.
  9. 제 8항에 있어서, 유동성 실리콘-탄소-질소 물질의 처리가 물질중의 Si-H 결합들의 수를 감소시키는 방법.
  10. 제 1항에 있어서, 유동성 실리콘-탄소-질소 물질의 처리가 물질을 플라즈마에 노출시키는 것을 포함하는 방법.
  11. 제 10항에 있어서, 유동성 실리콘-탄소-질소 물질을 처리하기 위한 플라즈마가 화학기상증착 챔버내에 위치하는 방법.
  12. 제 10항에 있어서, 플라즈마가 유도-결합 플라즈마 또는 용량-결합 플라즈마인 방법.
  13. 실리콘-함유 전구체와 활성화된 질소 전구체의 화학기상증착에 의해 기판상에 유동성 실리콘-탄소-질소 층을 형성시키고;
    유동성 실리콘-탄소-질소 층을 플라즈마에 노출시키는 것을 포함하여,
    유동성 실리콘-탄소-질소 층을 처리하여 층의 습식 에칭 레이트 비율 (WERR: wet etch rate ratio)을 감소시키는 방법으로서,
    플라즈마 노출이 층에서 Si-H 결합들의 수를 감소시키며, Si-C 결합들의 수를 증가시키며, 플라즈마 노출이 층의 WERR을 감소시키는 방법.
  14. 제 13항에 있어서, 유동성 실리콘-함유 전구체가 1,3,5-트리실라펜탄, 1,4,7-트리실라헵탄, 디실라사이클로부탄, 트리실라사이클로헥산, 3-메틸실란, 실라사이클로펜텐, 실라사이클로부텐 또는 트리메틸실릴아세틸렌을 포함하는 방법.
  15. 제 13항에 있어서, 유동성 실리콘-함유 전구체가
    (i) SiR4, Si2R6, Si3R8, Si4R10, 또는 Si5R12 (여기서, 각각의 R 기는 독립적으로, 수소 (-H) 또는 포화되거나 불포화된 알킬 기임);
    (ii) 화학식 R3Si-[CR2]n-SiR3의 실릴알칸 또는 실릴알켄 (여기서, n은 1 내지 10의 정수일 수 있으며, 각각의 R 기들은 독립적으로, 수소 (-H), 또는 포화되거나 불포화된 알킬 기임);
    (iii) 화학식 R3Si-[CR2]x-SiR2-[CR2]y-SiR3의 실릴알칸 또는 실릴알켄 (여기서, x 및 y는 독립적으로, 1 내지 10의 정수이며, 각각의 R 기들은 독립적으로, 수소 (-H), 또는 포화되거나 불포화된 알킬 기임);
    (iv) 실라사이클로프로판, 실라사이클로부탄, 실라사이클로펜탄, 실라사이클로헥산, 실라사이클로헵탄, 실라사이클로옥탄, 실라사이클로노난, 실라사이클로프로펜, 실라사이클로부텐, 실라사이클로펜텐, 실라사이클로헥센, 실라사이클로헵텐, 실라사이클로옥텐 및 실라사이클로노넨으로 구성된 군으로부터 선택된 실라사이클로알칸 또는 실라사이클로알켄;
    (v) H4 -x- yCXy(SiR3)x (여기서, x는 1, 2, 3, 또는 4이며, y는 0, 1, 2 또는 3이며, 각각의 X는 독립적으로, 수소 또는 할로겐 (예를 들어, F, Cl, Br)이며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임);
    (vi) (SiR3)xC=C(SiR3)x (여기서, x는 1 또는 2이며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임); 또는
    (vii) R-[(CR'2)x-(SiR"2)y-(CR'2)z]n-R (여기서, 각각의 R, R' 및 R"는 독립적으로, 수소, 알킬 기, 불포화된 알킬 기, 실란 기 또는 -[(CH2)x1-(SiH2)y1-(CH2)z1]n1-R"' (여기서, x1, y1 및 z1은 독립적으로, 0 내지 10의 수이며, n1은 0 내지 10의 수임)이며, x, y 및 z는 독립적으로, 0 내지 10의 수이며, n은 0 내지 10의 수임)를 포함하는 방법.
  16. 제 13항에 있어서, 유동성 실리콘-함유 전구체가
    (i) R4 - xSi(NR2)x (여기서, x는 1, 2, 3 또는 4일 수 있으며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임);
    (ii) R4 - yN(SiR3)y (여기서, y는 1, 2 또는 3일 수 있으며, 각각의 R은 독립적으로, 수소 (-H) 또는 알킬 기임); 또는
    (iii) 고리에서 하나 이상의 Si 원자 및 하나 이상의 질소 원자를 포함하는 치환되거나 비치환된 고리 구조로 구성된 군으로부터 선택된 실리콘-및-질소 함유 전구체를 포함하는 방법.
  17. 제 13항에 있어서, 활성화된 질소 전구체가 플라즈마에 노출된 암모니아 또는 암모니아 단편을 포함하는 방법.
  18. 제 13항에 있어서, 플라즈마 노출이 실리콘-탄소-질소 층에서 C-H 결합들의 수를 감소시키고, Si-Si 결합들, Si-N 결합들 및 C-N 결합들의 수를 증가시키는 방법.
  19. 제 13항에 있어서, 플라즈마가 유도-결합 플라즈마 또는 용량-결합 플라즈마인 방법.
  20. 제 13항에 있어서, 플라즈마 노출이 묽은 불산 및 고온 인산 둘 모두에서 실리콘-탄소-질소 층의 WERR을 감소시키는 방법.
KR1020147009305A 2011-09-09 2012-09-06 반도체 공정용 유동성 실리콘-탄소-질소 층 KR20140066220A (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201161532708P 2011-09-09 2011-09-09
US61/532,708 2011-09-09
US201161536380P 2011-09-19 2011-09-19
US61/536,380 2011-09-19
US201161550755P 2011-10-24 2011-10-24
US61/550,755 2011-10-24
US201161567738P 2011-12-07 2011-12-07
US61/567,738 2011-12-07
US13/590,611 US20130217240A1 (en) 2011-09-09 2012-08-21 Flowable silicon-carbon-nitrogen layers for semiconductor processing
US13/590,611 2012-08-21
PCT/US2012/053999 WO2013036667A2 (en) 2011-09-09 2012-09-06 Flowable silicon-carbon-nitrogen layers for semiconductor processing

Publications (1)

Publication Number Publication Date
KR20140066220A true KR20140066220A (ko) 2014-05-30

Family

ID=47832774

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147009305A KR20140066220A (ko) 2011-09-09 2012-09-06 반도체 공정용 유동성 실리콘-탄소-질소 층

Country Status (4)

Country Link
US (1) US20130217240A1 (ko)
KR (1) KR20140066220A (ko)
TW (1) TW201316407A (ko)
WO (1) WO2013036667A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160139504A (ko) * 2015-05-27 2016-12-07 주성엔지니어링(주) 반도체 소자의 제조 방법
KR20200118354A (ko) * 2018-03-01 2020-10-15 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
KR20210006029A (ko) * 2018-06-11 2021-01-15 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6101467B2 (ja) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR102306410B1 (ko) * 2013-08-16 2021-09-28 엔테그리스, 아이엔씨. 기재내 규소 주입 및 이를 위한 규소 전구체 조성물의 제공
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN109477214A (zh) * 2016-07-19 2019-03-15 应用材料公司 可流动含硅膜的沉积
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220082751A (ko) * 2020-12-09 2022-06-17 에이에스엠 아이피 홀딩 비.브이. 실리콘-탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4049214B2 (ja) * 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
WO2006029388A2 (en) * 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20100129994A1 (en) * 2007-02-27 2010-05-27 Yousef Awad Method for forming a film on a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160139504A (ko) * 2015-05-27 2016-12-07 주성엔지니어링(주) 반도체 소자의 제조 방법
KR20200118354A (ko) * 2018-03-01 2020-10-15 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
KR20210006029A (ko) * 2018-06-11 2021-01-15 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법

Also Published As

Publication number Publication date
WO2013036667A3 (en) 2013-05-02
US20130217240A1 (en) 2013-08-22
TW201316407A (zh) 2013-04-16
WO2013036667A2 (en) 2013-03-14

Similar Documents

Publication Publication Date Title
KR20140066220A (ko) 반도체 공정용 유동성 실리콘-탄소-질소 층
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US9343293B2 (en) Flowable silicon—carbon—oxygen layers for semiconductor processing
US20130217241A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
US8889566B2 (en) Low cost flowable dielectric films
US8647992B2 (en) Flowable dielectric using oxide liner
US20130217243A1 (en) Doping of dielectric layers
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
US20110159703A1 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20140302690A1 (en) Chemical linkers to impart improved mechanical strength to flowable films
JP2015521375A (ja) 流動性膜のための改善された緻密化
JP2013508975A (ja) 引張膜のための応力管理
WO2012048041A2 (en) Amine curing silicon-nitride-hydride films
KR20120043073A (ko) 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid