KR20120043073A - 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성 - Google Patents

비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성 Download PDF

Info

Publication number
KR20120043073A
KR20120043073A KR1020127005951A KR20127005951A KR20120043073A KR 20120043073 A KR20120043073 A KR 20120043073A KR 1020127005951 A KR1020127005951 A KR 1020127005951A KR 20127005951 A KR20127005951 A KR 20127005951A KR 20120043073 A KR20120043073 A KR 20120043073A
Authority
KR
South Korea
Prior art keywords
silicon
nitrogen
precursor
carbon
silicon oxide
Prior art date
Application number
KR1020127005951A
Other languages
English (en)
Inventor
징메이 리앙
니틴 케이. 잉글
샹카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120043073A publication Critical patent/KR20120043073A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘 산화물 층을 형성하는 방법이 설명된다. 방법은, 탄소-프리 실리콘-및-질소 함유 프리커서를 라디칼 프리커서와 혼합하는 단계, 및 기판 상에 실리콘-및-질소 함유 층을 증착하는 단계를 포함할 수 있다. 그 후, 실리콘-및-질소 함유 층이 실리콘 산화물 층으로 전환된다.

Description

비-탄소 유동성 CVD 프로세스들을 사용하는 실리콘 산화물의 형성{FORMATION OF SILICON OXIDE USING NON-CARBON FLOWABLE CVD PROCESSES}
본 출원은, 2010년 7월 21일자로 출원되고 발명의 명칭이 "FORMATION OF SILICON OXIDE USING NON-CARBON FLOWABLE CVD PROCESSES"인 미국 특허 출원 제 12/840,768 호, 및 2009년 8월 6일자로 출원되고 발명의 명칭이 "FORMATION OF SILICON OXIDE USING NON-CARBON FLOWABLE CVD PROCESSES"인 미국 가특허 출원 제 61/231,729 호의 이익을 주장하며, 위 출원들은 모든 목적들에 대해 여기에 참조로써 통합된다.
반도체 디바이스 기하 형상들은 수십년 전의 이들의 도입 이래로 극적으로 사이즈가 감소되어 왔다. 현대의 반도체 제조 장비는 일상적으로, 45 nm, 32 nm, 및 28 nm의 피쳐 사이즈들을 갖는 디바이스들을 생성하며, 한층 더 작은 기하 형상들을 갖는 디바이스들을 제조하기 위해 새로운 장비가 개발 및 구현되고 있다. 감소하는 피쳐 사이즈들은 디바이스 상에서 감소된 공간적인 치수들을 갖는 구조적인 피쳐들을 산출한다. 갭의 깊이 대 갭의 폭의 종횡비(aspect ratio)가 유전체 재료로 갭을 충진하는 것을 어렵게 하기에 충분히 높게 되는 포인트까지 디바이스 상의 갭들 및 트렌치들의 폭들이 좁아진다. 갭이 완전히 충진되기 전에, 증착 유전체 재료가 상부에서 막히기 쉬워서, 갭의 중간에서 보이드(void) 또는 심(seam)을 생성한다.
수년에 걸쳐, 유전체 재료가 갭의 상부를 막게 되는 것을 회피하거나, 또는 형성된 보이드 또는 심을 "메우기(heal)" 위해, 다수의 기술들이 개발되어 왔다. 하나의 접근법은 처음에, 스피닝(spinning) 기판 표면에 액상으로 적용될 수 있는 높은 유동성 프리커서 재료들이었다(예컨대, SOG 증착 기술들). 이들 유동성 프리커서들은, 보이드들 또는 미약한 심들을 형성하지 않으면서, 매우 작은 기판 갭들 내로 흐를 수 있고, 그 매우 작은 기판 갭들을 충진할 수 있다. 그러나, 이들 높은 유동성 재료들이 증착되면, 이들은 고체 유전체 재료로 경화되어야만 한다.
다수의 경우들에서, 경화 프로세스는, 실리콘 산화물과 같은 고체 유전체를 남기기 위해, 증착된 재료로부터 탄소 및 히드록실 그룹들을 제거하기 위한 가열 처리를 포함한다. 불행하게도, 떠나가는 탄소 및 히드록실 종들은 종종, 경화된 유전체 내에서 공극(pore)들을 남기며, 그 공극들은 최종 재료의 품질을 감소시킨다. 부가하여, 경화되는 유전체는 또한, 볼륨이 수축하려는 경향을 갖고, 이는, 유전체와 주위의 기판의 인터페이스에서 크랙(crack)들 및 공간들을 남길 수 있다. 몇몇 경우들에서, 경화된 유전체의 볼륨은 40 % 이상만큼 감소할 수 있다.
따라서, 기판 갭들 및 트렌치들에서 보이드들, 심들, 또는 양자 모두를 생성하지 않으면서, 구조화된 기판들 상에 유전체 재료들을 형성하기 위한 새로운 증착 프로세스들 및 재료들에 대한 필요성이 존재한다. 또한, 공극들이 더 적고 볼륨의 감소가 더 낮게 유동성 유전체 재료들을 경화시키는 재료들 및 방법들에 대한 필요성이 존재한다. 이러한 및 다른 필요성들이 본 출원에서 해소된다.
종래의 SOG 기술들에 의해 생성되는 실리콘 산화물 층들보다 공극들이 더 적고 수축이 더 적게 유동성 재료들로부터 실리콘 산화물 층들을 형성하기 위한, 방법들, 재료들, 및 시스템들이 설명된다. 방법들은, 탄소-프리(free) 실리콘-및-질소 프리커서 및 라디칼 프리커서로부터 실리콘-및-질소 함유 막(예컨대, 실리콘-질소-수소(Si-N-H) 막)의 증착을 포함할 수 있다. 실리콘-및-질소 막이 탄소 없이 형성되기 때문에, 경화된 실리콘 산화물로의 막의 전환이 공극 형성이 더 적고 볼륨 수축이 더 적게 행해진다.
산소-함유 분위기(atmosphere)에서 실리콘-및-질소 막을 가열함으로써, 실리콘 산화물로의 실리콘-및-질소 막의 전환이 행해질 수 있다. 이 분위기에서의 산소-함유 가스들은, 다른 산소-함유 가스들 중에서도, 라디칼 원자 산소(O), 분자 산소(O2), 오존(O3), 및/또는 스팀(steam)(H20)을 포함할 수 있다. 가열 온도들, 시간들, 및 압력들은 실리콘-및-질소 막을 실리콘 산화물 막으로 산화시키기에 충분하다.
본 발명의 실시예들은, 실리콘 산화물 층을 형성하는 방법들을 포함한다. 방법들은, 탄소-프리 실리콘-및-질소 함유 프리커서를 라디칼 프리커서와 혼합하는 단계, 및 기판 상에 실리콘-및-질소 함유 층을 증착하는 단계를 포함할 수 있다. 그 후, 후속하는 경화 및/또는 어닐링 단계들을 통해, 실리콘-및-질소 함유 층이 실리콘 산화물 층으로 전환된다.
본 발명의 실시예들은, 볼륨 수축이 감소된 실리콘 산화물 층을 형성하는 방법들을 더 포함할 수 있다. 방법들은, 적어도 하나의 갭을 포함하는 기판을 제공하는 단계, 및 기판 상에 탄소-프리 실리콘-및-질소 함유 층을 증착하는 단계를 포함할 수 있다. 탄소-프리 실리콘-및-질소 함유 층을 실리콘 산화물 층으로 전환하기 위해, 산소-함유 분위기에서 기판이 가열될 수 있다. 실리콘 산화물 층은, 갭 내에 증착된 탄소-프리 실리콘-및-질소 함유 층의 약 85 % 이상의 볼륨을 보유할 수 있다.
본 발명의 실시예들은, 실리콘 산화물 층을 형성하는 플라즈마 화학 기상 증착 방법들을 여전히 더 포함한다. 방법들은, 기판을 포함하는 반응 챔버에 탄소-프리 실리콘-및-질소 함유 프리커서 및 라디칼 프리커서를 도입하는 단계들을 포함할 수 있다. 탄소-프리 실리콘-및-질소 함유 프리커서 및 라디칼 프리커서를 포함하는 혼합물로부터 플라즈마가 형성될 수 있다. 플라즈마로부터 기판 상에 실리콘-및-질소 함유 층이 증착될 수 있고, 실리콘-및-질소 함유 층이 실리콘 산화물 층으로 전환될 수 있다.
부가적인 실시예들 및 특징들은 뒤따르는 설명에서 일부가 제시되고, 일부는 본 명세서의 검토 시에 당업자에게 명백하게 되거나 또는 본 발명의 실시에 의해 학습될 수 있다. 본 발명의 특징들 및 이점들은 본 명세서에서 설명된 수단들, 조합들, 및 방법들에 의해 실현 및 달성될 수 있다.
본 발명의 성질 및 이점들의 추가의 이해는, 유사한 컴포넌트들을 지칭하기 위해 여러 도면들 전반에 걸쳐 유사한 참조 번호들이 사용된 도면들 및 명세서의 나머지 부분들을 참조함으로써 실현될 수 있다. 몇몇 경우들에서, 서브라벨(sublabel)이 참조 번호와 연관되고, 다수의 유사한 컴포넌트들 중 하나를 표시하기 위해 하이픈에 뒤따른다. 기존의 서브라벨에 대한 설명 없이 참조 번호를 참조하는 경우에, 모든 그러한 다수의 유사한 컴포넌트들을 지칭하도록 의도된다.
도 1은 본 발명의 실시예들에 따른, 실리콘 산화물 막을 제조하기 위한 선택된 단계들을 예시하는 흐름도이다.
도 2는 본 발명의 실시예들에 따른, 기판 갭 내에 실리콘 산화물 막을 형성하기 위한 선택된 단계들을 예시하는 다른 흐름도이다.
도 3은 본 발명의 실시예들에 따른, 실리콘 산화물 막을 제조하는 플라즈마 화학 기상 증착 방법에서의 선택된 단계들을 예시하는 다른 흐름도이다.
도 4는 본 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시한다.
도 5a는 본 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시한다.
도 5b는 본 발명의 실시예들에 따른 기판 프로세싱 챔버의 샤워헤드를 도시한다.
유동성 실리콘-및-질소 함유 층으로부터의 공극률 및 수축이 감소된 실리콘 산화물 층의 형성이 설명된다. 탄소 함유 성분(moiety)들이 결핍된 프리커서들로부터 실질적으로 탄소가 없게 유동성 실리콘-및-질소 함유 층이 형성될 수 있다. 실질적인 탄소 프리 실리콘-및-질소 함유 층이 실리콘 산화물 층으로 전환되는 경우에, 층 내의 탄소의 부재는, 처음의 실리콘-및-질소 함유 층과 비교하여 산화물 층의 더 적은 공극 형성 및 수축을 산출한다. 실리콘 산화물 층을 형성하는 방법들 및 시스템들에 관한 부가적인 세부사항들이 이제 설명될 것이다.
예시적인 실리콘 산화물 형성 프로세스
도 1은 본 발명의 실시예들에 따른, 실리콘 산화물 막들을 제조하는 방법들(100)에서의 선택된 단계들을 도시하는 흐름도이다. 방법(100)은 반응 챔버에 탄소-프리 실리콘 프리커서를 제공하는 단계(102)를 포함한다. 탄소-프리 실리콘 프리커서는 예컨대, 실리콘 프리커서들의 다른 종류들 중에서도, 실리콘-및-질소 프리커서, 실리콘-및-수소 프리커서, 또는 실리콘-질소-및 수소 함유 프리커서일 수 있다. 이들 프리커서들의 특정 예들은, 다른 실릴(silyl)-아민들 중에서도, H2N(SiH3), HN(SiH3)2, 및 N(SiH3)3과 같은 실릴-아민들을 포함할 수 있다. 캐리어 가스들, 반응성 가스들, 또는 이들 양자 모두로서 작용할 수 있는 부가적인 가스들과 이들 실릴-아민들이 혼합될 수 있다. 부가적인 가스들의 예들은, 다른 가스들 중에서도, H2, N2, NH3, He, 및 Ar을 포함할 수 있다. 탄소-프리 실리콘 프리커서들의 예들은 또한, 실란(SiH4)을 단일로 포함할 수 있거나, 또는 다른 실리콘-함유 가스들(예컨대, N(SiH3)3), 수소-함유 가스들(예컨대, H2) 및/또는 질소-함유 가스들(예컨대, N2, NH3)과 혼합된 실란(SiH4)을 포함할 수 있다. 탄소-프리 실리콘 프리커서들은 또한, 디실란, 트리실란, 고차(higher-order) 실란들, 및 염소화(chlorinated) 실란들을, 단일로, 또는 서로 조합하여, 또는 이전에 언급된 탄소-프리 실리콘 프리커서들과 조합하여 포함할 수 있다.
실리콘-프리커서는 탄소-프리에 부가하여 산소-프리일 수 있다. 산소의 결핍은 프리커서들로부터 형성된 실리콘-및-질소 층에서 더 낮은 농도의 실라놀(silanol)(Si-OH) 그룹들을 산출한다. 증착된 막 내의 과도한 실라놀 성분들은, 증착된 층으로부터 히드록실(-OH) 성분들을 제거하는 사후(post) 증착 단계들 동안에 공극률 및 수축을 증가시킬 수 있다.
또한, 반응 챔버에 라디칼-질소 프리커서가 제공된다(104). 라디칼-질소 프리커서는, 더 안정된 질소 프리커서로부터 반응 챔버 외부에서 생성되었던 질소-라디칼 함유 종들이다. 예컨대, 라디칼-질소 프리커서를 형성하기 위해, 반응 챔버 외부에서의 플라즈마 유닛에서 NH3 및/또는 히드라진(N2H4)과 같은 비교적 안정된 질소 프리커서가 활성화될 수 있으며, 그 후, 반응 챔버 내로 그 라디칼-질소 프리커서가 전달된다. 상이한 실시예들에서, 안정된 질소 프리커서는 또한, NH3 & N2, NH3 & H2, NH3 & N2 & H2, 및 N2 & H2를 포함하는 혼합물일 수 있다. 또한, N2 및 H2를 갖는 혼합물들에서 NH3 대신에 또는 NH3와 조합하여 히드라진이 사용될 수 있다. 생성된 라디칼-질소 프리커서는 ?N, ?NH, ?NH2 등 중 하나 이상일 수 있고, 또한, 플라즈마에서 형성된 이온화된 종들이 수반될 수 있다.
일반적으로 말하자면, 질소를 포함하지 않는 라디칼 프리커서가 또한, 실리콘-및-질소-함유 층이 형성되게 허용할 것이다. 라디칼 프리커서가 전술한 프리커서들에 의해 원격 플라즈마 구역에 공급된 질소를 포함하는 경우에, 라디칼 프리커서는 라디칼-질소 프리커서일 수 있다. 증착 기판(예컨대, 반도체 웨이퍼) 상에 실리콘-및-질소 층을 증착하기 위해 프리커서들이 혼합 및 반응하는 증착 구역으로부터 파티셔닝(partition)된 반응 챔버의 섹션에서, 라디칼 프리커서가 생성된다. 라디칼 프리커서가 라디칼-질소 프리커서인 실시예에서, 안정된 질소 프리커서가 원격 플라즈마 구역 내로 흘려 보내지고, 플라즈마에 의해 여기된다. 또한, 안정된 질소 프리커서(및 라디칼-질소 프리커서)에 수소(H2), 질소(N2), 아르곤, 헬륨 등과 같은 캐리어 가스가 수반될 수 있다. 개시된 실시예들에서, (부가적인 불활성 캐리어 가스들을 갖거나 또는 갖지 않는) 본질적으로 질소(N2)로 구성된 입력 가스로부터 형성된 라디칼-질소 프리커서가 또한 유익한 막들을 생성하는 것으로 판명되었다. 실리콘-함유 프리커서가 질소를 포함하는 실시예들에서, 라디칼-질소 프리커서는 또한, 본질적으로 수소(H2)(및 선택적으로 불활성 캐리어 가스들)로 구성된 입력 가스로부터 형성된 라디칼 프리커서로 대체될 수 있다.
반응 챔버에서, 증착 기판 상에 실리콘-및-질소 함유 막을 증착하기 위해(106), 탄소-프리 실리콘 프리커서 및 라디칼-질소 프리커서가 혼합 및 반응한다. 실시예들에서, 증착된 실리콘-및-질소-함유 막은 몇몇 레시피 조합들에 따라 컨포멀하게(conformally) 증착될 수 있다. 다른 실시예들에서, 증착된 실리콘-및-질소 함유 막은, 종래의 실리콘 질화물(Si3N4) 막 증착 기술들과 다르게, 유동성 특성들을 갖는다. 형성의 유동성 성질은, 막으로 하여금 기판의 증착 표면 상의 좁은 갭들, 트렌치들, 및 다른 구조물들 내로 흐르게 허용한다.
유동성은, 탄소-프리 실리콘 프리커서와 라디칼-질소 프리커서들을 혼합하는 것에서 기인하는 다양한 속성(property)들로 인한 것일 수 있다. 이들 속성들은 증착된 막에서 상당한 수소 컴포넌트를 포함할 수 있고, 그리고/또는 단쇄(short chained) 폴리실라잔 폴리머들의 존재를 포함할 수 있다. 막의 형성 동안에 그리고 후에, 더 밀도가 높은 유전체 재료를 형성하기 위해, 이들 단쇄들이 성장 및 네트워킹(network)한다. 예컨대, 증착된 막은 실라잔-형, Si-NH-Si 백본(backbone)(즉, Si-N-H 막)을 가질 수 있다. 실리콘 프리커서 및 라디칼-질소 프리커서 양자 모두가 탄소-프리인 경우에, 증착된 실리콘-및-질소 함유 막이 또한 실질적으로 탄소-프리이다. 당연히, "탄소-프리"는 반드시, 막에 극소량의 탄소조차도 결핍된 것을 의미하는 것은 아니다. 증착된 실리콘-및-질소 프리커서 상태가 되는 프리커서 재료들에서 탄소 오염물들이 존재할 수 있다. 그러나, 이들 탄소 불순물들의 양은 탄소 성분을 갖는 실리콘 프리커서(예컨대, TEOS, TMDSO 등)에서 발견되는 것보다 훨씬 더 적다.
실리콘-및-질소 함유 층의 증착에 이어서, 산소-함유 분위기에 증착 기판이 도입될 수 있다(108). 산소-함유 분위기가 도입되는 경우에 반응 챔버에서 증착 기판이 유지될 수 있거나, 또는 산소-함유 분위기가 도입되는 다른 챔버로 기판이 이송될 수 있다. 산소-함유 분위기는, 다른 산소-함유 가스들 중에서도, 분자 산소(O2), 오존(O3), 수증기(H2O), 및 질소-산화물들(NO, NO2 등)과 같은 하나 이상의 산소 함유 가스들을 포함할 수 있다. 산소-함유 분위기는 또한, 원거리에서 생성될 수 있고 기판 챔버 내로 전달될 수 있는, 라디칼 산소 및 히드록실 종들, 예컨대 원자 산소(O), 수산화물들(OH) 등을 포함할 수 있다. 산소-함유 종들의 이온들이 또한 존재할 수 있다.
실리콘-및-질소 함유 막을 실리콘 산화물(SiO2) 막으로 전환하기 위해(110), 산소-함유 분위기가 산소를 제공한다. 이전에 주의된 바와 같이, 실리콘-및-질소 함유 막 내의 탄소의 결핍은 최종 실리콘 산화물 막에 형성된 상당히 더 적은 공극들을 산출한다. 또한, 탄소의 결핍은 실리콘 산화물로의 전환 동안에 막의 더 적은 볼륨 감소(즉, 수축)를 산출한다. 예컨대, 탄소 함유 실리콘 프리커서들로부터 형성된 실리콘-질소-탄소 층이 실리콘 산화물로 전환될 때 40 부피% 이상만큼 수축될 수 있는 경우에, 실질적인 탄소-프리 실리콘-및-질소 막들은 약 15 부피% 이하만큼 수축될 수 있다.
이제 도 2를 참조하면, 본 발명의 실시예들에 따른, 기판 갭 내에 실리콘 산화물 막을 형성하기 위한 방법들(200)에서의 선택된 단계들을 예시하는 다른 흐름도가 도시된다. 방법(200)은 갭을 포함하는 기판을 제공하는 것(202)을 포함할 수 있다. 기판은 기판 상에 형성된 디바이스 컴포넌트들(예컨대, 트랜지스터들)의 간격 및 구조를 위한 복수의 갭들을 가질 수 있다. 갭들은 1:1보다 상당히 더 큰(예컨대, 5:1 이상, 6:1 이상, 7:1 이상, 8:1 이상, 9:1 이상, 10:1 이상, 11:1 이상, 12:1 이상 등) 높이 대 폭(즉, H/W)의 종횡비(AR)를 정의하는, 높이 및 폭을 가질 수 있다. 다수의 경우들에서, 높은 AR은 약 90 nm 내지 약 22 nm 또는 그 이하의 범위(예컨대, 약 90 nm, 65 nm, 45 nm, 32 nm, 22 nm, 16 nm 등)의 작은 갭 폭들로 인한 것이다.
기판 상에 유동성 실리콘-및-질소 함유 층이 증착될 수 있다(204). 층이 유동성이기 때문에, 층은 충진 재료의 중심 주위에서 보이드들 또는 미약한 심들을 생성하지 않으면서, 높은 종횡비들을 갖는 갭들을 충진할 수 있다. 예컨대, 유동성 재료를 증착하는 것은, 갭이 완전히 충진되기 전에 갭의 상부를 조기에 막아 갭의 중앙에서 보이드를 남길 가능성이 더 적다.
그 후, 산소-함유 분위기에서, 증착된 실리콘-및-질소 함유 층이 가열될 수 있다. 가열 온도는 실온으로부터 약 1100 ℃(예컨대, 상이한 실시예들에서, 200 ℃, 300 ℃, 400 ℃, 500 ℃, 600 ℃, 700 ℃, 800 ℃, 900 ℃, 또는 1000 ℃ 중 하나를 초과하는 온도)까지의 범위일 수 있다. 산소-함유 분위기는 원자 산소(O), 분자 산소(O2), 오존(O3), 및 이들의 혼합물들의 형태의 실질적으로 순수한 산소를 포함할 수 있다. 분위기는 또한, 산소 및 스팀(H2O)의 혼합물을 함유할 수 있다. 예컨대, 오존(O3) 및 스팀(H2O)을 함유하는 분위기에서, 증착된 실리콘-및-질소 층이 가열될 수 있다.
실시예들은 상이한 온도들 및 분위기들을 갖는 다수의 가열 스테이지들을 포함할 수 있다. 예컨대, 스팀(H2O)을 포함하는 분위기에서 더 낮은 제 1 온도로 제 1 가열 스테이지가 수행될 수 있는 한편, 실질적으로 수증기가 결핍된 건조 산소-함유 분위기에서 더 높은 제 2 온도로 제 2 가열 스테이지가 수행될 수 있다. 비-산소 함유 분위기(예컨대, 건조 N2, He, Ar 등)에서 제 3 가열 스테이지가 또한 실시될 수 있다.
산소-함유 분위기에서, 증착된 실리콘-및-질소 함유 층을 가열하는 것은, 기판 갭을 포함하는 기판 상에 실리콘 산화물 층을 형성한다(208). 위에서 주의된 바와 같이, 실리콘 산화물 층은, 가열 처리 단계 전에 층 내에 존재하는 상당한 양들의 탄소를 갖는 탄소-함유 프리커서들을 이용하여 형성된 유사한 층들보다 더 적은 공극들 및 더 적은 볼륨 감소를 갖는다. 다수의 경우들에서, 볼륨 감소는, 수축된 실리콘 산화물의 결과로서 갭 내에 형성된 공간들을 충진하거나, 메우거나, 또는 그렇지 않으면 제거하기 위한 사후 가열 처리 단계들을 회피하기에 충분히 적다(예컨대, 약 15 부피% 이하).
도 3은 본 발명의 실시예들에 따른, 실리콘 산화물 막을 제조하는 플라즈마 화학 기상 증착 방법들(300)에서의 선택된 단계들을 예시하는 다른 흐름도이다. 방법(300)은, 플라즈마 화학 기상 증착 챔버 내로 탄소-프리 실리콘 프리커서 및 라디칼-질소 프리커서를 도입하는 단계(302)를 포함할 수 있다. 플라즈마 CVD 증착 챔버 외부에서, 예컨대 CVD 증착 챔버에 외부적으로 커플링된 원격 플라즈마 시스템을 통과하는 안정된 질소-함유 가스(예컨대, 암모니아, 분자 질소(N2), N2와 H2의 혼합물 등)로부터 라디칼-질소 프리커서가 생성될 수 있다.
플라즈마 CVD 챔버 내에서 실리콘 프리커서 및 라디칼-질소 프리커서로부터 플라즈마가 형성될 수 있다(304). 챔버 내에 또한 위치된 기판이 플라즈마에 노출될 수 있으며, 플라즈마는 기판 상에 실리콘-및-질소 함유 층을 증착시킨다(306). 증착된 층은, 층으로 하여금 실리콘 산화물 막의 종래의 PECVD 증착보다 보이드들 및 미약한 심들이 더 적게 기판의 갭들 및 다른 구조화된 엘리먼트들을 충진하게 허가하는 Si-NH-Si 백본을 갖는 유동성 실라잔형 재료를 포함할 수 있다.
실리콘-및-질소 함유 막의 증착에 이어서, 증착된 실리콘-및-질소 층을 실리콘 산화물 층으로 전환하기 위해, 플라즈마 CVD 챔버 내로 산소-함유 가스들이 도입될 수 있다. 산소-함유 가스들은, 다른 가스들 중에서도, 분자 산소, 오존, 및 수증기를 포함할 수 있다. 몇몇 경우들에서, 산소-함유 가스들을 포함하는 혼합물로부터 플라즈마가 스트라이킹(strike)될 수 있는 한편, 다른 경우들에서, 가스들로부터 플라즈마가 형성되지 않는다.
CVD 챔버에 진입하는 산소-함유 가스는 챔버에 진입하기 전에 활성화(예컨대, 라디칼화(radicalize), 이온화 등)되었던 하나 이상의 화합물들을 포함할 수 있다. 예컨대, 산소-함유 가스는, 원격 플라즈마 소스를 통해 더 안정된 프리커서 화합물들에 노출시킴으로써 활성화된, 라디칼 산소 종들, 라디칼 히드록실 종들 등을 포함할 수 있다. 더 안정된 프리커서들은, 히드록실(OH) 라디칼들 및 이온들을 생성하는 수증기 및 과산화 수소(H2O2), 및 원자 산소(O) 라디칼들 및 이온들을 생성하는 분자 산소 및/또는 오존을 포함할 수 있다.
예시적인 실리콘 산화물 증착 시스템
본 발명의 실시예들을 구현할 수 있는 증착 챔버들은, 챔버들의 다른 타입들 중에서도, 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 챔버들, 플라즈마 강화 화학 기상 증착(PECVD) 챔버들, 대기-이하(sub-atmospheric) 화학 기상 증착(SACVD) 챔버들, 및 열적 화학 기상 증착 챔버들을 포함할 수 있다. 본 발명의 실시예들을 구현할 수 있는 CVD 시스템들의 특정 예들은, 캘리포니아, 산타클라라의 어플라이드 머티리얼즈 사로부터 입수 가능한, CENTURA ULTIMA? HDP-CVD 챔버들/시스템들 및 PRODUCER? PECVD 챔버들/시스템들을 포함한다.
본 발명의 예시적인 방법들과 함께 사용될 수 있는 기판 프로세싱 챔버들의 예들은, 2006년 5월 30일자로 출원되고 발명의 명칭이 "PROCESS CHAMBER FOR DIELECTRIC GAPFILL"인, Lubomirsky 등에 의한 공동 양도된 미국 가특허 출원 제 60/803,499 호에서 제시되고 설명된 것들을 포함할 수 있으며, 그 미국 가특허 출원의 전체 내용은 모든 목적들에 대해 여기서 참조로써 통합된다. 부가적인 예시적인 시스템들은, 미국 특허 제 6,387,207 호 및 제 6,830,624 호에서 제시되고 설명된 것들을 포함할 수 있으며, 그 미국 특허들이 또한 모든 목적들에 대해 여기서 참조로써 통합된다.
증착 시스템들의 실시예들은 집적 회로 칩들을 생성하기 위한 더 큰 제조 시스템들에 통합될 수 있다. 도 4는 개시된 실시예들에 따른 증착, 베이킹(bake), 및 경화 챔버들의 일 그러한 시스템(400)을 도시한다. 도면에서, FOUP들(front opening unified pods)(402)의 쌍은, 로봇 암들(404)에 의해 수용되고, 웨이퍼 프로세싱 챔버들(408a-f) 중 하나 내로 배치되기 전에, 저압 홀딩 영역(406) 내로 배치되는 기판들(예컨대, 300 mm 직경의 웨이퍼들)을 공급한다. 홀딩 영역(406)으로부터 프로세싱 챔버들(408a-f)로 기판 웨이퍼들을 전달하고, 역으로 전달하기 위해, 제 2 로봇 암(410)이 사용될 수 있다.
프로세싱 챔버들(408a-f)은, 기판 웨이퍼 상에 유동성 유전체 막을 증착, 어닐링, 경화, 및/또는 식각하기 위한 하나 이상의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 기판 상에 유동성 유전체 재료를 증착하기 위해 프로세싱 챔버의 2개의 쌍들(예컨대, 408c-d 및 408e-f)이 사용될 수 있으며, 증착된 유전체를 어닐링하기 위해 프로세싱 챔버들의 제 3의 쌍(예컨대, 408a-b)이 사용될 수 있다. 다른 구성에서, 프로세싱 챔버들의 동일한 2개의 쌍들(예컨대, 408c-d 및 408e-f)은 기판 상에 유동성 유전체 막을 증착 및 어닐링 양자 모두를 행하도록 구성될 수 있는 한편, 증착된 막의 UV 또는 E-빔 경화를 위해 챔버들의 제 3의 쌍(예컨대, 408a-b)이 사용될 수 있다. 또 다른 구성에서, 챔버들의 모든 3개의 쌍들(예컨대, 408a-f)이 기판 상에 유동성 유전체 막을 증착 및 경화시키도록 구성될 수 있다. 또 다른 구성에서, 유동성 유전체의 증착 및 UV 또는 E-빔 경화 양자 모두를 위해 프로세싱 챔버들의 2개의 쌍들(예컨대, 408c-d 및 408e-f)이 사용될 수 있는 한편, 유전체 막을 어닐링하기 위해 프로세싱 챔버들의 제 3의 쌍(예컨대, 408a-b)이 사용될 수 있다. 상이한 실시예들에서 제시된 제조 시스템으로부터 분리된 챔버(들) 상에서, 설명된 프로세스들 중 임의의 하나 이상이 수행될 수 있다.
부가하여, 프로세스 챔버들(408a-f) 중 하나 이상이 습식 처리 챔버로서 구성될 수 있다. 이들 프로세스 챔버들은 수분(moisture)을 포함하는 분위기에서 유동성 유전체 막을 가열하는 것을 포함한다. 따라서, 증착된 유전체 막에 대한 습식 및 건식 어닐들 양자 모두를 수행하기 위해, 시스템(400)의 실시예들은 습식 처리 챔버들(408a-b) 및 어닐 프로세싱 챔버들(408c-d)을 포함할 수 있다.
도 5a는 개시된 실시예들에 따른 기판 프로세싱 챔버(500)이다. 원격 플라즈마 시스템(RPS)(510)은 가스를 프로세싱할 수 있으며, 그 후, 그 가스는 가스 유입구 어셈블리(511)를 통해 이동한다. 가스 유입구 어셈블리(511) 내에서, 2개의 별개의 가스 공급 채널들이 보인다. 제 1 채널(512)이 원격 플라즈마 시스템 RPS(510)을 통과하는 가스를 운반하는 한편, 제 2 채널(513)은 RPS(500)를 우회한다(bypass). 개시된 실시예들에서, 프로세스 가스에 대해 제 1 채널(512)이 사용될 수 있고, 처리 가스에 대해 제 2 채널(513)이 사용될 수 있다. 사이에 절연 링(524)이 있는 리드(또는 전도성 상부 부분)(521) 및 천공된 파티션(553)이 도시되며, 절연 링(524)은, 천공된 파티션(553)에 대한 리드(521)에 AC 전위가 인가되게 허용한다. 프로세스 가스는 제 1 채널(512)을 통해 챔버 플라즈마 구역(520) 내로 이동하고, 챔버 플라즈마 구역(520) 단일에서 또는 RPS(510)와 조합하여 플라즈마에 의해 여기될 수 있다. 여기서, 챔버 플라즈마 구역(520) 및/또는 RPS(510)의 조합은 원격 플라즈마 시스템이라고 지칭될 수 있다. 천공된 파티션(또한 샤워헤드라고 지칭됨)(553)은 샤워헤드(553) 아래의 기판 프로세싱 구역(570)으로부터 챔버 플라즈마 구역(520)을 분리시킨다. 샤워헤드(553)는, 여기된 종들로 하여금 챔버 플라즈마 구역(520)으로부터 기판 프로세싱 구역(570) 내로 이동하게 여전히 허용하면서, 챔버 플라즈마 구역(520) 내에 존재하는 플라즈마로 하여금 기판 프로세싱 구역(570) 내의 가스들을 직접적으로 여기시키는 것을 회피하게 허용한다.
샤워헤드(553)는, 챔버 플라즈마 구역(520)과 기판 프로세싱 구역(570) 사이에 위치되고, 챔버 플라즈마 구역(520) 내에서 생성된 플라즈마 유출물(effluent)들(프리커서들 또는 다른 가스들의 여기된 유도체들)로 하여금 플레이트의 두께를 횡단하는 복수의 스루 홀들(556)을 통과하게 허용한다. 샤워헤드(553)는 또한, (실리콘-함유 프리커서와 같은) 증기 또는 가스의 형태의 프리커서로 충진될 수 있고, 작은 홀들(555)을 거쳐 기판 프로세싱 구역(570) 내로 통해 있지만 직접 챔버 플라즈마 구역(520) 내로 통해 있지 않은 하나 이상의 홀로우(hollow) 볼륨들(551)을 갖는다. 이 개시된 실시예에서, 샤워헤드(553)는 스루-홀들(556)의 가장 작은 직경(550)의 길이보다 더 두껍다. 챔버 플라즈마 구역(520)으로부터 기판 프로세싱 구역(570)으로 침투하는 여기된 종들의 상당한 농도를 유지하기 위해, 샤워헤드(553) 도중에 스루-홀들(556)의 더 큰 직경 부분들을 형성함으로써, 스루-홀들의 가장 작은 직경(550)의 길이(526)가 제한될 수 있다. 개시된 실시예들에서, 스루-홀들(556)의 가장 작은 직경(550)의 길이는 스루-홀들(556)의 가장 작은 직경과 동일한 정도의 크기 또는 그 이하일 수 있다.
도시된 실시예에서, 샤워헤드(553)는, 챔버 플라즈마 구역(520) 내의 플라즈마에 의한 여기 시에, 산소, 수소, 및/또는 질소를 함유하는 프로세스 가스들, 및/또는 그러한 프로세스 가스들의 플라즈마 유출물들을 (스루 홀들(556)을 통해) 분배할 수 있다. 실시예들에서, 제 1 채널(512)을 통해 RPS(510) 및/또는 챔버 플라즈마 구역(520) 내로 도입된 프로세스 가스는, 산소(O2), 오존(O3), N2O, NO, NO2, NH3, N2H4를 포함하는 NxHy, 실란, 디실란, TSA, 및 DSA 중 하나 이상을 함유할 수 있다. 프로세스 가스는 또한, 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 제 2 채널(513)은 또한, 프로세스 가스 및/또는 캐리어 가스, 및/또는 성장하는 또는 증착-직후(as-deposited) 막으로부터 원하지 않는 컴포넌트를 제거하기 위해 사용되는 막-경화 가스를 전달할 수 있다. 플라즈마 유출물들은, 프로세스 가스의 이온화된 또는 중성 유도체들을 포함할 수 있고, 또한, 여기서, 도입된 프로세스 가스의 원자 구성 요소들을 지칭하는, 라디칼-산소 프리커서 및/또는 라디칼-질소 프리커서라고 지칭될 수 있다.
실시예들에서, 스루-홀들(556)의 수는 약 60개 내지 약 2000개일 수 있다. 스루-홀들(556)은 다양한 형상들을 가질 수 있지만 원형으로 대부분 쉽게 이루어진다. 개시된 실시예들에서, 스루-홀들(556)의 가장 작은 직경(550)은 약 0.5 mm 내지 약 20 mm 또는 약 1 mm 내지 약 6 mm일 수 있다. 또한, 스루-홀들의 단면 형상을 선택하는 것에 있어서 자유로우며, 스루-홀들의 단면 형상은 원뿔형, 실린더형, 또는 2개의 형상들의 조합으로 이루어질 수 있다. 상이한 실시예들에서, 기판 프로세싱 구역(570) 내로 가스를 도입하기 위해 사용되는 작은 홀들(555)의 수는 약 100개 내지 약 5000개 또는 약 500개 내지 약 2000개일 수 있다. 작은 홀들(555)의 직경은 약 0.1 mm 내지 약 2 mm일 수 있다.
도 5b는 개시된 실시예들에 따른, 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(553)의 저부도이다. 샤워헤드(553)는 도 5a에서 도시된 샤워헤드에 대응한다. 샤워헤드(553)의 저부 상에서 더 큰 내경(inner-diameter; ID)을 갖고 상부에서 더 작은 ID를 갖는 스루-홀들(556)이 도시된다. 스루-홀들(556) 사이에서도 샤워헤드의 표면에 실질적으로 균등하게 작은 홀들(555)이 분배되며, 이는, 여기서 개시된 다른 실시예들보다 더 균등한 혼합을 제공하는 것을 돕는다.
샤워헤드(553) 내의 스루-홀들(556)을 통해 도달한 플라즈마 유출물들이 홀로우 볼륨들(551)로부터 유래한 작은 홀들(555)을 통해 도달한 실리콘-함유 프리커서와 결합하는 경우에, 기판 프로세싱 구역(570) 내의 페디스털(미도시)에 의해 지지되는 기판 상에 예시적인 막이 생성된다. 기판 프로세싱 구역(570)이 경화와 같은 다른 프로세스들에 대해 플라즈마를 지원하도록 갖추어질 수 있지만, 예시적인 막의 성장 동안에 플라즈마가 존재하지 않는다.
샤워헤드(553) 위의 챔버 플라즈마 구역(520) 또는 샤워헤드(553) 아래의 기판 프로세싱 구역(570)에서, 플라즈마가 점화(ignite)될 수 있다. 질소-및-수소-함유 가스의 유입으로부터 라디칼-질소 프리커서를 생성하기 위해, 챔버 플라즈마 구역(520)에서 플라즈마가 존재한다. 증착 동안에 챔버 플라즈마 구역(520)에서 플라즈마를 점화하기 위해, 샤워헤드(553) 및 프로세싱 챔버의 전도성 상부 부분(521) 사이에, 전형적으로 무선 주파수(RF) 범위 내의 AC 전압이 인가된다. RF 전력 공급기는, 13.56 MHz의 높은 RF 주파수를 생성하지만, 또한, 다른 주파수들을 단일로 또는 13.56 MHz 주파수와 조합하여 생성할 수 있다.
기판 프로세싱 구역(570)에 접하는 내부 표면들을 세정하거나 또는 막을 경화시키기 위해, 기판 프로세싱 구역(570)에서 저부 플라즈마가 턴온되는 경우에, 상부 플라즈마는 낮은 전력으로 유지될 수 있거나 또는 전력을 갖지 않을 수 있다. 챔버의 페디스털(pedestal) 또는 저부와 샤워헤드(553) 사이에 AC 전압을 인가함으로써, 기판 프로세싱 구역(570)에서 플라즈마가 점화된다. 플라즈마가 존재하는 동안에, 기판 프로세싱 구역(570) 내로 세정 가스가 도입될 수 있다.
페디스털은 열 교환 채널을 가질 수 있으며, 기판의 온도를 제어하기 위해, 그 열 교환 채널을 통해 열 교환 유체가 흐른다. 이 구성은, 비교적 낮은 온도들(0 ℃ 내지 약 120 ℃)을 유지하기 위해, 기판 온도가 냉각되거나 또는 가열되게 허용한다. 열 교환 유체는 에틸렌 글리콜 및 물을 포함할 수 있다. 평행 동심원들의 형태로 2개의 완전한 턴(turn)들을 이루도록 구성된 임베딩된 단일-루프 임베딩된 히터 엘리먼트(embedded single-loop embedded heater element)를 사용하여, 비교적 높은 온도들(약 120 ℃ 내지 약 1100 ℃)을 달성하기 위해, 페디스털의 웨이퍼 지지 플래터(바람직하게는 알루미늄, 세라믹, 또는 이들의 조합)가 또한 저항성 가열될 수 있다. 히터 엘리먼트의 외측 부분은 지지 플래터의 주변에 인접하게 이어져 있을 수 있는 한편, 내측 부분은 더 작은 반경을 갖는 동심원의 경로 상에서 이어져 있다. 히터 엘리먼트에 대한 와이어링은 페디스털의 스템(stem)을 통과한다.
시스템 제어기에 의해 기판 프로세싱 시스템이 제어된다. 예시적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브, 및 프로세서를 포함한다. 프로세서는 단일-보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들, 및 스테퍼 모터 제어기 보드들을 포함한다. CVD 시스템의 다양한 부분들은, 보드, 카드 케이지, 및 커넥터 치수들 및 타입들을 정의하는 VME(Versa Modular European) 표준에 따른다. VME 표준은 또한, 16-비트 데이터 버스 및 24-비트 어드레스 버스를 갖는 것으로서 버스 구조를 정의한다.
시스템 제어기는 CVD 머신의 모든 활동(activity)들을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 시스템 제어 소프트웨어는 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램이다. 바람직하게는, 매체는 하드 디스크 드라이브이지만, 매체는 또한 다른 종류들의 메모리일 수 있다. 컴퓨터 프로그램은 특정한 프로세스의, 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다. 예컨대 플로피 디스크 또는 다른 또 다른 적절한 드라이브를 포함하는 다른 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 또한 시스템 제어기에 명령하기 위해 사용될 수 있다.
시스템 제어기에 의해 실행되는 컴퓨터 프로그램 물건을 사용하여, 기판 상에 막 스택을 증착하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스가 구현될 수 있다. 컴퓨터 프로그램 코드는, 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예컨대, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 기록될 수 있다. 적합한 프로그램 코드는, 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다수의 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장되거나 또는 임베딩된다. 입력된 코드 텍스트가 고레벨 언어로 이루어진 경우에, 코드가 컴파일링되고, 그 후, 결과의 컴파일러 코드는 프리컴파일링된 Microsoft Windows? 라이브러리 루틴들의 오브젝트 코드와 링크된다. 링크된 컴파일링된 오브젝트 코드를 실행하기 위해, 시스템 사용자는 오브젝트 코드를 인보크(invoke)하여, 컴퓨터 시스템이 메모리에서 코드를 로딩하게 한다. 그 후, 프로그램에서 식별된 태스크들을 수행하기 위해, CPU는 코드를 판독 및 실행한다.
사용자와 제어기 사이의 인터페이스는 평면 터치-감응 모니터를 통한다. 바람직한 실시예에서, 2개의 모니터들이 사용되는데, 오퍼레이터들을 위해 세정 룸 벽에 하나가 장착되고, 서비스 기술자들을 위해 벽 뒤에 다른 하나가 장착된다. 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그 경우에, 한번에 하나의 모니터만이 입력을 수용한다. 특정한 스크린 또는 기능을 선택하기 위해, 오퍼레이터는 터치-감응 모니터의 지정된 영역을 터치한다. 터치된 영역이 그 터치된 영역의 하이라이트된 컬러를 변화시키거나, 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 오퍼레이터와 터치-감응 모니터 사이의 통신을 확인한다. 사용자로 하여금 시스템 제어기와 통신하게 허용하기 위해, 터치-감응 모니터 대신에 또는 터치-감응 모니터에 부가하여, 키보드, 마우스, 또는 다른 포인팅 또는 통신 디바이스와 같은 다른 디바이스들이 사용될 수 있다.
챔버 플라즈마 구역 또는 RPS 내의 구역은 원격 플라즈마 구역이라고 지칭될 수 있다. 실시예들에서, 라디칼-질소 프리커서는 원격 플라즈마 구역에서 생성되고, 기판 프로세싱 구역 내로 이동하며, 기판 프로세싱 구역에서, 라디칼-질소 프리커서에 의해 탄소-프리 실리콘-함유 프리커서가 여기된다. 실시예들에서, 라디칼-질소 프리커서에 의해서만 탄소-프리 실리콘-함유 프리커서가 여기된다. 실시예들에서, 라디칼-질소 프리커서가 탄소-프리 실리콘-함유 프리커서에 지배적인(dominant) 여기를 제공하는 것을 보장하기 위해, 본질적으로, 원격 플라즈마 구역에만 플라즈마 전력이 인가될 수 있다.
챔버 플라즈마 구역을 채용하는 실시예들에서, 증착 구역으로부터 파티셔닝된, 기판 프로세싱 구역의 섹션에서, 여기된 플라즈마 유출물들이 생성된다. 여기서 기판 프로세싱 구역이라고 또한 알려져 있는 증착 구역은, 증착 기판(예컨대, 반도체 웨이퍼) 상에 실리콘-및-질소 층을 증착하기 위해, 플라즈마 유출물들이 탄소-프리 실리콘-함유 프리커서와 혼합 및 반응하는 곳이다. 여기된 플라즈마 유출물들에는 불활성 가스들(예시적인 경우에서는 아르곤)이 또한 수반된다. 실시예들에서, 기판 플라즈마 구역에 진입하기 전에, 탄소-프리 실리콘-함유 프리커서가 플라즈마를 통과하지 않는다. 여기서, 기판 프로세싱 구역은 실리콘-및-질소-함유 층의 성장 동안에 "플라즈마-프리"로서 설명될 수 있다. "플라즈마-프리"는 반드시 플라즈마가 없는 구역을 의미하는 것은 아니다. 플라즈마 구역 내에서 생성된 이온화된 종들 및 프리 전자들이 파티션(샤워헤드) 내의 공극들(애퍼쳐(aperture)들)을 통해 이동하지만, 탄소-프리 실리콘-함유 프리커서가 플라즈마 구역에 인가된 플라즈마 전력에 의해 실질적으로 여기되지 않는다. 챔버 플라즈마 구역 내에서 플라즈마의 경계들은 한정하기 어렵고, 샤워헤드 내의 애퍼쳐들을 통해 기판 프로세싱 구역을 침범할 수 있다. 유도성-커플링된 플라즈마의 경우에서, 기판 프로세싱 구역 내에서 직접적으로 소량의 이온화가 실시될 수 있다. 또한, 형성하는 막의 바람직한 피쳐들을 제거하지 않으면서, 기판 프로세싱 구역에서 낮은 강도의 플라즈마가 생성될 수 있다. 여기된 플라즈마 유출물들의 생성 동안에 챔버 플라즈마 구역(또는 실제로는 원격 플라즈마 구역)보다 훨씬 더 낮은 강도의 이온 밀도를 갖는 플라즈마에 대한 모든 원인들은, 여기서 사용되는 "플라즈마-프리"의 범위로부터 벗어나지 않는다.
여기서 사용되는 바와 같이, "기판"은, 층들이 위에 형성되거나 또는 형성되지 않은 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 절연체 또는 반도체일 수 있고, 예컨대, 집적 회로들의 제조에서 사용되는 타입의 반도체 기판일 수 있다. "실리콘 산화물"의 층은 실리콘-및-산소-함유 재료에 대한 약칭으로서 사용되고, 실리콘-및-산소-함유 재료와 교환 가능하게 사용된다. 따라서, 실리콘 산화물은 질소, 수소, 탄소 등과 같은 다른 원소 구성 요소들의 농도들을 포함할 수 있다. 몇몇 실시예들에서, 실리콘 산화물은 본질적으로 실리콘 및 산소로 구성된다. "프리커서"라는 용어는, 기판으로부터 재료를 제거하거나 또는 기판 상에 재료를 증착하기 위한 반응에 어느 정도 참여하는 임의의 프로세스 가스를 지칭하기 위해 사용된다. "여기된 상태"에 있는 가스는, 가스 분자들의 적어도 일부가 진동-여기된, 해리된, 및/또는 이온화된 상태들에 있는 가스를 설명한다. 가스는 2개 이상의 가스들의 조합일 수 있다. "라디칼 프리커서"는, 표면으로부터 재료를 제거하거나 또는 표면 상에 재료를 증착하기 위한 반응에 참가하는 플라즈마 유출물들(플라즈마에 존재하는 여기된 상태에 있는 가스)을 설명하기 위해 사용된다. "라디칼-질소 프리커서"는 질소를 함유하는 라디칼 프리커서이다. "불활성 가스"라는 구는 막에 통합되는 경우에 화학적 본드들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 불활성 가스들은 노블(noble) 가스들을 포함하지만, (전형적으로) 막 내에 소량이 트랩되는 경우에 화학적 본드들이 형성되지 않는 한 다른 가스들을 포함할 수 있다.
"트렌치"라는 용어는, 식각된 기하 형상이 큰 수평 종횡비를 갖는다는 암시 없이 전반에 걸쳐 사용된다. 표면 위에서 보면, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. "비아"라는 용어는, 수직한 전기 접속부를 형성하기 위해, 금속으로 충진될 수 있거나 또는 충진되지 않을 수 있는 낮은 종횡비 트렌치를 지칭하기 위해 사용된다. 여기서 사용되는 바와 같이, 컴포멀(conformal) 층은 표면과 동일한 형상인, 표면 상의 재료의 일반적으로 균일한 층을 지칭하며, 즉, 층의 표면과 커버되는 표면이 일반적으로 평행하다. 당업자는, 증착된 재료가 거의 100% 컨포멀할 수 없고, 따라서 "일반적으로"라는 용어가 수용 가능한 허용 오차들을 허용하는 것을 인지할 것이다.
여러 실시예들을 설명하였지만, 본 발명의 사상으로부터 벗어나지 않으면서, 다양한 변형들, 대안적인 구성들, 및 등가들이 사용될 수 있다는 것이 당업자에 의해 인지될 것이다. 부가적으로, 본 발명을 불필요하게 불명료히 하는 것을 회피하기 위해, 다수의 공지된 프로세스들 및 엘리먼트들이 설명되지 않았다. 따라서, 상기 설명이 본 발명의 범위를 한정하는 것으로서 취해지지 않아야 한다.
값들의 범위가 제공되는 경우에, 범위의 상한과 하한 사이의 각각의 중간 값은, 문맥이 명확히 다르게 지시하지 않는 한, 하한의 단위의 10분의 1까지 구체적으로 개시된다는 것이 또한 이해된다. 명시된 범위 내의 임의의 명시된 값 또는 중간 값과 그 명시된 범위 내의 임의의 다른 명시된 또는 중간 값 사이의 각각의 더 작은 범위가 포함된다. 명시된 범위 내의 임의의 구체적으로 배제된 한계에 대해, 이들 더 작은 범위들의 상한 및 하한은 독립적으로 범위 내에 포함될 수 있거나, 또는 배제될 수 있으며, 상한 및 하한 중 어느 하나가 더 작은 범위들 내에 포함되거나, 상한 및 하한 중 어느 하나도 더 작은 범위들 내에 포함되지 않거나, 또는 상한 및 하한 양자 모두가 더 작은 범위들 내에 포함되는, 각각의 범위가 또한 본 발명 내에 포함된다. 명시된 범위가 상한 및 하한 중 하나 또는 양자 모두를 포함하는 경우에, 이들 포함된 상한 및 하한 중 어느 하나 또는 양자 모두를 배제하는 범위들이 또한 포함된다.
여기서 및 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들 "a", "an", 및 "the"는, 문맥이 명확히 다르게 지시하지 않는 한, 복수의 지시 대상들을 포함한다. 따라서, 예컨대, "프로세스"에 대한 언급은 복수의 그러한 프로세스들을 포함하고, "프리커서"에 대한 언급은 하나 이상의 프리커서 및 당업자에게 알려져 있는 프리커서의 등가들 등에 대한 언급을 포함한다.
또한, "포함하다(comprise)", "포함하는(comprising)", "구비하다(include)", 및 "구비하는(includes)"이라는 단어들은, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 명시된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 이상의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 실리콘 산화물 층을 형성하는 방법으로서,
    탄소-프리 실리콘-및-질소 함유 프리커서를 라디칼 프리커서와 혼합하는 단계;
    기판 상에 실리콘-및-질소 함유 층을 증착하는 단계; 및
    상기 실리콘-및-질소 함유 층을 상기 실리콘 산화물 층으로 전환하는 단계를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 라디칼 프리커서는 라디칼-질소 프리커서인, 실리콘 산화물 층을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 라디칼 프리커서는 수소를 포함하지만 본질적으로 질소를 포함하지 않는, 실리콘 산화물 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 탄소-프리 실리콘-및-질소 함유 프리커서는 실릴-아민(silyl-amine)을 포함하는, 실리콘 산화물 층을 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 실릴-아민은 N(SiH3)3을 포함하는, 실리콘 산화물 층을 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 라디칼 프리커서는, 상기 탄소-프리 실리콘-및-질소 함유 프리커서와 혼합되기 전에, 플라즈마를 사용하여, 질소-및-수소 함유 가스로부터 생성되는, 실리콘 산화물 층을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 질소-및-수소 함유 가스는, 히드라진, 암모니아, N2, 및 H2로 구성된 그룹으로부터 선택된 가스를 포함하는, 실리콘 산화물 층을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 실리콘-및-질소 함유 층은, 산소-함유 분위기에 상기 실리콘-및-질소 함유 층을 노출시킴으로써, 상기 실리콘 산화물 층으로 전환되는, 실리콘 산화물 층을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 산소-함유 분위기는, 산소(O2), 오존(O3), 및 스팀(H2O)으로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하는, 실리콘 산화물 층을 형성하는 방법.
  10. 볼륨 수축이 감소된 실리콘 산화물 층을 형성하는 방법으로서,
    적어도 하나의 갭을 포함하는 기판을 제공하는 단계;
    상기 기판 상에 탄소-프리 실리콘-및-질소 함유 층을 증착하는 단계; 및
    상기 탄소-프리 실리콘-및-질소 함유 층을 상기 실리콘 산화물 층으로 전환하기 위해, 산소-함유 분위기에서 상기 기판을 가열하는 단계를 포함하며,
    상기 실리콘 산화물 층은, 상기 갭 내에 증착된 상기 탄소-프리 실리콘-및-질소 함유 층의 약 85 % 이상의 볼륨을 보유하는,
    실리콘 산화물 층을 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 탄소-프리 실리콘-및-질소 함유 층은, 라디칼 프리커서와 실리콘-및-질소 프리커서의 반응에 의해, 상기 기판 상에 증착되는, 실리콘 산화물 층을 형성하는 방법.
  12. 제 11 항에 있어서,
    상기 실리콘-및-질소 프리커서는 N(SiH3)3을 포함하며, 상기 라디칼 프리커서는 플라즈마-활성화된 NH3으로부터 형성되는, 실리콘 산화물 층을 형성하는 방법.
  13. 제 10 항에 있어서,
    상기 탄소-프리 실리콘-및-질소 함유 층은 Si-N-H 층을 포함하는, 실리콘 산화물 층을 형성하는 방법.
  14. 제 10 항에 있어서,
    상기 기판 갭은 약 50 nm 이하의 폭을 갖는, 실리콘 산화물 층을 형성하는 방법.
  15. 제 10 항에 있어서,
    상기 갭 내의 상기 실리콘 산화물 층은 실질적으로 보이드-프리인, 실리콘 산화물 층을 형성하는 방법.
KR1020127005951A 2009-08-06 2010-08-06 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성 KR20120043073A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23172909P 2009-08-06 2009-08-06
US61/231,729 2009-08-06

Publications (1)

Publication Number Publication Date
KR20120043073A true KR20120043073A (ko) 2012-05-03

Family

ID=43535136

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127005951A KR20120043073A (ko) 2009-08-06 2010-08-06 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성

Country Status (8)

Country Link
US (1) US8741788B2 (ko)
EP (1) EP2462612A4 (ko)
JP (1) JP2013501384A (ko)
KR (1) KR20120043073A (ko)
CN (1) CN102498551A (ko)
SG (1) SG178240A1 (ko)
TW (1) TWI535882B (ko)
WO (1) WO2011017598A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10547001B2 (en) 2017-06-08 2020-01-28 SK Hynix Inc. Electronic device and method for fabricating the same
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Families Citing this family (428)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) * 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101780050B1 (ko) * 2011-02-28 2017-09-20 삼성전자주식회사 반도체 기억 소자 및 반도체 기억 소자의 형성 방법
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6247087B2 (ja) * 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
TWI670756B (zh) 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9991154B2 (en) * 2016-02-25 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a fin field effect transistor and a shallow trench isolation
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11373866B2 (en) 2018-06-29 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric material and methods of forming same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11387138B2 (en) 2018-09-25 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit isolation feature and method of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11133177B2 (en) * 2018-12-20 2021-09-28 Applied Materials, Inc. Oxidation reduction for SiOC film
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110676154A (zh) * 2019-09-26 2020-01-10 上海华力微电子有限公司 一种提高聚硅氮烷膜质转换效率的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11757020B2 (en) * 2020-01-31 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11170994B1 (en) 2021-01-12 2021-11-09 Applied Materials, Inc. CD dependent gap fill and conformal films
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4910043A (en) * 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) * 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP3216266B2 (ja) * 1992-09-22 2001-10-09 ソニー株式会社 平坦化絶縁膜の製造方法
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) * 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) * 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) * 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) * 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) * 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6787191B2 (en) 2000-04-04 2004-09-07 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) * 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) * 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) * 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) * 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) * 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) * 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) * 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
CA2540039A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100762573B1 (ko) * 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
JP4283168B2 (ja) * 2004-06-16 2009-06-24 独立行政法人科学技術振興機構 成膜装置
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) * 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP5091428B2 (ja) * 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) * 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) * 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) * 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) * 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10547001B2 (en) 2017-06-08 2020-01-28 SK Hynix Inc. Electronic device and method for fabricating the same
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Also Published As

Publication number Publication date
US8741788B2 (en) 2014-06-03
WO2011017598A2 (en) 2011-02-10
TWI535882B (zh) 2016-06-01
EP2462612A4 (en) 2013-12-04
CN102498551A (zh) 2012-06-13
SG178240A1 (en) 2012-03-29
TW201118194A (en) 2011-06-01
US20110034039A1 (en) 2011-02-10
JP2013501384A (ja) 2013-01-10
WO2011017598A3 (en) 2011-05-26
EP2462612A2 (en) 2012-06-13

Similar Documents

Publication Publication Date Title
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8329262B2 (en) Dielectric film formation using inert gas excitation
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US8647992B2 (en) Flowable dielectric using oxide liner
US8304351B2 (en) In-situ ozone cure for radical-component CVD
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20120083133A1 (en) Amine curing silicon-nitride-hydride films
US20120177846A1 (en) Radical steam cvd

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid