CN110313051B - 使用远程等离子体处理使碳化硅膜致密化 - Google Patents

使用远程等离子体处理使碳化硅膜致密化 Download PDF

Info

Publication number
CN110313051B
CN110313051B CN201780086632.6A CN201780086632A CN110313051B CN 110313051 B CN110313051 B CN 110313051B CN 201780086632 A CN201780086632 A CN 201780086632A CN 110313051 B CN110313051 B CN 110313051B
Authority
CN
China
Prior art keywords
silicon carbide
silicon
thickness
bonds
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780086632.6A
Other languages
English (en)
Other versions
CN110313051A (zh
Inventor
巴德里·N·瓦拉达拉简
龚波
袁光璧
桂喆
赖锋源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202110823900.6A priority Critical patent/CN113707542A/zh
Publication of CN110313051A publication Critical patent/CN110313051A/zh
Application granted granted Critical
Publication of CN110313051B publication Critical patent/CN110313051B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Ceramic Engineering (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photovoltaic Devices (AREA)

Abstract

提供了使用远程等离子体处理使碳化硅膜致密化的方法和装置。远程等离子体沉积和远程等离子体处理碳化硅膜的操作交替发生以控制膜密度。沉积第一厚度的碳化硅膜,然后进行远程等离子体处理,并且然后沉积第二厚度的碳化硅膜,然后进行另一次远程等离子体处理。远程等离子体处理可以使处于实质上低能量状态的源气的自由基(例如处于基态的氢自由基)朝向沉积在衬底上的碳化硅膜流动。处于实质上低能态的源气的自由基促进碳化硅膜中的交联和膜致密化。

Description

使用远程等离子体处理使碳化硅膜致密化
相关申请的交叉引用
本申请要求2016年12月16日提交的以及名称为“DENSIFICATION OF SILICONCARBIDE FILM USING REMOTE PLASMA TREATMENT”的美国专利申请No.15/382,137的优先权,该申请其全部内容在此通过引用并入且用于所有目的。
技术领域
本公开总体上涉及碳化硅膜的形成,并且更具体地涉及用于致密化碳化硅膜的远程等离子体沉积和远程等离子体处理。
背景技术
碳化硅(SiC)类薄膜具有独特的物理、化学和机械性能,并被用于各种应用,特别是集成电路应用中。SiC薄膜的种类包括经氧掺杂的碳化硅(也称为碳氧化硅)、经氮掺杂的碳化硅(也称为碳氮化硅)、以及经氧和氮掺杂的碳化硅(也称为碳氧氮化硅)、和未掺杂的碳化硅。
发明内容
本公开涉及一种使碳化硅膜致密化的方法。该方法包括:在反应室中提供衬底;在所述衬底上沉积第一厚度的碳化硅膜;以及将所述第一厚度的所述碳化硅膜暴露于远程氢等离子体处理,其中所述第一厚度的所述碳化硅膜被致密化;在所述第一厚度的所述碳化硅膜上沉积第二厚度的所述碳化硅膜;以及将所述第二厚度的所述碳化硅膜暴露于远程氢等离子体处理,其中所述第二厚度的所述碳化硅膜被致密化。
在一些实现方式中,所述第一厚度和所述第二厚度中的每一个介于约
Figure BDA0002168010510000011
和约
Figure BDA0002168010510000012
之间。在一些实现方式中,沉积所述第一厚度的所述碳化硅膜包括:(a)使一种或多种含硅前体流入所述反应室;以及(b)使从远程等离子体源产生的一种或多种氢自由基流动以与所述一种或多种含硅前体反应持续第一时间段,其中沉积所述第二厚度的所述碳化硅膜包括重复操作(a)和(b)持续第二段时间。在一些实现方式中,所述一种或多种含硅前体中的每一种具有(i)一个或多个硅-氢键和/或硅-硅键,和(ii)一个或多个硅-碳键、硅-氮键和/或硅-氧键。在一些实现方式中,所述一种或多种含硅前体中的每一种选自:环状硅氧烷、线性硅氧烷、烷氧基硅烷、烷基硅烷和硅氮烷。在一些实现方式中,所述氢自由基中的至少90%是基态的氢自由基。在一些实现方式中,其中将所述第一厚度的所述碳化硅膜暴露于远程氢等离子体处理包括:(c)使氢源气流入远程等离子体源;(d)使惰性气体与所述氢源气流动;(e)从所述氢源气产生远程等离子体源中的氢自由基;以及(f)使所述氢自由基流到所述第一厚度的所述碳化硅膜,其中将所述第二厚度的所述碳化硅膜暴露于远程氢等离子体处理包括在所述第二厚度的所述碳化硅膜上重复操作(c)到(f)。在一些实现方式中,所述惰性气体是氦气,并且所述氦气中的氢源气具有1-10%的氢气浓度。在一些实现方式中,将所述第一厚度的所述碳化硅膜暴露于远程氢等离子体处理还包括:(g)使共反应气体与所述源气一起流动,其中所述共反应气体包括氧气(O2)、氮气(N2)、二氧化碳(CO2)、一氧化碳(CO)、水(H2O)、甲醇(CH3OH)、臭氧(O3)、氧化亚氮(N2O)、氨(NH3)、二氮烯(N2H2)、甲烷(CH4)、乙烷(C2H6)、乙炔(C2H2)、乙烯(C2H4)、乙硼烷(B2H6)或其组合,其中将所述第二厚度的所述碳化硅膜暴露于远程氢等离子体处理还包括对所述第二厚度的所述碳化硅膜重复操作(g)。在一些实现方式中,所述反应室中的压强介于约0.2托和约5托之间。在一些实现方式中,将所述第一厚度的所述碳化硅膜暴露于远程氢等离子体处理进行介于约5秒和约50秒之间的持续时间,并且将所述第二厚度的所述碳化硅膜暴露于远程氢等离子体处理进行介于约5秒和约50秒之间的持续时间。
本公开还涉及用于使碳化硅膜致密化的设备。该装置包括反应室、远离反应室的等离子体源、用于将衬底保持在反应室中的衬底支撑件,以及配置有用于提供以下操作的指令的控制器:在反应室中提供衬底;在所述衬底上沉积第一厚度的碳化硅膜;并且将所述第一厚度的所述碳化硅膜暴露于远程氢等离子体处理,其中所述第一厚度的所述碳化硅膜被致密化;在所述第一厚度的所述碳化硅膜上沉积第二厚度的所述碳化硅膜;以及将所述第二厚度的所述碳化硅膜暴露于远程氢等离子体处理,其中所述第二厚度的所述碳化硅膜被致密化。
在一些实现方式中,所述第一厚度和所述第二厚度中的每一个介于约
Figure BDA0002168010510000031
和约
Figure BDA0002168010510000032
之间。在一些实现方式中,沉积所述第一厚度的所述碳化硅膜包括:(a)使一种或多种含硅前体流入所述反应室;以及(b)使从远程等离子体源产生的一种或多种氢自由基流动以与所述一种或多种含硅前体反应持续第一时间段,其中沉积所述第二厚度的所述碳化硅膜包括重复操作(a)和(b)持续第二段时间。在一些实现方式中,所述氢自由基中的至少90%是基态的氢自由基。在一些实现方式中,其中将所述第一厚度的所述碳化硅膜暴露于远程氢等离子体处理包括:(c)使氢源气流入远程等离子体源;(d)使惰性气体与所述氢源气流动;(e)从所述氢源气产生远程等离子体源中的氢自由基;以及(f)使所述氢自由基流到所述第一厚度的所述碳化硅膜,其中将所述第二厚度的所述碳化硅膜暴露于远程氢等离子体处理包括在所述第二厚度的所述碳化硅膜上重复操作(c)到(f)。在一些实现方式中,所述惰性气体是氦气,并且所述氦气中的氢源气具有1-10%的氢气浓度。在一些实现方式中,所述反应室中的压强介于约0.2托和约5托之间。
这些和其它实施方案将参考附图在下面进一步进行描述。
附图说明
图1A示出了沉积在衬底上的示例性碳化硅膜的横截面。
图1B示出了在晶体管的栅极电极结构的侧壁上的碳化硅垂直结构。
图1C示出了在空气间隙型的金属化层中铜线的暴露的侧壁上的碳化硅垂直结构。
图1D示出了用于多孔介电材料的碳化硅孔密封剂。
图2示出了代表性的笼形硅烷前体的实施方案。
图3示出了具有远程等离子体源的装置的示意图。
图4A显示了致密化之前氧掺杂的碳化硅的化学结构的示例。
图4B显示了致密化之后氧掺杂的碳化硅的化学结构的示例。
图5A-5D示出了沉积和致密化衬底上的碳化硅膜的各个阶段。
图6A示出了傅里叶变换红外光谱(FTIR)吸收光谱,其具有各种振动峰,以用于检测在经分层处理的碳化硅膜和未经分层处理的碳化硅膜中的某些键类型。
图6B-6E示出了图6A中的FTIR吸收光谱的放大视图,其具有各种振动峰,以用于检测经分层处理的碳化硅膜和未经分层处理的碳化硅膜中的某些键类型。
具体实施方式
在下面的描述中,阐述了许多具体细节,以提供对所呈现的构思的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所呈现的构思。在其他情况下,没有详细描述公知的处理操作,以免不必要地使所描述的构思难以理解。虽然一些构思将结合具体实施方案进行描述,但应当理解,这些实施方案并不旨在进行限制。
在本公开中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解的是,术语“部分制造的集成电路”可以指在其上面进行的集成电路制造的许多阶段中的任意阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200毫米或300毫米或450毫米的直径。下面的详细描述假设本公开是在晶片上实现。然而,本公开并不受此限制。工件可以是各种形状、尺寸和材料。除了半导体晶片外,可利用本公开优点的其它工件还包括各种物品,如印刷电路板、磁记录介质、磁记录传感器、镜子、光学元件、微机械装置等。
引言
半导体设备的制造通常涉及在集成制造工艺中在衬底上沉积一个或多个薄膜。在制造工艺的一些方面中,如碳化硅、碳氧化硅、碳氮化硅和碳氧氮化硅之类的薄膜类型使用原子层沉积(ALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或任何其他合适的沉积方法进行沉积。如本文所使用的,术语“碳化硅”包括未掺杂的或经掺杂的硅碳化物,如经氧掺杂的碳化硅(SiCO)、经氮掺杂的碳化硅(SiCN)、以及经氮和氧掺杂的碳化硅(SiOCN)。对于多数而言,经掺杂的碳化硅具有至多约50%原子的掺杂原子,无论这些原子是氧、氮还是其他元素的原子。掺杂水平提供了所需的膜性能。
用于沉积碳化硅的前体分子可以包括具有硅-氢(Si-H)键和/或硅-硅(Si-Si)键、以及硅-碳(Si-C)键的含硅分子。用于沉积碳氧化硅的前体分子包括具有硅-氢(Si-H)键和/或硅-硅(Si-Si)键、以及硅-氧(Si-O)键、和/或硅-碳(Si-C)键的含硅分子。用于沉积碳氮化硅的前体分子包括具有硅-氢(Si-H)键和/或硅-硅(Si-Si)键、以及硅-氮(Si-N)键、和/或硅-碳(Si-C)键的含硅分子。用于沉积碳氮氧化硅的前体分子包括具有硅-氢(Si-H)键、和/或硅-硅(Si-Si)键、以及硅-氮(Si-N)键、硅-氧(Si-O)键,和/或硅-碳(Si-C)键的含硅分子。当前的PECVD工艺可使用原位等离子体处理,在原位等离子体处理中,等离子体被直接提供到衬底的附近。
已经发现,沉积高品质的碳化硅薄膜会具有一些挑战,例如提供具有以下特性的膜:良好的台阶覆盖、低介电常数、高击穿电压、低漏电流、高孔隙率、和/或覆盖在暴露的金属表面上而不氧化金属表面。
虽然本发明不受任何特定理论的限制,但相信,在典型PECVD工艺中的等离子体条件以产生不良影响的方式将含硅前体分子分成片段。例如,PECVD会破坏在前体分子中的Si-O键和/或Si-C键,以产生高活性自由基或具有高粘附系数的其他片段类型。所得的经掺杂的碳化硅膜的片段可以包括具有“悬挂”的键的硅、碳、和/或氧原子,意思是硅、碳和/或氧原子具有活跃的不成对的价电子。前体分子和它们的片段的高粘附系数会沉积具有不良台阶覆盖的碳化硅膜,因为活性前体片段可不成比例地粘附到凹入特征的侧壁的上部区域和其他结构中。
悬挂键可在所沉积的碳氧化硅膜或碳氮氧化硅膜中产生硅烷醇基(Si-OH)。其结果是,该膜可能具有不利的高介电常数。膜质量也可能受到影响,因为直接等离子体条件倾向于将碳从沉积膜中提取出来。
此外,悬挂键可在沉积的碳化硅膜中产生增多的硅-氢键(Si-H)。毁坏的Si-C键可以在直接等离子体沉积条件下用Si-H替换。碳化硅膜中的Si-H键的存在会产生具有不良电性能的膜。例如,Si-H键的存在会降低击穿电压,并会增加漏电流,因为Si-H键为电子提供了泄漏路径。
另外,悬挂键可导致碳化硅膜中不受控制的化学结构或形态结构。在某些情况下,这样的结构是具有低孔隙率或无孔的致密细丝,使得膜具有不能接受的高介电常数。无孔隙率可能是直接等离子体条件破坏了在环硅氧烷中的Si-C键和/或Si-O键的结果,否则将会在超低k介电材料中提供孔隙率。
有时在PECVD中使用直接等离子体条件可导致沉积中的定向性,因为击穿前体分子的能量可以是在表面产生大量离子轰击的低频。定向沉积也可导致具有不良台阶覆盖的碳化硅膜的沉积。直接等离子体是这样的等离子体:在其中,等离子体(适当浓度的电子和正离子)在沉积期间在靠近衬底表面驻留,有时仅通过等离子体鞘与衬底表面分离。
典型的PECVD工艺有时不适于在暴露的铜或其它金属表面上沉积碳化硅膜,因为这种工艺可氧化金属。在PECVD工艺中可使用氧化剂,如氧气(O2)、臭氧(O3)、二氧化碳(CO2)、或其他氧化物质以形成碳氧化硅膜。
沉积期间衬底表面的环境
图1A示出了沉积在衬底上的示例性碳化硅膜的横截面。碳化硅膜101可以在邻近衬底100处产生相对温和的环境的工艺条件下形成。衬底100可以是任意晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏、或其他适当的工件。用于沉积碳化硅膜101的工艺可包括具有一个或多个Si-H键和/或一个或多个Si-Si键并伴有其它键(例如Si-C键、Si-O键、和/或Si-N键)的一种或多种含硅前体,具体取决于要生成的掺杂结构的类型。
采用碳化硅膜的某些应用在图1B-1D中被示出。在一些实施方案中,含硅前体可以包括含硅-氧前体、含硅-氮前体、和/或含硅-碳前体。含硅-氧前体可包括一个或多个Si-O键,含硅-氮前体可包括一个或多个Si-N键,以及含硅-碳前体可包括一个或多个Si-C键。在一些实施方案中,例如,含硅前体可包括具有Si-O键和Si-C键或Si–N键和Si–C键的单一反应物A。在一些实施方案中,含硅前体可以包括具有Si-O键或Si–N键的反应物B、以及具有Si-C键的反应物C。应该理解的是,可以在本发明的范围内使用任何数量的合适的反应物。示例性的含硅前体的化学结构将在下面进一步详细讨论。
含硅前体包括一个或多个Si-H键和/或一个或多个Si-Si键。在沉积工艺中,Si-H键和/或Si-Si键被破坏,并作为活性位点,以用于在沉积的碳化硅膜101中的含硅前体之间形成键。被破坏的键也可以作为位点,以用于在沉积期间或之后所进行的热处理中的交联。在活性位点键合与交联可以共同形成所得碳化硅膜101中的一级主链或基体。
在一些实施方案中,工艺条件可基本上维持所沉积的碳化硅膜101层中的Si-C键、以及假如存在的Si-O键和Si-N键。因此,邻近衬底100的反应条件提供用于选择性破坏Si-H键和/或Si-Si键,例如,从破坏的Si-H键中提取氢,但该反应条件并不提供用于从Si-O键提取氧、从Si-N键提取氮、或者从Si-C键提取碳。然而,引入诸如氧之类的共反应物可以从Si-C键提取碳。通常,所描述的反应条件存在于衬底100的暴露面(碳化硅膜101沉积所在的面)。它们还可存在于衬底100上方的一定距离处,例如,在衬底100上方的约0.5微米至约150毫米处。实际上,前体的活化可在衬底100上方的相当远的距离处以气相形式发生。通常,相关的反应条件在衬底100的整个暴露面上会是均匀的或基本均匀的,但某些应用可允许一些变化。
除了含硅前体,工件(例如,衬底100)附近的环境可包括一种或多种自由基物质,优选处于实质上低能态的自由基物质。这些物质的实例包括氢原子自由基。在一些实施方案中,氢原子自由基中的所有的、或基本上所有的、或相当大的部分会处于基态(groundstate),例如,在工件附近的至少约90%或95%的氢原子自由基处于基态。在某些实施方案中,气体源是在载气(如氦)中提供。作为一个例子,氢气可在氦载体中以约1-10%的浓度的氢进行提供。选择压强、载气(如氦)的比例和其他工艺条件,以使氢原子作为处于未经重组的低能态的自由基来碰撞衬底100。
如别处所解释的,氢气可被供给到远程等离子体源以产生氢原子自由基或氢自由基。该氢原子自由基一旦产生,就可处于激发能量状态下。例如,处于激发能量状态的氢可具有至少10.2eV(第一激发状态)的能量。激发的氢原子自由基可以导致含硅前体的非选择性分解。例如,处于激发状态的氢原子自由基可轻易地破坏Si-H键、Si-Si键、Si-N键、Si-O键和Si-C键,这可改变碳化硅膜101的组成或物理特性或电气特性。在一些实施方案中,当激发的氢原子自由基失去其能量或缓释(relax)时,该激发的氢原子自由基可以成为实质上低能态的氢原子自由基或基态的氢原子自由基。处于实质上低能态或基态的氢原子自由基能够选择性地破坏Si-H键和Si-Si键,而通常保留Si-O键、Si-N键和Si-C键。在一些实施方案中,可提供工艺条件,使得激发的氢原子自由基失去能量或缓释以形成实质上低能态或基态的氢原子自由基。例如,远程等离子体源或相关联的组件可以被设计成为使得从远程等离子体源扩散到衬底100的氢原子自由基的驻留时间大于激发的氢原子自由基的能量缓释时间(energetic relaxation time)。激发的氢原子自由基的能量缓释时间可以是约等于或小于约1×10-3秒。
氢原子自由基的相当大的部分处于基态的状态可通过各种技术来实现。如下面所述,一些装置被设计成实现这种状态。可以测试和调整装置特征和工艺控制特征以产生温和状态,在该温和状下氢原子自由基中的相当大的部分是处于基态。例如,装置可以针对等离子体源下游(即,衬底100附近)的带电粒子来进行操作和测试。该工艺和装置可以进行调节,直到衬底100附近基本上不存在任何带电物质。此外,装置和工艺的特征可以被调节成一种配置,在该配置中这些装置和工艺开始从标准前体(例如三甲基硅烷)产生碳化硅膜101。选择支持这种膜沉积的相对温和的条件。
自由基物质的其它实例包括:含氧物质,如元素氧自由基(原子或双原子);含氮物质,如元素氮自由基(原子或双原子);和含N-H自由基,如氨自由基,其中氮被任选地掺入膜。含N-H的自由基的实例包括但不限于甲胺、二甲胺和苯胺的自由基。上述自由基物质可以由包括含氢物质、含氮物质、含N-H物质、或它们的混合物的源气体来制备。在一些实施方案中,所沉积的膜的基本上所有或者大部分的原子是由前体分子提供。在这种情况下,用于驱动沉积反应的低能量的自由基可以完全是氢或其它基本上不对沉积层的质量作出贡献的物质。在一些实施方案中,如在下面进一步详细讨论的,自由基物质可以通过远程等离子体源产生。在一些实施方案中,更高能量状态的自由基或甚至离子都可以潜在地存在于晶片平面附近。
在一些实施方案中,工艺条件使用处于实质上低能态的自由基物质,该低能态足以破坏Si-H键和/或Si-Si键,同时基本上保持Si-O键、Si-N键和Si-C键。这样的工艺条件可能不具有大量的处于高能量状态(如基态之上的状态)的离子、电子、或自由基物质。在一些实施方案中,在邻近膜的区域内离子浓度不超过约107/cm3。大量离子或高能量自由基的存在可趋向于破坏Si-O键、Si-N键和Si-C键,这可能产生具有非理想的电特性(例如,高介电常数和/或低击穿电压)以及共形性差的膜。相信,过度活性的环境产生具有高粘附系数(表示以化学或物理方式粘附到工件侧壁的倾向性)的活性前体片段,从而导致共形性差。
在邻近衬底100的环境下,含硅前体通常用其它物质(特别是载气)输送。在一些实现方式中,含硅前体是与自由基物质和其它物质(包括其它活性物质和/或载气)一起存在的。在一些实施方案中,含硅前体可以被作为混合物引入。在沉积反应表面的上游,该含硅前体可与惰性载气混合。惰性载气的实例包括但不限于氩(Ar)和氦(He)。此外,含硅前体可以被引入具有主要和次要物质的混合物中,含有一些元素或结构特征(例如,环形结构、笼形结构、不饱和键等)的次要物质以相对低的浓度存在于碳化硅膜101中。多种前体可以等摩尔或相对类似的比例存在,以适于形成在所得到的碳化硅膜101中的一级主链或基质。在其他实施方案中,不同前体的相对量是显著偏离等摩尔比(equimolarity)的。
在一些实施方案中,一种或多种含硅前体基本上提供了所沉积的碳化硅膜101的所有质量,其中少量的氢或来自远程等离子体的其他元素提供了小于约5%原子的膜质量或小于约2%原子的膜质量。在一些实施方案中,只有自由基物质和一种或多种含硅前体对所沉积的碳化硅膜101的组分作出贡献。在其他实施方案中,沉积反应包括其它共反应物而非一种或多种含硅前体和自由基物质。这样的共反应物的实例包括二氧化碳(CO2)、一氧化碳(CO)、水(H2O)、甲醇(CH3OH)、氧(O2)、臭氧(O3)、氮(N2)、一氧化二氮(N2O)、氨(NH3)、二氮烯(N2H2)、甲烷(CH4)、乙烷(C2H6)、乙炔(C2H2)、乙烯(C2H4)、乙硼烷(B2H6)、以及它们的组合。这样的材料可被用作氮化剂、氧化剂、还原剂等等。在一些情况下,它们可通过除去与含硅前体一起提供的碳中的一部分来用于调节所沉积的膜中的碳量。在采用非氢共反应物的一些实施方案中,共反应物通过与含硅前体相同的流动路径被引入到反应室;例如,包括喷头的路径,一般不直接暴露到等离子体。在一些实施方案中,氧和/或二氧化碳与前体一起被引入,以通过在沉积期间从膜或前体中除去碳来改变碳化硅膜101的组分。在采用非氢共反应物的一些实现方式中,共反应物经由与氢相同的流动路径被引入反应室,以使得共反应物至少部分地转化成自由基和/或离子。在这样的实现方式中,氢自由基和共反应物自由基都与一种或多种含硅前体反应,以产生沉积的碳化硅膜101。
在使用了共反应物并且共反应物与待转换为自由基的物质(例如,氢)一起被引入到室的某些实施方案中,共反应物可以以与反应室中的包括自由基源(如氢)、和任一种或多种载气(如氦)在内的其他气体相比相对少的量被提供到反应室中。例如,共反应物可以以约0.05%或更少的质量比或约0.01%或更少的质量比或约0.001%或更少的质量比存在于工艺气体中。例如,反应物混合物(其进入到等离子体源中)可以是约10-20升/分钟(L/m)的He、约200-500标准立方厘米/分钟(sccm)的H2以及约1-10sccm的氧。当共反应物与含硅前体一起(例如,通过喷头)被引入到反应室时,共反应物可以以较高的浓度存在;例如约2%或更少、或约0.1%或更少。当共反应物是相对较弱的反应物(例如,弱氧化剂,如二氧化碳)时,其可以以甚至更高的浓度存在,例如约10%或10%以下、或约4%或4%以下。
邻近衬底100的环境中的温度可以是促进沉积反应的任何合适的温度,但有时受限于含有碳化硅膜101的设备的应用。在一些实施方案中,在碳化硅膜101的沉积期间,邻近衬底100的环境中的温度可以在很大程度上通过在上面支撑有衬底100的基座的温度进行控制。在一些实施方案中,操作温度可以是介于约50℃和约500℃之间。例如,在许多集成电路应用中操作温度可以是介于约250℃和约400℃之间。在一些实施方案中,提高温度可以导致衬底表面上的交联增加。
在邻近衬底100的环境中的压强可以是用于在反应室中生成活性自由基的任何合适的压强。在一些实施方案中,压强可为约35托或更低。例如,如在采用微波生成等离子体的实施方案中,压强可以是介于约10托和约20托之间。在其它实例中,如在采用射频(RF)生成等离子体的实施方案中,压强可以是小于约5托、或介于约0.2托和约5托之间。
图1B-1D示出了在多种应用中含有碳化硅膜的结构的横截面。图1B示出了在晶体管的栅极电极结构的侧壁上的碳化硅垂直结构。图1C示出了在空气间隙型的金属化层中暴露的铜线侧壁上的碳化硅垂直结构。图1D示出了针对多孔介质材料的碳化硅孔密封剂。这些应用中的每一个将在下面进一步详细讨论。
前体的化学结构
如所讨论的,在形成碳化硅膜中采用的前体可以包括含硅前体,其中该含硅前体中的至少一些具有至少一个Si-H键和/或至少一个Si-Si键。在某些实施方案中,含硅前体在每个硅原子上具有至多一个氢原子。因此,例如,具有一个硅原子的前体具有键合到该硅原子的至多一个氢原子;具有两个硅原子的前体具有键合到一个硅原子的一个氢原子和任选地键合到第二个硅原子的另一个氢原子;具有三个硅原子的前体具有键合到一个硅原子的至少一个氢原子和任选地键合到剩余一个或两个硅原子的一个或两个以上的氢原子,等等。此外,含硅前体可以包括至少一个Si-O键、至少一个Si-N键、和/或至少一个Si-C键。虽然在形成碳化硅膜期间可以使用任意数量的适当的前体,但该前体中的至少一些将包括具有至少一个Si-H键或Si-Si键的含硅前体和任选地至少一个Si-O键、Si-N键、和/或Si-C键。在各种实施方案中,一个或多个含硅前体不包含O-C键或N-C键;例如,一个或多个前体不包含烷氧基(-O-R),其中R是有机基团,如烃基或胺(-NR1R2)基团,其中R1和R2各自独立地为氢或有机基团。
在某些实施方案中,针对碳化硅膜提供的碳中的至少一些是由含硅前体上的一个或更多烃部分提供。这些部分可以选自烷基、烯基、炔基、芳基等。在某些实施方案中,烃基具有单个碳原子以最小化在沉积期间Si-H和/或Si-Si键的断裂反应的空间位阻。然而,前体不限于单碳基团;可以使用较多数量的碳原子,如2个、3个、4个、5个或6个碳原子。在某些实施方案中,烃基是线性的。在某些实施方案中,烃基是环状的。
在一些实施方案中,含硅前体落入化学种类中。应该理解的是,也可以采用含硅前体的其它化学种类,含硅前体不限于下文所讨论的化学种类。
在一些实施方案中,含硅前体可以是硅氧烷。在一些实施方案中,硅氧烷可以是环状的。环状硅氧烷可包括环四硅氧烷,如2,4,6,8-四甲基环四硅氧烷(TMCTS)、八甲环四硅氧烷(OMCTS)和六甲基环四硅氧烷(HMCTS)。其它环状硅氧烷还可以包括但不限于环三硅氧烷和环戊硅氧烷。使用环状硅氧烷的实施方案是可以引入孔隙到碳化硅膜的环状结构,其中孔的尺寸对应于环的半径。例如,环四硅氧烷环可具有约6.7埃的半径。
在一些实施方案中,硅氧烷可具有三维或笼形结构。图2示出了笼形硅氧烷前体的代表性实例。笼形硅氧烷具有经由氧原子彼此桥连的硅原子,以形成多面体或任何3-D结构。笼形硅氧烷前体分子的一个实例是倍半硅氧烷。笼形硅氧烷结构在Cleemput等人的共同拥有的美国专利No.6576345中被进一步详细描述,该专利通过引用被整体并入本文以用于所有目的。类似于环状硅氧烷,笼形硅氧烷可以引入孔隙到碳化硅膜。在一些实施方案中,孔隙大小为介孔。
在一些实施方案中,硅氧烷可以是线性的。合适的线性硅氧烷的实例包括但不限于:二硅氧烷(如五甲基二硅氧烷(PMDSO)和四甲基二硅氧烷(TMDSO));以及三硅氧烷(如六甲基三硅氧烷、七甲基三硅氧烷)。
在一些实施方案中,含硅前体可以是烷基硅烷或其它的经烃基取代硅烷。所述烷基硅烷包括中心硅原子,具有键合到该中心硅原子的一个或多个烷基以及键合到该中心硅原子的一个或多个氢原子。在某些实施方案中,烷基中的任何一个或多个包含1至5个碳原子。所述烃基可以是饱和的或不饱和的(例如,烯烃(如乙烯),炔烃和芳香基)。实例包括但不限于三甲基硅烷(3MS)、三乙基硅烷、五甲基二硅甲烷((CH3)2Si-CH2-Si(CH3)3)、和二甲基硅烷(2MS)。
在一些实施方案中,含硅前体可以是烷氧基硅烷。烷氧基硅烷包括中心硅原子和与该中心硅原子键合的一个或多个烷氧基以及与该中心硅原子键合的一个或多个氢原子。示例包括但不限于三甲氧基硅烷(TMOS)、二甲氧基硅烷(DMOS)、甲氧基硅烷(MOS)、甲基二甲氧基硅烷(MDMOS)、二乙氧基甲基硅烷(DEMS)、二甲基乙氧基硅烷(DMES)和二甲基甲氧基硅烷(DMMOS)。
此外,二硅烷、三硅烷或其它更高级的硅烷可以代替甲硅烷使用。来自烷基硅烷类的一个这样的乙硅烷的实例是六甲基二硅烷(HMDS)。来自烷基硅烷类的二硅烷的另一个例子可包括五甲基二硅烷(PMDS)。其他类型的烷基硅烷可包括烷基碳硅烷,其可以具有分支式聚合结构,该结构具有键合到硅原子的碳以及键合到硅原子的烷基。实例包括二甲基三甲基硅烷基甲烷(DTMSM)和双-二甲基硅烷基乙烷(BDMSE)。在一些实施方案中,硅原子中的一个可具有连接到它的含碳基团或含烃基团,并且硅原子中的一个可具有连接到它的氢原子。
在一些实施方案中,含硅前体可以是含氮化合物,例如硅氮化氢(例如硅氮烷)。通常,这种化合物含有碳,但仅与硅原子键合,而不与氮原子键合。在某些实施方案中,含氮化合物不具有任何碳-氮键。在某些实施方案中,含氮化合物不具有任何胺部分(-C-NR1R2),其中R1和R2是相同或不同的基团,例如氢原子和烃基(例如烷基、烯基或炔基)。合适的硅-氮前体的示例包括各种硅氮烷,例如环状和线性硅氮烷,其含有一个或多个与一个或多个硅原子键合的烃部分和一个或多个与一个或多个硅原子键合的氢原子。硅氮烷的示例包括甲基取代的二硅氮烷和三硅氮烷,例如四甲基二硅氮烷和六甲基三硅氮烷。
在沉积碳化硅期间,多种含硅前体可存在于工艺气体中。例如,硅氧烷和烷基硅烷可以一起使用,或硅氧烷和烷氧基硅烷可以一起使用。各个前体的相对比例可以基于所选择的前体的化学结构和所得的碳化硅膜的应用进行选择。例如,如下面更详细地讨论的,硅氧烷量的摩尔百分比可以大于硅烷量的摩尔百分比,以产生多孔膜。
为了沉积经氧掺杂的碳化硅膜,合适前体的实例包括环硅氧烷,如环四硅氧烷(例如七甲基环四硅氧烷(HMCTS)和四甲基环四硅氧烷)。其它环状硅氧烷还可以包括但不限于环三硅氧烷和环戊硅氧烷。为了沉积经氧掺杂的碳化硅膜,合适前体的其它实例包括线性硅氧烷,例如,但不限于二硅氧烷,如五甲基二硅氧烷(PMDSO)、四甲基二硅氧烷(TMDSO)、六甲基三硅氧烷和七甲基三硅氧烷。
如所解释的,含硅前体被选择以提供高度共形的碳化硅膜。相信,具有低粘附系数的含硅前体能够生成高度共形的膜。“粘附系数”是用于描述在相同时间段内吸附到/粘附到该表面的吸附物质(例如,片段或分子)的数量与撞击表面的物质总数相比的比率的术语。符号Sc有时用来指粘附系数。Sc的值是介于0(意味着没有任何物质粘附)和1(意味着所有的撞击物质粘附)之间。多种因素影响粘附系数,包括撞击物质的类型、表面温度、表面覆盖、表面的结构细节、以及撞击物质的动能。某些物质本性比其它物质更有“粘附性”,使得每次物质撞击表面时它们更可能吸附到表面。这些更有粘附性的物质具有更大的粘附系数(所有其它因素相同),并且与具有较低粘附系数的较不粘附的粘性物质相比更可能吸附在凹入特征的入口附近。在一些情况下,前体的粘附系数(在相关的沉积条件下)可为约0.05或更小,例如约0.001或更小。
渐变的碳化硅膜
技术节点在集成电路制造业中不断缩小。对于每个技术节点,器件几何尺寸缩小,并且间距变得更小。这种技术节点中的高深宽比间隙可能需要填充绝缘材料,例如具有低介电常数(低k)的绝缘材料。半导体集成操作会涉及用低k介电材料填充高深宽比间隙。这是针对浅沟槽隔离、金属间介电层、钝化层等的情况。
例如,从45纳米(nm)技术节点到14纳米技术节点,器件特征会横向缩小,使导电材料越来越靠近。当导电材料越来越靠近时可能发生不需要的导电耦合,从而可能导致寄生电容、信号传播的延迟以及由于电容效应引起的信号串扰。然而,随着技术节点变得更小,作为导电互连件的层间电介质(ILD)的低k材料可以减少寄生电容、信号延迟和信号串扰。包括鳍式场效应晶体管(fiNFET)结构和动态随机存取存储器(DRAM)位结构在内的一些应用需要低k材料作为侧壁间隔物材料。
在许多集成电路应用中,氮化硅(Si3N4)由于其阶梯覆盖性、热稳定性、蚀刻能力和耐蚀刻性以及高击穿电压而经常用作绝缘材料。然而,随着技术节点变小,氮化硅的介电常数(约7-8)可能太高。
氧化硅(SiO2)具有较低的介电常数,约为4.0,并且作为导电互连件的层间电介质可以提供显著降低的电容。然而,氧化硅可能不具有对各种器件集成操作中的蚀刻操作的足够的耐性或选择性。
包括掺杂碳化硅材料和未掺杂碳化硅材料在内的碳化硅材料可以用作集成电路应用中的绝缘材料,其不仅提供低介电常数,而且提供阶梯覆盖性、热稳定性、耐湿蚀刻性,对氧化物/氮化物的干蚀刻选择性和高击穿电压。例如,加入氧原子和/或氮原子可以调节碳化硅材料的性质。在一些实施方案中,经氧掺杂的碳化硅膜可以用作集成电路应用中的绝缘材料,其提供低介电常数、耐湿蚀刻性以经受器件集成操作、以及对氧化物/氮化物的干蚀刻选择性。
用于使薄膜致密化的各种技术可以改善薄膜的性质。通常使用热退火处理和直接等离子体处理来完成材料的致密化。直接等离子体处理或PECVD处理可以通过离子轰击使薄膜致密化,其中直接等离子体或PECVD处理可以使用惰性气体物质或反应性气体物质(例如氨(NH3)、氮气(N2)或氧气(O2))。利用反应性气体物质的离子轰击可以转化薄膜中的一种或多种元素,例如碳化硅膜中的碳、氮化硅膜中的氮或氧化硅膜中的氧。例如,可以使用NH3等离子体来使SiCN膜致密化。来自NH3等离子体的离子和自由基有助于膜致密化,但在直接等离子体处理期间可能发生离子损伤。而且,利用在特征(例如,沟槽、凹槽等)中沉积的膜的直接等离子体处理,膜致密化可能是不均匀的,其中膜的致密化可能在特征的顶部和底部比在特征的侧壁处更大。
当制造集成电路时,碳化硅膜可以经历一个或多个器件集成操作。这种器件集成操作可以包括各种沉积、蚀刻、清洁/剥离和退火工艺。在器件集成操作中,碳化硅膜可以经历蚀刻、灰化和/或退火的工艺。例如,碳化硅膜可以经历一次或多次湿法蚀刻、SiO2的干法蚀刻、光致抗蚀剂的氧等离子体灰化和蒸汽退火的工艺。因此,可能需要开发具有改进的化学稳定性、相对于SiO2的改进的干法蚀刻选择性、改进的等离子体灰分抗性和改善的热稳定性的碳化硅膜。致密化的碳化硅膜如果不能提供所有上述改进的话,也可以提供上述改进中的至少一些。
与未致密化的碳化硅膜相比,致密化的碳化硅膜具有更大的Si-C和/或Si-O键的键合密度。致密化可以去除悬空的硅和/或碳键并促进硅和/或碳原子的交联。通常,沉积的碳化硅膜的化学结构可包括几个末端甲基(CH3)和增加的氢含量。举例来说,在致密化之前的经氧掺杂的碳化硅膜可以包含几个末端CH3键并且可以具有高氢含量。氢原子可以与氧原子键合以形成硅烷醇(Si-OH),并且氢原子可以与硅原子键合形成末端Si-H键。图4A显示了致密化之前经氧掺杂的碳化硅的化学结构的示例。如图4A所示,经氧掺杂的碳化硅结构包括多个末端CH3键,其中碳原子由氧和氢原子配位。许多碳原子或至少大部分碳原子不是交联的。
碳化硅膜的远程等离子体处理可以增加Si-C键的键合密度并减少末端CH3键和Si-H键的数量,从而使碳化硅膜致密化。远程等离子体处理可以从末端CH3键和Si-H键除去氢原子并促进交联以形成至少Si-C键。Si-Si键也可以通过交联形成。来自Si-CH3基团的氢可在处理期间除去并交联以形成Si-C-Si键。在其中沉积氧掺杂的碳化硅膜的一些实施方案中,致密化通过去除末端CH3键、Si-OH键和Si-H键而增加Si-O和Si-C键的键密度。远程等离子体处理可以从掺氧的碳化硅膜中提取氢并促进交联,从而可以形成更多的Si-O和Si-C键。Si-CH3基团的氢可在处理期间除去并交联以形成Si-C-Si键。在处理过程中可以除去Si-OH基团和Si-H基团的氢,这些基团可以交联以形成Si-O-Si键。此外,远程等离子体处理可以使Si-OH基团的羟基反应以形成水(H2O)并相互交联以形成Si-O-Si键。应当理解,远程等离子体处理可以导致其他可能的机制或其他可能的反应途径,以用于增加Si-O和Si-C键的键密度,并减少末端CH3键、Si-OH键和Si-H键的量。图4B显示了致密化后氧掺杂的碳化硅的化学结构的示例。如图4B所示,经氧掺杂的碳化硅膜包括很少至没有CH3键,其中碳原子通常被硅原子交联和配位。碳原子或碳原子中的至少大部分是交联的并且不被氢或氧原子配位。
不受任何理论的限制,增加的Si-C键密度可以改善碳化硅膜的某些性质。在一些实施方案中,增加的Si-C和Si-O键密度可以改善经氧掺杂的碳化硅膜的某些性质。在致密化之后,掺杂或未掺杂的碳化硅膜可具有更高的化学和热稳定性。例如,致密化之后的掺杂或未掺杂的碳化硅膜可以表现出低蚀刻速率、相对于氧化硅的高蚀刻选择性、高O2等离子体灰化抗性和高热稳定性中的一种或多种性质。
本公开可以使用远程等离子体处理使碳化硅膜致密化,并且在某些实施方案中,使用远程氢等离子体处理使碳化硅膜致密化。应当理解,本公开的碳化硅膜包括未掺杂的碳化硅膜和掺杂的碳化硅膜,例如碳氧化硅(SiCO)膜、碳氮化硅(SiCN)膜和碳氮氧化硅(SiONC)膜。致密化的碳化硅膜可以表现出改善的化学稳定性、热稳定性、耐蚀刻性、相对于氧化物/氮化物的选择性以及等离子体灰化抗性。在一些实施方案中,碳化硅膜以逐层方式致密化。可以在逐层工艺中控制跨越膜厚度的碳化硅膜的膜密度和组成。使用远程等离子体处理以逐层方法对碳化硅膜进行致密化提供了更均匀的膜致密化,同时最小化了在直接等离子体处理中可能由离子轰击引起的损坏。
图5A-5D示出了在衬底上沉积和致密化碳化硅膜的各个阶段。沉积和远程等离子体处理循环可以以交替方式发生以形成致密化的碳化硅膜。可以用不同的、更少的或附加的操作来执行图5A-5D中所示的操作。
图5A示出了沉积在衬底上的示例性碳化硅膜的横截面。第一厚度501的碳化硅膜可以沉积在衬底500上。衬底500可以是任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏或其他适当的工件。在一些实施方案中,衬底500可包括多个高深宽比特征,其中每个特征可具有大于2:1、大于5:1或大于10:1的深宽比。碳化硅膜的第一厚度501可以以这样高的深宽比特征沉积,具有良好的台阶覆盖。良好的台阶覆盖可用于各种集成电路应用,例如侧壁间隔物应用。
可以根据预定的沉积时间来控制碳化硅膜的第一厚度501,以实现期望的厚度。在一些实施方案中,沉积时间可以介于约5秒和约500秒之间,或介于约10秒和约200秒之间。在一些实施方案中,第一厚度501的期望厚度可以在约
Figure BDA0002168010510000181
和约/>
Figure BDA0002168010510000182
之间。沉积时间可以对应于第一厚度501的期望厚度。可以控制第一厚度501以使得能够充分渗透随后的远程等离子体处理以使第一厚度501致密化。
使用本文前面描述的远程等离子体沉积技术可以发生第一厚度501的碳化硅膜的沉积。在一些实施方案中,通过使一个或多个含硅前体流入反应室内朝向衬底500来沉积第一厚度501,并使源气的一种或多种自由基从远程等离子体源流动以与一种或多种含硅前体反应持续第一时间段(例如,沉积时间)。源气的自由基在与一种或多种含硅前体反应时,可以处于低能态或基态。与一种或多种含硅前体的反应在邻近衬底500的环境中提供相对温和的工艺条件。
在一些实施方案中,自由基可以由源气产生,所述源气包括氢气、氮气、含N-H的物质(如NH3)、氧气、含氧物质(如H2O、CO2或N2O),或其混合物。例如,源气可包括氢气。自由基中的大部分可以是处于实质上的低能态的自由基,例如基态的自由基。处于实质上的低能态或基态的氢自由基能够选择性地破坏Si-H和Si-Si键,同时通常保留Si-O、Si-N和Si-C键。在一些实施方案中,源气的自由基中的至少90%是处于基态的氢自由基。可以通过各种技术实现让大部分氢自由基处于实质上的低能态或基态的状态。一些装置,例如下面描述的,被设计成实现这种状态。
如前所述的工艺条件可适用于使用具有一种或多种含硅前体的远程等离子体沉积来沉积碳化硅膜。可以在沉积期间调节诸如压强、温度、RF功率、气流和气体成分之类的工艺条件以影响远程等离子体的特性。
在一些实施方案中,邻近衬底500的环境中的压强可以是用以在反应室中产生反应性自由基的任何合适的压强。例如,压强可以是约35托或更低,例如在约10托至约20托之间或在约0.2托至约5托之间。
在一些实施方案中,与衬底500相邻的环境中的温度可以是用以促进沉积的任何合适的温度。例如,温度可以在约50℃至约500℃之间或在约250℃至约400℃之间。
在一些实施方案中,施加到远程等离子体源的RF功率可以根据等离子体源的类型、晶片尺寸和其他操作条件而变化。通常,例如,用于300mm晶片的电感耦合等离子体的RF功率可以介于约300瓦特至约10千瓦特之间,或者介于约1千瓦特至约6千瓦特之间。可以施加更高的RF功率以在远程等离子体源中产生更多的自由基。
在一些实施方案中,远程氢等离子体沉积期间的气体组合物可包括一种或多种含硅前体。一种或多种含硅前体中的每一种包括至少一个Si-H和/或至少一个Si-Si键。此外,每个含硅前体还可包括至少一个Si-O键、至少一个Si-N键和/或至少一个Si-C键。在一些实施方案中,每种含硅前体不包含O-C或N-C键;例如,前体不含烷氧基(-O-R),其中R是有机基团(如烃基)或胺(-NR1R2)基团,其中R1和R2各自是氢或有机基团。可以选择一种或多种含硅前体以调节碳化硅膜的组成并实现高的台阶覆盖率。在一些实施方案中,所述一种或多种含硅前体中的每一种选自:环状硅氧烷、线性硅氧烷、烷氧基硅烷、烷基硅烷和硅氮烷。例如,一种或多种含硅前体在形成碳化硅膜、经氧掺杂的碳化硅膜、经氮掺杂的碳化硅或经氧和氮掺杂的碳化硅薄膜时,可包括烷基碳硅烷、线性硅氧烷、环状硅氧烷、硅氮烷或其任何组合。一种或多种含硅前体可以提供沉积的第一厚度501的碳化硅膜的基本上所有的质量,其中来自远程等离子体的少量氢或其他元素提供小于约5%原子或小于约2%原子的薄膜质量。
在一些实施方案中,除了一种或多种含硅前体之外,远程氢等离子体沉积期间的气体组合物可包括一种或多种共反应物。可以通过与含硅前体相同的流动路径将一种或多种共反应物引入反应室,其中一种或多种共反应物不暴露于等离子体,或者可以通过与远程等离子体相同的流动路径将一种或多种共反应物引入反应室,其中一种或多种共反应物暴露于等离子体。可以选择共反应物以调节第一厚度501的碳化硅膜的成分。取决于共反应物的选择,共反应物可以增加或减少碳化硅膜的碳、氧或氮含量。共反应物可包括CO2、CO、H2O、CH3OH、O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6或其组合。在一些情况下,一种或多种共反应物可包括CO2、O2、N2、NH3或其组合。与反应室中的其他气体相比,一种或多种共反应物可以以相对小的量引入反应室,其他气体包括自由基的源气和任何载气。
在一些实施方案中,远程等离子体沉积期间的气体组合物可包括一种或多种载气。特别地,源气可以提供有载气。载气的示例包括但不限于氦、氖、氩、氪和氙。载气的浓度可以显著大于源气的浓度。例如,氢气可以以约1-10%的氢的浓度提供在氦载气中。载气的存在可有助于增加源气的电离并减少复合。尽管较低的压强通常有助于增加源气的电离并减少复合,但载气的存在可以起到相同的作用。这样,即使在较高的压强下,当诸如氦之类的载气与源气一起流动时,也可以以最小的复合产生自由基中的大部分。沉积期间反应室中的较高压强可以改善碳化硅膜的保形性。反应室中的较高压强可以对应于大于约3托或大于约5托的压强,例如约7托。
应当理解,碳化硅膜的组成可以根据前体的选择,前体的流动和共反应物的流动而变化。在一些实施方案中,在所沉积的膜中保持前体的内部结构。这种结构可以在该前体中保留Si-C键、和Si-O键和/或Si-N键(如果存在的话)中的全部或大部分,同时通过存在于前体分子的Si-H键和/或Si-Si键所在位置的键和/或通过生长表面上的附加的缩合反应(如果提供了足够的热能)来链接或交联个别的前体部分。
图5B示出了通过远程等离子体处理致密化的图5A的碳化硅膜的横截面。在将第一厚度501的碳化硅膜沉积在衬底500上之后,将第一厚度501暴露于远程等离子体处理510以使第一厚度501致密化。用于在沉积期间产生源气的自由基的远程等离子体源还可以用于在处理期间产生源气的自由基。因此,碳化硅膜沉积可以在与碳化硅膜处理的反应室相同的反应室中进行。这使得能在同一工具中执行交替的沉积和处理循环。结果,可以在不引入真空破坏(例如,空气破坏)的情况下,沉积第一厚度501并将第一厚度501的碳化硅膜暴露于远程等离子体处理510。真空破坏会降低产量并将氧化引入半导体器件中,这会导致电阻更高和性能降低。
第一厚度501的碳化硅膜的致密化可以通过远程等离子体处理510来实现。将第一厚度501的碳化硅膜暴露于远程等离子体处理510可以包括:使源气流入远程等离子体源,从源气产生远程等离子体源中的源气的自由基,并使源气的自由基流到第一厚度501的碳化硅膜。在一些实施方案中,将第一厚度501的碳化硅膜暴露于远程等离子体处理510还包括使惰性气体与源气一起流动。在一些实施方案中,源气可包括氢气、氮气、含N-H的物质(如NH3)、氧气、含氧物质(如H2O,CO2或N2O)、或其混合物。例如,源气可包括氢气。
在不输送含硅前体的情况下,将第一厚度501的碳化硅膜暴露于远程等离子体处理510。换句话说,虽然第一厚度501的碳化硅膜的沉积涉及使一种或多种含硅前体流动,但是对第一厚度501的碳化硅膜的处理停止了含硅前体的流动。然而,远程等离子体处理510可以通过将实质上低能量状态的自由基输送到第一厚度501的碳化硅膜来处理第一厚度501的碳化硅膜。自由基中的大部分可以是处于实质上低能量状态(例如基态)的自由基。在一些实施方案中,源气的自由基中的至少90%是处于基态的氢自由基。在远程等离子体处理510期间,除了其他气体,例如一种或多种共反应气体和惰性载气之外,源气的自由基也可以流到第一厚度501的碳化硅膜。远程等离子体处理510可以去除碳化硅膜中的Si-CH3基团、Si-OH基团和Si-H基团中的氢并促进交联以增加Si-C和/或Si-O键的总键密度。
可以根据预定的处理时间来控制使用远程等离子体处理510致密化第一厚度501的碳化硅膜。在一些实施方案中,处理时间可以介于约2秒和约100秒之间,或介于约5秒和约50秒之间。较长的处理时间可以对应于增强的致密化。处理时间的确定可取决于第一厚度501的厚度,其中较厚的层可能需要较长的处理时间以使层更充分致密化。
除了处理时间之外,还可以通过调节一个或多个工艺条件(例如压强、RF功率、气流和气体组成)来控制致密化和处理效率。可以在远程等离子体处理510期间调节压强、RF功率、气流、气体组成和其他工艺条件以影响远程等离子体的特性,从而可以在第一厚度501的碳化硅膜中产生不同的键密度。
可以调节远程等离子体处理510期间反应室中的压强以增加源气的电离并缩短源气的自由基的停留时间。缩短停留时间将减少自由基复合的影响。较低的压强使得分子能移动得更快,这导致源气的电离增加、停留时间缩短和自由基的复合减少。在一些实施方案中,压强可以为介于约0.2托和约5托之间,或介于约1托和约3托之间。然而,应当理解,在远程等离子体处理510期间反应室中的压强可以大于3托或大于5托,其中其他工艺条件(例如,惰性载气)导致足够的电离和缩短的停留时间。
可以调节在远程等离子体处理510期间施加到远程等离子体源的RF功率,以增加源气的自由基的产生。增大的RF功率将增加源气的电离,从而产生大部分源气的自由基。通常,例如,用于300mm晶片的电感耦合等离子体的RF功率可以在约300瓦特和约10千瓦特之间,或者在约1千瓦特和约6千瓦特之间。
在远程等离子体处理510期间,源气可以伴随惰性载气流动。惰性载气可以包括但不限于氦气、氖气、氩气、氪气和氙气。惰性载气的浓度可以显著大于源气的浓度。在一些实施方案中,源气相对于惰性载气的浓度可为介于约1%和约10%之间,或介于约2%和约5%之间。不受任何理论的限制,惰性载气相对于源气具有显著更高浓度的原因是惰性载气有助于“彭宁电离”,从而导致源气的显著离解并产生自由基中的大部分。显著更大浓度的惰性载气也可以使自由基的复合最小化。例如,氢气可以以约1-10%氢的浓度提供在氦载气中。具有较低分子量的惰性载气(例如氦)可以比具有更高分子量的惰性载气贡献具有更高效率的彭宁电离。此外,使具有低分子量的惰性载气(例如氦)和相对于惰性载气的低浓度源气(例如,氦气中的少量氢气,氢气浓度为约1-10%)流动即使在高压(例如,大于3托)下也可产生自由基中的大部分,同时使复合最小化。因此,适当浓度的合适惰性载气的存在可有助于使第一厚度501的碳化硅膜致密化,而不管反应室的压强如何。
在远程等离子体处理510期间可以使一种或多种共反应物流动以调节第一厚度501的碳化硅膜的键密度和组成。可以通过与远程等离子体相同的流动路径将一种或多种共反应物引入反应室,其中一种或多种共反应物暴露于等离子体。取决于共反应物的选择,共反应物可以增加或减少碳化硅膜的氧、氮或碳含量。共反应物可包括CO2、CO、H2O、CH3OH、O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6或其组合。在一些情况下,一种或多种共反应物可包括CO2、O2、N2、NH3或其组合。氧气或氧自由基的存在倾向于从Si-C键提取碳。换句话说,氧的存在可以将碳化物转化为氧化物。可以从衬底上的含硅前体中除去碳,并且在一些情况下,可以用氧代替碳。因此,在远程等离子体处理510期间增大远程等离子体中的氧浓度可以有效地调整第一厚度501的碳化硅膜的碳含量。附加地或替代地,氮气或氮自由基的存在倾向于从Si-C键提取碳。因此,在远程等离子体处理510期间增大远程等离子体中的氮浓度可以有效地调整第一厚度501的碳化硅膜的碳含量。
图5C示出了图5B的碳化硅膜的横截面,其中第二厚度沉积在第一厚度的碳化硅膜上。碳化硅膜的第二厚度502可以沉积在第一厚度501的碳化硅膜上。沉积第二厚度502的操作可以与沉积第一厚度501的操作相同或至少类似。换句话说,沉积第二厚度502的过程可以重复沉积第一厚度501的过程。例如,如果沉积第一厚度501包括使一种或多种含硅前体流入反应室并且使远程等离子体源产生的源气的一种或多种基团流动以与一种或多种含硅前体反应持续第一时间段,则沉积第二厚度502包括重复上述操作持续第二时间段。在一些实施方案中,第一时间段与第二时间段相同。在一些实施方案中,第一时间段不同于第二时间段。不同的时间段可以对应于不同的厚度。在一些实施方案中,第二厚度502的厚度可以介于约
Figure BDA0002168010510000231
到约/>
Figure BDA0002168010510000232
Figure BDA0002168010510000233
之间。此外,控制沉积时间和各种工艺条件(例如压强、温度、RF功率、气流和气体组成)可以调整第二厚度502的碳化硅膜的厚度和组成。因此,用于沉积第二厚度502的碳化硅膜的沉积时间、压强、温度、RF功率、气流和气体组成可以与用于沉积第一厚度501的碳化硅膜的沉积时间、压强、温度、RF功率、气体流量和气体组合物相同或不同。
图5D示出了图5C的通过远程等离子体处理致密化的碳化硅膜的横截面。在将第二厚度502的碳化硅膜沉积在第一厚度501上之后,将第二厚度502暴露于远程等离子体处理520以使至少第二厚度502致密化。将第二厚度502暴露于远程等离子体处理520的操作可以与将第一厚度501暴露于远程等离子体处理510的操作相同或至少类似。换句话说,将第二厚度502暴露于远程等离子体处理520的过程可以重复将第一厚度501暴露于远程等离子体处理510的过程。例如,如果将第一厚度501暴露于远程等离子体处理510包括:使源气流入远程等离子体源,在远程等离子体源中从源气产生源气的自由基,并且使源气的自由基流到碳化硅膜的第一厚度501,则将第二厚度502暴露于远程等离子体处理520包括在碳化硅膜的第二厚度502上重复上述操作。在一些实施方案中,处理时间是相同的。在一些实施方案中,处理时间是不同的。处理时间可足以使远程等离子体穿透第二厚度502的碳化硅膜。不同的处理时间可导致碳化硅膜在膜的整个厚度上具有密度梯度。另外,控制处理时间和各种工艺条件(如压强、RF功率、气体流量和气体组成)可以改变第二厚度502的碳化硅膜的处理效率和致密化。因此,远程等离子体处理520的处理时间、压强、RF功率、气体流量和气体组成可以与用于远程等离子体处理510的处理时间、压强、RF功率、气体流量和气体组成相同或不同。
参考图5A-5D,可以通过交替的沉积和处理循环实现掺杂或未掺杂的碳化硅膜的沉积和致密化。沉积和致密化以逐层方式进行,以使得每个远程等离子体处理循环都能使沉积层完全致密化。这样,整个膜叠层可以致密化并且在膜叠层的整个厚度上具有更均匀的膜密度。因此,应当理解,形成碳化硅膜的沉积和处理循环不限于图5A-5D中所示的操作,而是可以继续重复直至达到所需的膜厚度。本公开的致密化的碳化硅膜可以在多种应用中实现,包括在图1B-1D中所示的结构中实现,其在下面进一步详细讨论。
与在没有用逐层方式致密化碳化硅膜相比,使用逐层方式致密化碳化硅膜可以产生更大的总键合密度。远程等离子体处理可以通过从Si-CH3、Si-OH和/或Si-H基团去除氢原子来使碳化硅膜致密化,并促进碳化硅膜的化学结构中的交联。远程等离子体处理可以通过增大Si-C键的键密度和减少末端CH3键和Si-H键的数量来使碳化硅膜致密化。在涉及氧掺杂的碳化硅膜的一些实施方案中,远程等离子体处理可以通过增加Si-C和Si-O键的键密度并减少末端CH3键、Si-OH键和Si-H键的数量来使经氧掺杂的碳化硅膜致密化。
用于形成致密化的碳化硅膜的工艺条件可以提供高度共形的膜结构。在沉积和处理期间相对温和的工艺条件可以最小化衬底表面处的离子轰击程度,以使沉积和处理是各向同性的。此外,相对温和的工艺条件可减少具有高粘附系数的自由基的数量,具有高粘附系数的自由基会具有粘附到先前沉积的层或膜的侧壁的倾向。在某些实施方案中,对于约2:1到10:1的深度比宽度的深宽比,致密化的碳化硅膜可以以介于约25%和100%之间、更典型地介于约50%和100%之间以及甚至更典型地介于约80%和100%之间的共形率进行沉积。共形率可以通过在一个特征的底部、侧壁或顶部的沉积膜的平均厚度与在一个特征的底部、侧壁或顶部的沉积膜的平均厚度的比较来计算。例如,共形率可以通过在特征侧壁上的沉积膜的平均厚度除以在特征顶部的沉积膜的平均厚度并且乘以100以获得百分比来计算。对于某些应用,介于约85%和95%之间的共形率是足够的。在具有介于约2:1和约4:1之间的深宽比的特征上形成致密化的碳化硅的一些实施例中,共形率为至少约90%。某些BEOL(线程后端)工艺都属于这一类。在具有介于约4:1和约6:1之间的深宽比的特征上形成致密化的碳化硅的一些实施例中,共形率为至少约80%。某些垫层的沉积工艺属于这一类。在具有介于约7:1和约10:1(甚至更高)之间的深宽比的特征上形成致密化的碳化硅的一些实施例中,所述共形率为至少约90%。某些DRAM的制造工艺属于这一类。
用于形成致密化的碳化硅膜的工艺条件也可提供具有高击穿电压和低漏电流的膜结构。在一些实施方案中,引入有限量的氧或氮到碳化硅类材料中将导致由Si-H键和/或Si-CH2-Si键提供的泄漏路径能通过氧或氮阻塞。在低场下传导方式在Si-O和Si-N中可以不同。远程等离子体处理可以提供改善的电性能,同时保持相对低的介电常数。在多种实施方案中,膜具有约6.0或更低、或约5.0或更低、或约4.0或更低、并且在一些情况下约3.5或更低、并且某些情况下约3.0或更低、并且在其它实施例中约2.5或更低的有效介电常数。有效介电常数可取决于键合和密度。
图6A示出了具有各种振动峰的傅立叶变换红外光谱(FTIR)吸收光谱,各种振动峰用于检测经分层处理的碳化硅膜和未经处理的碳化硅膜中的某些键合类型。图6B-6E示出了图6A中的FTIR吸收光谱的各种振动峰的放大视图,各种振动峰用于检测经分层处理的碳化硅膜和未经处理的碳化硅膜中的某些键合类型。经分层处理的碳化硅膜和未经处理的碳化硅膜具有相同的厚度。图6B显示了以逐层方法处理的碳化硅膜的Si-O峰和Si-C峰的增加。较高的峰对应于每单位体积更多的Si-O和Si-C键。不受任何理论的限制,Si-C键的键密度的增大可能是由Si-CH3基团的交联引起的。Si-O键的键密度的增大可能是由Si-OH基团的交联引起的。
具有分层操作的远程等离子体处理可以改善碳化硅膜的性质。例如,经分层处理的碳化硅膜可以表现出比氧化物/氮化物更大的干法蚀刻选择性。经分层处理的碳化硅膜还可表现出更高的热稳定性和化学稳定性。此外,经分层处理的碳化硅膜可表现出改善的氧等离子体灰分抗性。表1示出了在用于去除SiO2的氟化氢(HF)湿法蚀刻工艺之前,在用于去除光致抗蚀剂的氧等离子体灰化工艺期间去除的碳化硅膜的量。具体地,将碳化硅膜在400℃下暴露于30秒的O2等离子体处理,并在HF浴中浸渍10分钟。在表1中,X可以是介于约10秒和约200秒之间的值,Y可以是介于约5秒和约50秒之间的值。表1的结果表明,碳化硅膜中心的膜损失量随着每个处理周期的处理时间的延长而降低。另外,碳化硅膜中心的膜损失量随着每个沉积周期的沉积时间的缩短而降低。因此,每个沉积循环的较薄层改善了经处理的碳化硅膜的抗蚀刻性和化学稳定性。
表1
Figure BDA0002168010510000261
Figure BDA0002168010510000271
装置
本发明的一个方面是配置成实现本文所描述的方法的装置。适当的装置包括用于实现工艺操作的硬件和具有用于根据本发明控制工艺操作的指令的系统控制器。在一些实施方案中,用于执行前述工艺操作的装置可以包括远程等离子体源。相比于直接等离子体,远程等离子体源提供温和的反应条件。适当的远程等离子体装置的实例在于2013年10月24日提交的美国专利申请No.14/062,648中被描述,该美国专利申请被以引用的方式全部并入本文且用于所有目的。
图3示出了根据某些实施方案的远程等离子体装置的一个示意图。该装置300包括具有喷头组件320的反应室310。在反应室310的内部,衬底330搁置在台座或基座335上。在一些实施方案中,基座335可以装配有加热/冷却元件。控制器340可以被连接到装置300的组件,以控制装置300的操作。例如,该控制器340可以包含用于控制装置300的操作的工艺条件的指令,如温度工艺条件和/或压力工艺条件。在一些实施方案中,控制器340可包含用于控制前体气体、共反应气体、源气和载气的流率的指令。控制器340可以包含用于控制沉积循环中的沉积时间和处理循环中的处理时间的指令。另外,控制器340可以包含用于调节沉积循环或处理循环的压强、RF功率、气流和气体组成的指令。
在操作中,气体或气体混合物经由耦合到反应室310的一个或多个气体入口被引入到反应室310。在一些实施方案中,两个或更多个气体入口被耦合到反应室310。第一气体入口355可被耦合到反应室310并连接到容器350,第二气体入口365可以被耦合到反应室310并连接到远程等离子体源360。在包括远程等离子体配置的实施方案中,用于前体与在远程等离子体源中产生的自由基物质的输送管线是分离的。因此,前体和自由基物质在到达衬底330之前基本上不会进行相互作用。
一种或多种自由基物质可在远程等离子体源360中产生并配置为经由气体入口365进入反应室310。任何类型的等离子体源可以在远程等离子体源360中使用以创建自由基物质。这包括但不限于电容耦合等离子体、电感耦合等离子体、微波等离子体、直流等离子体和激光生成的等离子体。电容耦合等离子体的实例可以是射频(RF)等离子体。高频等离子体可以配置以在13.56MHz或更高来进行操作。这样的远程等离子体源360的实例可以是由Lam Research Corporation(Fremont,California)制造的
Figure BDA0002168010510000281
这样的射频远程等离子体源360的另一实例可以是由马萨诸塞州威明顿的MKS Instruments制造的
Figure BDA0002168010510000282
其可以在440kHz下操作,并且可以被提供作为螺栓固定到较大装置上的子单元,以用于并行处理一个或多个衬底。在一些实施方案中,微波等离子体可被用作远程等离子体源360,如/>
Figure BDA0002168010510000283
其也由MKS Instruments制造。微波等离子体可被构造成在2.45GHz的频率下操作。提供给远程等离子体源的气体可以包括氢、氮、氧和如本文别处提及的其它气体。在某些实施方案中,氢是在载体(如氦)中提供。作为一个实例,氢气可以在氦气载体中以约1-10%氢的浓度来提供。
前体可以在容器350中被提供,并且可以经由第一气体入口355被供给到喷头320。喷头320将前体朝向衬底330分配到反应室310。衬底330可以位于该喷头320下方。应该理解的是,喷头320可具有任何合适的形状,并且可以具有任何数量和布置的用于分配气体到衬底330的端口。前体可以以受控的流率被供给到喷头320并最终供给到衬底330。
在远程等离子源360形成的一种或多种自由基物质可以气相方式朝向衬底330运送。一种或多种自由基物质可以通过第二气体入口365流入到反应室310。应当理解的是,如图3所示,第二气体入口365不必横向于(transverser to)衬底330的表面。在某些实施方案中,所述第二气体入口365可在衬底330正上方或在其它位置。远程等离子体源360和反应室310之间的距离可以被配置为提供温和的反应条件,从而使得在远程等离子源360产生的离子化物质基本上是中性的,但处于实质上低能态下的至少一些自由基物质保持在邻近衬底330的环境中。这样的低能态的自由基物质不再重新组合以形成稳定的化合物。远程等离子体源360和反应室310之间的距离可以是等离子体的侵蚀性(例如,由源RF功率电平部分确定的)、等离子体中气体密度(例如,如果存在浓度高的氢原子,那么它们的相当大的部分可重组以在到达反应室310之前形成H2)、以及其他因素的函数。在一些实施方案中,远程等离子体源360和反应室310之间的距离可为介于约1cm和30cm之间,例如为约5cm或约15cm。
在一些实施方案中,不是主要的含硅前体或氢自由基的共反应物在沉积循环或者处理循环期间引入。在一些实施方案中,装置被配置成通过第二气体入口365引入共反应物,在这种情况下,共反应物至少部分转化成等离子体。在一些实施方案中,装置被配置成经由第一气体入口355通过喷头320引入共反应物。共反应物的实例包括氧、氮、氨、二氧化碳、一氧化碳等。
控制器340可以包含用于针对装置300的操作来控制工艺条件的指令。控制器340会通常包括一个或多个存储器设备和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。用于实现适当的控制操作的指令是在处理器上执行。这些指令可以存储在与控制器340相关联的存储器设备,或者它们可以通过网络来提供。
在某些实施方案中,控制器340控制本文描述的半导体处理装置300的所有的或大多数的动作。例如,控制器340可控制半导体处理装置300的与沉积碳化硅膜以及处理该碳化硅膜以致密化该碳化硅膜相关联的所有的或大多数的动作。控制器340可以执行包括成组指令的系统控制软件,成组指令用于控制定时、气体组成、气体流率、室压强、室温度、RF功率水平、衬底位置、和/或其他参数。在一些实施例中可以采用存储在与控制器340相关联的存储器设备上的其它计算机程序、脚本或程序。为了在邻近衬底330的环境提供相对温和的反应条件,参数(如RF功率电平、流到远程等离子体区域的气体流率、以及等离子体点火的定时)可以通过控制器340调整和维持。另外,调整衬底位置可以进一步减少在邻近衬底330的环境中高能自由基物质的存在。在多站式反应器中,控制器340可包括用于不同装置站的不同或相同的指令,从而使装置站能独立或同步操作。
在一些实施方案中,控制器340可以包括用于执行操作的指令,所述操作例如在反应室310中提供衬底330,在衬底330上沉积第一厚度的碳化硅膜,将第一厚度的碳化硅膜暴露于远程氢等离子体处理,在第一厚度的碳化硅膜上沉积第二厚度的碳化硅膜,并将第二厚度的碳化硅膜暴露于远程氢等离子体处理。在一些实施方案中,将第一厚度的碳化硅膜和第二厚度的碳化硅膜暴露于远程氢等离子体处理包括使来自远程等离子体源360的实质上低能量状态的一种或多种氢自由基流动。
在一些实施方案中,装置可以包括与控制器340相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示、以及用户输入设备,诸如定点设备,键盘,触摸屏,麦克风等。
用于控制上述操作的计算机程序代码可以用任何常规的计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或其它。编译的对象代码或脚本由处理器执行,以执行程序中识别的任务。
用于监控工艺的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号是在处理系统的模拟和数字输出连接上的输出。
通常,本文所述的方法能够在包括半导体处理设备的系统上执行,半导体处理设备如一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。通常,电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、处理时间、沉积时间、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)与控制器通信、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数的指令。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料(例如碳化硅)、表面、电路和/或管芯期间完成一个或多个加工步骤的配方(recipe)的一部分。
在一些实施方案中,控制器可以是计算机的一部分或者与该计算机耦接,该计算机与系统集成、耦接到系统、或者通过网络连接到系统、或这些的组合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内的工艺。
除了本文所描述的碳化硅沉积和处理,示例的系统还可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洁室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具通信。
上文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必然地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线灯;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
应用
本公开可以通过参考针对高品质的碳化硅膜的以下应用被进一步理解,所述碳化硅膜包括致密化的碳化硅膜,其中应用意指纯粹用作示例。本发明在范围上不受具体应用限制,其仅仅是本公开的方面的简单说明。
在一些实施方案中,碳化硅膜可以在暴露的铜上进行沉积。在沉积碳化硅膜期间,邻近衬底的反应条件可以没有氧化剂(如O2,O3,和CO2),包括它们的自由基。因此,碳化硅膜可直接在暴露的铜上沉积而不氧化铜(例如,生成氧化铜)。这样的膜可以作为蚀刻停止层,其也可作为铜扩散阻挡层。碳化硅膜的存在可提供具有优异的泄漏特性的足够低的介电常数以用作扩散阻挡层。碳化硅膜本身或作为双层堆叠(例如,沉积在暴露的铜上的碳化硅/SiCN双层)或者作为渐变膜(例如,渐变的SiCO膜)或多层的叠层(例如,多层SiCO膜)可以是蚀刻停止和/或扩散阻挡物。在一些实施例中,碳化硅膜可以置于通常由镶嵌工艺生产的相邻的金属化层之间。碳化硅膜能抵抗蚀刻,并且可以是足够致密的以使铜离子进入介电材料的相邻区域的扩散最小化。在一些实施方案中,对于碳化硅膜所用的前体可以是非环状的。非环状前体可包括PMDSO或TMDSO。非环状前体可提供足够高的密度以作为密封或扩散阻挡物。在一些实施方案中,氮可通过使用含氮前体或等离子体活化的含氮自由基(如元素氮自由基或胺自由基)被掺入膜中。
在一些实施方案中,碳化硅膜可沉积为邻接金属或半导体结构的垂直结构。碳化硅的沉积提供了沿金属或半导体结构的侧壁的极好的台阶覆盖以创建垂直结构。在某些实施方案中,垂直结构可被称为隔离物或衬垫。图1B示出沉积在晶体管的栅极电极结构的侧壁上的碳化硅衬垫的横截面。如图1B中所示,晶体管可以是具有硅衬底110的CMOS晶体管,硅衬底110具有源极112和漏极113。栅极电介质114可被沉积在硅衬底110上,栅极电极115可以沉积在栅极电介质上以形成晶体管。碳化硅衬垫111可沉积在栅极电极115和栅极电介质114的侧壁上。在另一实例中,图1C示出了沉积在空气间隙型金属化层中的暴露的铜线的侧壁上的碳化硅的横截面。空气间隙120可以被引入到铜线122之间的集成电路层中,从而可降低该层的有效k值。碳化硅衬垫121可以沉积在铜线122的侧壁上,非保形的电介质层123可沉积在空气间隙120、衬垫121、和铜线122上。这样的空气间隙型金属化层的例子可在Fei Wang等人的美国专利公开No.2004/0232552中描述,该美国专利公开以引用的方式被整体并入本文且用于所有目的。
在一些实施方案中,碳化硅膜可以沉积在图案化多孔电介质材料的侧壁上。超低k电介质材料可以由多孔结构制成。在这样的材料中的孔可以在后续层的沉积期间提供金属的入口区域,包括含有金属如钽(Ta)的扩散阻挡层的沉积。如果太多的金属迁移到介电材料中,则该介电材料可提供相邻的铜金属化线之间的短路。图1D示出了作为多孔电介质材料的孔密封剂的碳化硅的横截面。多孔电介质层132可以具有多个切入多孔电介质层132的沟槽或通孔以形成孔130。碳化硅131可以沿着孔130沉积以有效地密封孔130。用碳化硅131密封孔130可避免否则由使用等离子体的其它密封技术引起的对多孔电介质层132的损坏。碳化硅131作为孔密封剂可以是足够致密的,并且可包括非环状的含硅前体,诸如PMDSO和TMDSO。在一些实施方案中,被蚀刻的电介质材料例如多孔电介质层132可以首先通过“k-恢复”工艺进行处理,其将多孔电介质层132暴露到UV辐射和还原剂。该恢复工艺在Varadarajan等人的共同拥有的美国专利公开No.2011/0111533中被进一步描述,该美国专利公开通过引用的方式被整体并入本文以用于所有目的。在另一个“k-恢复”工艺中,多孔电介质层132可暴露于UV辐射和化学硅烷基化剂。该恢复工艺在Varadarajan等人的共同拥有的美国专利公开No.2011/0117678中被进一步描述,该美国专利公开通过引用的方式被整体并入本文并且用于所有目的。在孔130暴露于恢复处理,从而使得表面更具亲水性并提供了材料单层之后,共形地沉积的碳化硅131的层可以被沉积以有效地密封多孔电介质层132的孔。
在一些实施方案中,碳化硅膜可被沉积作为超低k电介质材料本身。超低k电介质通常被定义为那些具有比介电常数2.5低的介电常数的材料。在这样的配置中,碳化硅的超低k电介质材料可以是多孔电介质层。电介质层的孔可以通过使用包括环状硅氧烷和倍半硅氧烷的环状或笼状前体分子引入。在一个实例中,碳化硅的超低k电介质层的孔隙率可为介于约20%和50%之间。另外,超低k电介质层可具有小于约100埃的平均孔径,如介于约5埃与20埃之间的平均孔径。例如,环硅氧烷环可具有约6.7埃的半径。尽管增加孔的数目和大小可以降低介电常数,但是介电层的机械完整性在其太多孔的情况下可能受到损害。
虽然上述的一些细节为了清楚和理解的目的进行了描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,存在实现所描述的工艺、系统和装置的许多替代方式。因此,所描述的实施例应被认为是说明性的而不是限制性的。

Claims (20)

1.一种使碳化硅膜致密化的方法,该方法包括:
在反应室中提供衬底;
在沉积碳化硅膜的过程的第一步骤中,在所述衬底上沉积第一厚度的碳化硅材料;
将所述第一厚度的所述碳化硅材料暴露于远程氢等离子体处理,其中在沉积所述碳化硅膜的所述过程中,所述第一厚度的所述碳化硅材料被致密化;
在沉积所述碳化硅膜的所述过程的第二步骤中,在所述第一厚度的所述碳化硅材料上沉积第二厚度的所述碳化硅材料;以及
将所述第二厚度的所述碳化硅材料暴露于远程氢等离子体处理,其中在沉积所述碳化硅膜的所述过程中,所述第二厚度的所述碳化硅材料被致密化。
2.根据权利要求1所述的方法,其中所述第一厚度和所述第二厚度中的每一个介于约
Figure FDA0004065591510000011
和约/>
Figure FDA0004065591510000012
之间。
3.根据权利要求1所述的方法,其中沉积所述第一厚度的所述碳化硅材料包括:
(a)使一种或多种含硅前体流入所述反应室;以及
(b)使从远程等离子体源产生的一种或多种氢自由基流动以与所述一种或多种含硅前体反应持续第一时间段,其中沉积所述第二厚度的所述碳化硅材料包括重复操作(a)和(b)持续第二时间段。
4.根据权利要求3所述的方法,其中所述一种或多种含硅前体中的每一种具有(i)一个或多个硅-氢键和/或硅-硅键,和(ii)一个或多个硅-碳键、硅-氮键和/或硅-氧键。
5.根据权利要求4所述的方法,其中所述一种或多种含硅前体中的每一种选自:环状硅氧烷、线性硅氧烷、烷氧基硅烷、烷基硅烷和硅氮烷。
6.根据权利要求3-5中任一项所述的方法,其中所述氢自由基中的至少90%是基态的氢自由基。
7.根据权利要求3-5中任一项所述的方法,其中所述第一时间段不同于所述第二时间段。
8.根据权利要求3-5中任一项所述的方法,其中,所述第一时间段与所述第二时间段相同。
9.根据权利要求1所述的方法,其中将所述第一厚度的所述碳化硅材料暴露于远程氢等离子体处理包括:
(c)使氢源气流入远程等离子体源;
(d)使惰性气体与所述氢源气流动;
(e)从所述氢源气产生远程等离子体源中的氢自由基;以及
(f)使所述氢自由基流到所述第一厚度的所述碳化硅材料,其中将所述第二厚度的所述碳化硅材料暴露于远程氢等离子体处理包括在所述第二厚度的所述碳化硅材料上重复操作(c)到(f)。
10.根据权利要求9所述的方法,其中所述惰性气体是氦气,所述氦气中的氢源气具有1-10%的氢气浓度。
11.根据权利要求9所述的方法,其中所述氢自由基中的至少90%是基态的氢自由基。
12.根据权利要求9-11中任一项所述的方法,其中将所述第一厚度的所述碳化硅材料暴露于远程氢等离子体处理还包括:
(g)使共反应气体与所述源气一起流动,其中所述共反应气体包括氧气(O2)、氮气(N2)、二氧化碳(CO2)、一氧化碳(CO)、水(H2O)、甲醇(CH3OH)、臭氧(O3)、氧化亚氮(N2O)、氨(NH3)、二氮烯(N2H2)、甲烷(CH4)、乙烷(C2H6)、乙炔(C2H2)、乙烯(C2H4)、乙硼烷(B2H6)或其组合,其中将所述第二厚度的所述碳化硅材料暴露于远程氢等离子体处理还包括对所述第二厚度的所述碳化硅材料重复操作(g)。
13.根据权利要求12所述的方法,其中所述共反应气体包括O2或N2
14.根据权利要求1-3中任一项所述的方法,其中所述反应室中的压强介于约0.2托和约5托之间。
15.根据权利要求1-3中任一项所述的方法,其中,沉积所述第一厚度的所述碳化硅材料,将所述第一厚度的所述碳化硅材料暴露于远程氢等离子体处理,沉积所述第二厚度的所述碳化硅材料,以及将所述第二厚度的所述碳化硅材料暴露于远程氢等离子体处理在不引入真空破坏的情况下进行。
16.根据权利要求1-3中任一项所述的方法,其中所述衬底具有多个特征,所述特征中的每一个具有大于5:1的深宽比。
17.根据权利要求1-3中任一项所述的方法,其中在将所述第一和第二厚度的所述碳化硅材料暴露于远程氢等离子体处理之前,所述碳化硅膜包括(1)Si-O和/或Si-C键,和(2)末端CH3键、Si-OH键和/或Si-H键。
18.根据权利要求17所述的方法,其中所述远程氢等离子体处理被配置为增加Si-O和/或Si-C键的数量,并减少末端CH3键、Si-OH键和/或Si-H键在碳化硅膜中的数量。
19.根据权利要求1-3中任一项所述的方法,其中所述碳化硅膜是经掺杂的碳化硅膜,所述经掺杂的碳化硅膜包括在所述衬底上的碳氧化硅(SiCO)、碳氮化硅(SiCN)或碳氮氧化硅(SiONC)。
20.根据权利要求1-3中任一项所述的方法,其中将所述第一厚度的所述碳化硅材料暴露于远程氢等离子体处理进行介于约5秒和约50秒之间的持续时间,并且将所述第二厚度的所述碳化硅材料暴露于远程氢等离子体处理进行介于约5秒和约50秒之间的持续时间。
CN201780086632.6A 2016-12-16 2017-11-30 使用远程等离子体处理使碳化硅膜致密化 Active CN110313051B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110823900.6A CN113707542A (zh) 2016-12-16 2017-11-30 使用远程等离子体处理使碳化硅膜致密化

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/382,137 US9837270B1 (en) 2016-12-16 2016-12-16 Densification of silicon carbide film using remote plasma treatment
US15/382,137 2016-12-16
PCT/US2017/064024 WO2018111570A1 (en) 2016-12-16 2017-11-30 Densification of silicon carbide film using remote plasma treatment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110823900.6A Division CN113707542A (zh) 2016-12-16 2017-11-30 使用远程等离子体处理使碳化硅膜致密化

Publications (2)

Publication Number Publication Date
CN110313051A CN110313051A (zh) 2019-10-08
CN110313051B true CN110313051B (zh) 2023-06-09

Family

ID=60451873

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780086632.6A Active CN110313051B (zh) 2016-12-16 2017-11-30 使用远程等离子体处理使碳化硅膜致密化
CN202110823900.6A Pending CN113707542A (zh) 2016-12-16 2017-11-30 使用远程等离子体处理使碳化硅膜致密化

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110823900.6A Pending CN113707542A (zh) 2016-12-16 2017-11-30 使用远程等离子体处理使碳化硅膜致密化

Country Status (6)

Country Link
US (1) US9837270B1 (zh)
JP (1) JP2020502797A (zh)
KR (4) KR102446511B1 (zh)
CN (2) CN110313051B (zh)
TW (1) TW201835374A (zh)
WO (1) WO2018111570A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
WO2017171488A1 (ko) * 2016-03-31 2017-10-05 주식회사 엘지화학 배리어 필름의 제조 방법
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10354883B2 (en) 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
EP3514129A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
JP6862384B2 (ja) * 2018-03-21 2021-04-21 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
CN112219260A (zh) * 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
KR20230085954A (ko) * 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
WO2020101838A1 (en) 2018-11-16 2020-05-22 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
JP7311628B2 (ja) * 2019-04-30 2023-07-19 マトソン テクノロジー インコーポレイテッド メチル化処理を使用した選択的な堆積
TWI834872B (zh) * 2019-05-07 2024-03-11 美商恩特葛瑞斯股份有限公司 形成富碳之含矽膜之方法及微電子裝置
CN110684966A (zh) * 2019-10-16 2020-01-14 江苏鲁汶仪器有限公司 一种pecvd方式生长致密薄膜的方法
CN112802737A (zh) * 2019-11-13 2021-05-14 东京毅力科创株式会社 基片处理方法和基片处理装置
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
CN112201570A (zh) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 一种减少光刻胶中毒的工艺方法
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
WO2023184165A1 (zh) * 2022-03-29 2023-10-05 华中科技大学 通孔填充方法及通孔填充装置
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006332A (ja) * 2002-04-24 2004-01-08 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
CN103168344A (zh) * 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
CN105185707A (zh) * 2009-12-04 2015-12-23 诺发系统有限公司 硬掩模材料、其形成方法和设备及其用途
CN106024673A (zh) * 2015-03-26 2016-10-12 朗姆研究公司 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (ja) 1987-08-11 1998-04-02 株式会社豊田中央研究所 炭化珪素質材料の製造方法及び原料組成物
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
SE9501312D0 (sv) 1995-04-10 1995-04-10 Abb Research Ltd Method for procucing a semiconductor device
US6045877A (en) 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (ja) 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6383898B1 (en) 1999-05-28 2002-05-07 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
AU5449900A (en) 1999-06-03 2000-12-28 Penn State Research Foundation, The Deposited thin film void-column network materials
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
AU2002241936A1 (en) 2001-01-22 2002-07-30 N.V.Bekaert S.A. Copper diffusion barriers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (ko) 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030154141A1 (en) 2001-09-18 2003-08-14 Pro Corp Holdings International Ltd. Image recognition inventory management system
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (ko) 2001-11-28 2004-03-02 동부전자 주식회사 알루미늄을 이용한 구리 확산 방지 막 형성방법
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
TWI289491B (en) 2002-04-16 2007-11-11 Tadatomo Suga Reflow soldering method
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1365043B1 (de) 2002-05-24 2006-04-05 Schott Ag Vorrichtung für CVD-Beschichtungen
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
EP1799883A2 (en) 2004-08-18 2007-06-27 Dow Corning Corporation Coated substrates and methods for their preparation
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7662355B2 (en) 2004-11-29 2010-02-16 National University Corporation Tokyo University Of Agriculture And Technology Silicon nanosized linear body and a method for producing a silicon nanosized linear body
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
WO2007075369A1 (en) 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
WO2007099428A1 (en) 2006-02-28 2007-09-07 Stmicroelectronics (Crolles 2) Sas Metal interconnects in a dielectric material
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007116492A1 (ja) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5380797B2 (ja) 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
WO2008104059A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
KR20100028544A (ko) 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
EP2274458B1 (en) 2008-05-07 2020-03-25 The Trustees of Princeton University Method for protecting electronic devices by means of hybrid layers
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5123349B2 (ja) 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006332A (ja) * 2002-04-24 2004-01-08 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
CN105185707A (zh) * 2009-12-04 2015-12-23 诺发系统有限公司 硬掩模材料、其形成方法和设备及其用途
CN103168344A (zh) * 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
CN106024673A (zh) * 2015-03-26 2016-10-12 朗姆研究公司 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化

Also Published As

Publication number Publication date
JP2020502797A (ja) 2020-01-23
KR20220085847A (ko) 2022-06-22
TW201835374A (zh) 2018-10-01
CN110313051A (zh) 2019-10-08
KR20220134787A (ko) 2022-10-05
KR20190088069A (ko) 2019-07-25
KR20230137503A (ko) 2023-10-04
US9837270B1 (en) 2017-12-05
KR102446511B1 (ko) 2022-09-23
WO2018111570A1 (en) 2018-06-21
CN113707542A (zh) 2021-11-26
KR102447498B1 (ko) 2022-09-23
KR102582838B1 (ko) 2023-09-26

Similar Documents

Publication Publication Date Title
CN110313051B (zh) 使用远程等离子体处理使碳化硅膜致密化
CN109791871B (zh) 基于远程等离子体的渐变或多层的碳化硅膜的沉积
US11264234B2 (en) Conformal deposition of silicon carbide films
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102515238B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
KR102480201B1 (ko) 산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积
CN112514030A (zh) 使用含硅和含碳前体的基于远程等离子体的碳化硅膜沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant