KR102272941B1 - 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름 - Google Patents

원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름 Download PDF

Info

Publication number
KR102272941B1
KR102272941B1 KR1020167022139A KR20167022139A KR102272941B1 KR 102272941 B1 KR102272941 B1 KR 102272941B1 KR 1020167022139 A KR1020167022139 A KR 1020167022139A KR 20167022139 A KR20167022139 A KR 20167022139A KR 102272941 B1 KR102272941 B1 KR 102272941B1
Authority
KR
South Korea
Prior art keywords
substrate
trenches
carbon
air gaps
gas
Prior art date
Application number
KR1020167022139A
Other languages
English (en)
Other versions
KR20160107314A (ko
Inventor
아미트 차터지
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160107314A publication Critical patent/KR20160107314A/ko
Application granted granted Critical
Publication of KR102272941B1 publication Critical patent/KR102272941B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 실시예들은 일반적으로, 유동 가능한 탄소-함유 필름을 기판 상에 형성하기 위한 방법들에 관한 것이다. 일 실시예에서, 산소-함유 플라즈마 유출물들을 생성하기 위해 산소-함유 가스가 원격 플라즈마 영역 내로 유동되고, 탄소-함유 가스는, 기판을 수용하는 기판 프로세싱 영역에서, 산소-함유 플라즈마 유출물들과 결합된다. 탄소-함유 필름은, 기판 상에 형성되는 트렌치들에 형성되고, 저 K 유전체 재료는, 트렌치들의 탄소-함유 필름 상에 증착된다. 탄소-함유 필름은 UV 처리에 의해 분해되고(decomposed), 에어 갭들이, 저 K 유전체 재료 하의 트렌치들에 형성된다.

Description

원격 플라즈마 PECVD를 사용하는 FCVD 하드웨어에 의한 유동 가능한 탄소 필름{FLOWABLE CARBON FILM BY FCVD HARDWARE USING REMOTE PLASMA PECVD}
[0001] 본 발명의 실시예들은 일반적으로, 유동 가능한(flowable) 탄소-함유 필름을 반도체 기판 상에 형성하기 위한 방법들에 관한 것이다.
[0002] 반도체 디바이스의 기하학적 구조들(semiconductor device geometries)은, 반도체 디바이스가 수십 년 전에 도입된 이래 크기가 극적으로 감소되어왔다. 현대의 반도체 제조 장비는 45 nm, 32 nm, 및 28 nm 피쳐(feature) 크기들을 가지는 디바이스들을 일상적으로(routinely) 생산하며, 그보다 훨씬 더 작은 기하학적 구조들을 가지는 디바이스들을 제조하기 위해 새로운 장비가 개발되고 있고 구현되고 있다. 감소하는 피쳐 사이즈들은, 감소된 폭을 갖는, 디바이스 상의 구조적인 피쳐들을 초래한다. 디바이스들 상의 트렌치들(trenches) 및 갭들의 폭들은, 갭을 유전체 재료로 충전하는(filling) 것이 더욱 난제가 되도록, 협소하다(narrow). 증착되는(depositing) 유전체 재료는, 갭이 완전히 충전되기 전에 정상부를 막기가 쉬워서, 갭의 중간부에 공극(void) 또는 이음매(seam)를 생성한다.
[0003] 수년간, 갭의 정상부가 유전체 재료로 막히는 것을 방지하기 위해, 또는 형성된 공극 또는 이음매를 "치유(heal)"하기 위해 많은 기술들이 개발되어왔다. 하나의 접근법은, 회전하는(spinning) 기판 표면에 액체 상(phase)으로 도포될 수 있는 탄소-함유 유동 가능한(flowable) 재료를 이용하여 시작되었다(예를 들어, SOG 증착 기술들). 용매(solvent)를 제거한 후에, 탄소-함유 필름이 트렌치들에 형성된다. 그런 다음에, 트렌치들 내부에 에어 갭들(air gaps)을 형성하기 위해, 탄소가 필름으로부터 제거된다. 그러나, SOG 증착 기술들에 의해 형성된 탄소-함유 필름의 탄소는 제거하기 매우 어렵다.
[0004] 그러므로, 유동 가능한 탄소-함유 필름을 기판 상에 형성하기 위한 개선된 방법이 필요하다.
[0005] 본 발명의 실시예들은 일반적으로, 유동 가능한 탄소-함유 필름을 기판 상에 형성하기 위한 방법들에 관한 것이다. 일 실시예에서, 산소-함유 플라즈마 유출물들(effluents)을 생성하기 위해 산소-함유 가스가 원격 플라즈마 영역 내로 유동하고, 탄소-함유 가스는, 기판을 수용하는 기판 프로세싱 영역에서, 산소-함유 플라즈마 유출물들과 결합된다. 탄소-함유 필름은, 기판 상에 형성되는 트렌치들에 형성되고, 저 K 유전체 재료는, 트렌치들의 탄소-함유 필름 상에 증착된다. 탄소-함유 필름은 UV 처리에 의해 분해되어(decomposed), 에어 갭들이, 저 K 유전체 재료 하의 트렌치들에 형성된다.
[0006] 일 실시예에서, 기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법이 개시된다. 방법은, 유동 가능한 탄소-함유 필름을 트렌치들의 제 1 부분에 형성하는 단계를 포함하고, 상기 단계는, 탄소-함유 가스를 화학 기상 증착 챔버의 기판 프로세싱 영역에 제공하는 것, 산소-함유 플라즈마 유출물들을 형성하기 위해 산소-함유 가스를 원격 플라즈마 시스템에 제공하는 것, 플라즈마 유출물들을 기판 프로세싱 영역 내에 도입하는 것, 및 유동 가능한 탄소-함유 필름을 트렌치들의 제 1 부분에 형성하기 위해, 플라즈마 유출물들과 탄소-함유 가스를 반응시키는 것을 포함한다. 방법은, 트렌치들의 제 2 부분에, 유동 가능한 탄소-함유 필름 상에 저 K 유전체 재료를 형성하는 단계, 및 트렌치들의 제 1 부분에 에어 갭들을 형성하기 위해, 유동 가능한 탄소-함유 필름을 제거하는 단계를 더 포함한다.
[0007] 다른 실시예에서, 기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법이 개시된다. 방법은, 유동 가능한 폴리(메틸 메타크릴레이트)(poly(methyl methacrylate)) 필름을 트렌치들의 제 1 부분에 형성하는 단계를 포함하고, 상기 단계는, 메틸 메타크릴레이트 가스를 화학 기상 증착 챔버의 기판 프로세싱 영역에 제공하는 것, 화학 기상 증착 챔버에서 기판 프로세싱 영역과 별개인 플라즈마 영역에서 아르곤 및 산소-함유 플라즈마 유출물들을 형성하는 것, 플라즈마 유출물들을 기판 프로세싱 영역 내에 도입하는 것, 및 유동 가능한 폴리(메틸 메타크릴레이트) 필름을 트렌치들의 제 1 부분에 형성하기 위해, 플라즈마 유출물들과 메틸 메타크릴레이트 가스를 반응시키는 것을 포함한다. 방법은, 트렌치들의 제 1 부분에 에어 갭들을 형성하기 위해, 유동 가능한 폴리(메틸 메타크릴레이트) 필름을 제거하는 단계를 더 포함한다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이, 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은, 일 실시예에 따른, 기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법을 예시하는 흐름도이다.
[0010] 도 2는, 일 실시예에 따른 기판 프로세싱 시스템을 도시한다.
[0011] 도 3은, 일 실시예에 따른 기판 프로세싱 챔버를 도시한다.
[0012] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내는데 동일한 참조번호들이 사용되었다. 일 실시예에 개시되는 엘리먼트들이, 구체적인 언급 없이 다른 실시예들에서 유익하게 사용될 수 있다는 점이 고려된다.
[0013] 본 발명의 실시예들은 일반적으로, 유동 가능한 탄소-함유 필름을 기판 상에 형성하기 위한 방법들에 관한 것이다. 일 실시예에서, 산소-함유 플라즈마 유출물들을 생성하기 위해 산소-함유 가스가 원격 플라즈마 영역 내로 유동되고, 탄소-함유 가스는, 기판을 수용하는 기판 프로세싱 영역에서, 산소-함유 플라즈마 유출물들과 결합된다. 탄소-함유 필름은, 기판 상에 형성되는 트렌치들에 형성되고, 저 K 유전체 재료는, 트렌치들의 탄소-함유 필름 상에 증착된다. 탄소-함유 필름은 UV 처리에 의해 분해되고(decomposed), 에어 갭들이, 저 K 유전체 재료 하의 트렌치들에 형성된다.
[0014] 도 1은, 300mm 직경 웨이퍼에 대한 일 실시예에 따라, 기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법을 예시하는 흐름도(100)이다. 블록(102)에서, 탄소-함유 전구체 가스는 화학 기상 증착(CVD) 챔버의 기판 프로세싱 영역에 도입된다. 탄소-함유 전구체 가스는 탄소-함유 단량체(monomer), 예컨대, 메틸 메타크릴레이트(MMA)일 수 있고, 400 내지 600 sccm(standard cubic centimeters per minute)의 유량을 가질 수 있다. 일 실시예에서, 탄소-함유 전구체 가스는 실리콘을 함유하지 않는다. 탄소-함유 전구체 가스는 이중-구역(dual-zone) 샤워헤드를 통해 기판 프로세싱 영역에 도입될 수 있다.
[0015] 탄소-함유 전구체 가스에 부가하여, 블록(104)에서, 산소-함유 플라즈마 유출물들이 또한, CVD 챔버의 기판 프로세싱 영역에 도입된다. 일 실시예에서, 산소-함유 플라즈마 유출물들은 또한, 아르곤 플라즈마 유출물들을 포함한다. 산소 및 아르곤-함유 플라즈마 유출물들은, 산소 및 아르곤-함유 가스, 예컨대, 산소 및 아르곤 가스들의 혼합물을 원격 플라즈마 시스템을 통해 유동시킴으로써 형성된다. 일 실시예에서, 산소 가스는 100sccm의 유량을 갖고, 아르곤 가스는 1000 내지 2000sccm의 유량을 갖는다. 산소 및 아르곤-함유 가스는, CVD 챔버 내부 또는 외부에 포지셔닝된 원격 플라즈마 시스템에서 형성된 플라즈마에 의해 에너자이징될(energized) 수 있다. 산소 및 아르곤-함유 가스는 CVD 챔버 내부의 플라즈마 영역에서 여기될(excited) 수 있다. 이러한 플라즈마 영역은 기판 프로세싱 영역으로부터 분할될(partitioned) 수 있다. 산소 및 아르곤-함유 가스는, 산소 및 아르곤-함유 가스가 해리되고(dissociated), 라디칼화되고(radicalized), 그리고/또는 그렇지 않으면 산소 및 아르곤-함유 플라즈마 유출물들로 변환되는(transformed) 원격 플라즈마에 노출될 수 있다. 그런 다음에, 플라즈마 영역에서 형성된 플라즈마 유출물들은 이중-구역 샤워헤드를 통해 기판 프로세싱 영역에 도입된다.
[0016] 그 다음, 블록(106)에서, 산소-함유 플라즈마 유출물들은 기판 프로세싱 영역에서 탄소-함유 전구체 가스와 반응하여, 기판 상에 형성된 트렌치들에, 유동 가능한 탄소-함유 필름을 형성한다. 반응은 상온(room temperature), 예컨대, 섭씨 20도에서 일어날 수 있다. MMA가 탄소-함유 가스로서 사용되는 실시예에서는, 유동 가능한 폴리(메틸 메타크릴레이트)(PMMA)가 트렌치들에 형성된다.
[0017] 유동 가능한 탄소-함유 필름은 오직, 각각의 트렌치의 부분만 충전하고, 각각의 트렌치의 남은 부분은 저 K 유전체 재료, 예컨대, 탄소 도핑된 실리콘 옥사이드(carbon doped silicon oxide)로 충전된다. 블록(108)에서, 저 K 유전체 재료는 트렌치들의 탄소-함유 필름 상에 증착된다. 그 다음, 블록(110)에서, 각각의 트렌치 내의 유동 가능한 탄소-함유 필름은 자외선(UV) 처리에 의해 제거되어, 트렌치들의 바닥부 부분에 형성된 에어 갭들을 남긴다. 상기 설명된 바와 같이 증착된 유동 가능한 PMMA 필름은 UV 처리를 이용하여 제거하기가 상대적으로 쉽다.
[0018] 기판 상에 형성된 트렌치들 내부에 에어 갭들을 형성하기 위한 방법은, 증착 시스템들, 예컨대, 캘리포니아 주 산타 클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 PRODUCER® 플라즈마 강화 CVD (PECVD) 시스템에 의해 수행될 수 있다. 도 2는, 일 실시예에 따른 기판 프로세싱 시스템(200)을 도시한다. 도 2에 도시된 바와 같이, FOUP들(front opening unified pods)(202)의 쌍은, 기판 프로세싱 챔버들(208a-208f) 중 하나 내로 배치되기 전에, 로봇 아암들(204)에 의해서 수용되고 저압 유지 지역(206) 내로 배치되는 기판들을 공급한다. 제 2 로봇 아암(210)은, 기판들을 유지 지역(206)으로부터 기판 프로세싱 챔버들(208a-208f)로 그리고 역으로(back) 운송하기 위해 사용될 수 있다.
[0019] 기판 프로세싱 챔버들(208a-208f)은, 기판 상에 형성된 트렌치들의 유동 가능한 탄소-함유 필름, 예컨대, PMMA 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 또는 그 초과의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 프로세싱 챔버의 2개의 쌍들(예컨대, 208c-208d 및 208e-208f)은, 유동 가능한 탄소-함유 필름을 트렌치들에 증착시키기 위해 사용될 수 있고, 프로세싱 챔버들의 제 3 쌍(예컨대, 208a-208b)은, 증착된 필름을 처리하기 위해, 예컨대, UV 처리를 수행하기 위해 사용될 수 있다.
[0020] 도 3은, 일 실시예에 따른 기판 프로세싱 챔버(300)이다. 원격 플라즈마 시스템(310)은, 이후에 가스 유입구 조립체(gas inlet assembly; 311)를 통해 이동하는 가스를 프로세싱할 수 있다. 가스 유입구 조립체(311) 내에서 2개의 별개의 가스 공급 채널들을 볼 수 있다. 제 1 채널(312)은 원격 플라즈마 시스템(310)을 통과하는 가스를 운반하고, 제 2 채널(313)은 원격 플라즈마 시스템(310)를 바이패싱한다(bypass). 덮개(321)와 샤워헤드(353)는 절연 링(324)을 사이에 두고 도시되어 있으며, 그러한 절연 링은 AC 전위가 샤워헤드(353)에 대하여 덮개(321)에 인가되는 것을 허용한다. 프로세스 가스는 제 1 채널(312)을 통해 챔버 플라즈마 영역(320) 내로 이동하며, 원격 플라즈마 시스템(310)과 조합하여 또는 단독으로 챔버 플라즈마 영역(320)에서 플라즈마에 의해 여기될 수 있다. 챔버 플라즈마 영역(320) 및/또는 원격 플라즈마 시스템(310)의 조합은 본원에서 원격 플라즈마 시스템으로 지칭될 수 있다. 아르곤 및 산소-함유 가스는, 원격 플라즈마 시스템에 의해, 아르곤 및 산소-함유 플라즈마 유출물들로 변환될 수 있다. 샤워헤드(353)는, 챔버 플라즈마 영역(320)을 샤워헤드(353) 아래의 기판 프로세싱 영역(370)으로부터 분리시킨다. 샤워헤드(353)는, 챔버 플라즈마 영역(320)에 존재하는 플라즈마가, 기판 프로세싱 영역(370) 내의 가스들을 직접 여기시키는 것을 피하는 것을 허용하는데, 이는 여전히, 여기된 종, 예컨대, 플라즈마 유출물들이, 챔버 플라즈마 영역(320)으로부터 기판 프로세싱 영역(370) 내로 이동하는 것을 허용한다.
[0021] 샤워헤드(353)는, 플라즈마 유출물들, 예컨대, 플라즈마 영역(320) 내에서 생성된 아르곤 및 산소-함유 플라즈마 유출물들이, 샤워헤드(353)의 두께를 횡단하는 복수의 관통홀들(throughholes; 356)을 통과함으로써 기판 프로세싱 영역(370) 내로 이동하는 것을 허용하는 이중-구역 샤워헤드일 수 있다. 각각의 관통홀(356)은 플라즈마 영역(320)을 향하는 개구부(350)를 가질 수 있고, 개구부(350)는 관통홀(356)의 직경보다 더 작은 직경을 가질 수 있다. 샤워헤드(353)는 또한, 하나 또는 그 초과의 중공 용적들(hallow volumes; 351)을 가지며, 그러한 용적들은, 증기 또는 가스 형태의 전구체(예컨대, 탄소-함유 전구체 가스)로 충전될 수 있고, 작은 홀들(355)을 통해 기판 프로세싱 영역(370) 내로 통과하지만, 플라즈마 영역(320) 내로는 직접적으로 통과하지 않는다.
[0022] 광통홀들(356)의 개수는 약 60 내지 약 2000개일 수 있다. 관통홀들(356)은 다양한 형상들을 가질 수 있으나, 가장 용이하게는 라운드형(round)으로 제조된다. 개구부(350)의 직경은 약 0.5 mm 내지 약 20 mm 또는 약 1 mm 내지 약 6 mm일 수 있다. 또한, 관통홀들(356)의 단면 형상을 선택하는데 있어서 자유(latitude)가 있으며, 이러한 관통홀들은 원뿔형, 원통형, 또는 2개의 형상들의 조합으로 만들어질 수 있다. 상이한 실시예들에서, 가스를 기판 프로세싱 영역(370) 내에 도입하기 위해 사용되는 작은 홀들(355)의 개수는, 약 100 내지 약 5000개 또는 약 500 내지 약 2000개일 수 있다. 작은 홀들(355)의 직경은 약 0.1㎜ 내지 약 2㎜일 수 있다.
[0023] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들은 본 발명의 기본적인 범위로부터 벗어나지 않고 안출될 수 있으며, 본 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (14)

  1. 기판 상에 형성된 트렌치들(trenches)에 에어 갭들(airgaps)을 형성하기 위한 방법으로서,
    상기 트렌치들의 제 1 부분에, 유동 가능한(flowable) 탄소-함유 필름을 형성하는 단계 - 상기 단계는,
    탄소-함유 가스를 화학 기상 증착 챔버의 기판 프로세싱 영역에 제공하는 것;
    산소-함유 플라즈마 유출물들(effluents)을 형성하기 위해 산소-함유 가스를 원격(remote) 플라즈마 시스템에 제공하는 것;
    상기 플라즈마 유출물들을 상기 기판 프로세싱 영역 내에 도입하는 것; 및
    상기 유동 가능한 탄소-함유 필름을 상기 트렌치들의 제 1 부분에 형성하기 위해, 상기 플라즈마 유출물들과 상기 탄소-함유 가스를 반응시키는 것을 포함함 -;
    상기 트렌치들의 제 2 부분의 상기 유동 가능한 탄소-함유 필름 상에 저 K 유전체 재료(low K dielectric material)를 형성하는 단계; 및
    상기 트렌치들의 제 1 부분에 에어 갭들을 형성하기 위해, 상기 유동 가능한 탄소-함유 필름을 제거하는 단계를 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 탄소-함유 가스는 메틸 메타크릴레이트(methyl methacrylate)를 포함하고, 상기 유동 가능한 탄소-함유 필름은 폴리(메틸 메타크릴레이트)(poly(methyl methacrylate))를 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 산소-함유 가스는 산소 가스 및 아르곤 가스를 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  4. 제 3 항에 있어서,
    300mm 웨이퍼에 대해, 상기 메틸 메타크릴레이트는 400 내지 600sccm과 같은 유량을 갖는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  5. 제 3 항에 있어서,
    300mm 웨이퍼에 대해, 상기 산소 가스는 100sccm과 같은 유량을 갖고, 상기 아르곤 가스는 1000 내지 2000sccm과 같은 유량을 갖는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 저 K 유전체 재료는 탄소 도핑된 실리콘 옥사이드(carbon doped silicon oxide)를 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 유동 가능한 탄소-함유 필름은 UV 처리에 의해 제거되는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  8. 기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법으로서,
    상기 트렌치들의 제 1 부분에, 유동 가능한 폴리(메틸 메타크릴레이트) 필름을 형성하는 단계 - 상기 단계는,
    메틸 메타크릴레이트 가스를 화학 기상 증착 챔버의 기판 프로세싱 영역에 제공하는 것;
    상기 화학 기상 증착 챔버에서 상기 기판 프로세싱 영역과 별개인 플라즈마 영역에서 아르곤 및 산소-함유 플라즈마 유출물들을 형성하는 것;
    상기 플라즈마 유출물들을 상기 기판 프로세싱 영역 내에 도입하는 것; 및
    상기 유동 가능한 폴리(메틸 메타크릴레이트) 필름을 상기 트렌치들의 제 1 부분에 형성하기 위해, 상기 플라즈마 유출물들과 상기 메틸 메타크릴레이트 가스를 반응시키는 것을 포함함 -; 및
    상기 트렌치들의 제 1 부분에 에어 갭들을 형성하기 위해, 상기 유동 가능한 폴리(메틸 메타크릴레이트) 필름을 제거하는 단계를 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 아르곤 및 산소-함유 플라즈마 유출물들을 형성하는 것은, 산소 가스 및 아르곤 가스를 원격 플라즈마 시스템으로 유동시키는 것을 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  10. 제 9 항에 있어서,
    300mm 웨이퍼에 대해, 상기 산소 가스는 100sccm과 같은 유량을 갖고, 상기 아르곤 가스는 1000 내지 2000sccm과 같은 유량을 갖는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  11. 제 8 항에 있어서,
    300mm 웨이퍼에 대해, 상기 메틸 메타크릴레이트 가스는 400 내지 600sccm과 같은 유량을 갖는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  12. 제 8 항에 있어서,
    상기 트렌치들의 제 2 부분의 상기 유동 가능한 폴리(메틸 메타크릴레이트) 필름 상에 저 K 유전체 재료를 형성하는 단계를 더 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 저 K 유전체 재료는 탄소 도핑된 실리콘 옥사이드를 포함하는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
  14. 제 8 항에 있어서,
    상기 유동 가능한 탄소-함유 필름은 UV 처리에 의해 제거되는,
    기판 상에 형성된 트렌치들에 에어 갭들을 형성하기 위한 방법.
KR1020167022139A 2014-01-13 2014-12-12 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름 KR102272941B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/153,807 US9219006B2 (en) 2014-01-13 2014-01-13 Flowable carbon film by FCVD hardware using remote plasma PECVD
US14/153,807 2014-01-13
PCT/US2014/070108 WO2015105628A1 (en) 2014-01-13 2014-12-12 Flowable carbon film by fcvd hardware using remote plasma pecvd

Publications (2)

Publication Number Publication Date
KR20160107314A KR20160107314A (ko) 2016-09-13
KR102272941B1 true KR102272941B1 (ko) 2021-07-02

Family

ID=53521964

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167022139A KR102272941B1 (ko) 2014-01-13 2014-12-12 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름

Country Status (6)

Country Link
US (1) US9219006B2 (ko)
JP (1) JP2017505548A (ko)
KR (1) KR102272941B1 (ko)
CN (1) CN105900214B (ko)
TW (1) TWI618187B (ko)
WO (1) WO2015105628A1 (ko)

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180148832A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable carbon films using hot wire chemical vapor deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP2021528850A (ja) * 2018-06-20 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 炭素間隙充填膜
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110034035A1 (en) 2009-08-06 2011-02-10 Applied Materials, Inc. Stress management for tensile films

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
MY128644A (en) 2000-08-31 2007-02-28 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20040137757A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8765573B2 (en) 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110034035A1 (en) 2009-08-06 2011-02-10 Applied Materials, Inc. Stress management for tensile films

Also Published As

Publication number Publication date
KR20160107314A (ko) 2016-09-13
JP2017505548A (ja) 2017-02-16
US20150200125A1 (en) 2015-07-16
US9219006B2 (en) 2015-12-22
TW201532189A (zh) 2015-08-16
CN105900214B (zh) 2020-04-17
WO2015105628A1 (en) 2015-07-16
CN105900214A (zh) 2016-08-24
TWI618187B (zh) 2018-03-11

Similar Documents

Publication Publication Date Title
KR102272941B1 (ko) 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름
US9378969B2 (en) Low temperature gas-phase carbon removal
KR102605757B1 (ko) 플라즈마 강화 원자 층 식각의 방법
KR102439785B1 (ko) 무-할로겐 기상 실리콘 에칭
KR102454422B1 (ko) 유동성 증착에 의한 저-k 유전체 갭필
KR102479806B1 (ko) 유동성 저-k 유전체 갭필 처리
US9406523B2 (en) Highly selective doped oxide removal method
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
US20180261686A1 (en) Transistor sidewall formation process
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
TWI541890B (zh) 選擇性抑制含有矽及氧兩者之材料的乾式蝕刻速率
JP6298059B2 (ja) 差異的な酸化ケイ素エッチング
TWI520212B (zh) 選擇性氮化鈦蝕刻
TW202335085A (zh) 改良的鍺蝕刻系統及方法
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
JP2018533218A (ja) 表面毒化処理によるボトムアップ式間隙充填
US20150252477A1 (en) In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
KR20120043073A (ko) 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성
JP2014507797A (ja) ラジカル蒸気cvd
KR102581284B1 (ko) 공극을 형성하기 위한 시스템들 및 방법들
Ventzek et al. Atomic precision device fabrication using cyclic self-limiting plasma processes: involving silicon, silicon nitride, and silicon dioxide
US11328909B2 (en) Chamber conditioning and removal processes
US10886137B2 (en) Selective nitride removal
JP6782546B2 (ja) プラズマ化学気相蒸着装置および方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant