KR102479806B1 - 유동성 저-k 유전체 갭필 처리 - Google Patents

유동성 저-k 유전체 갭필 처리 Download PDF

Info

Publication number
KR102479806B1
KR102479806B1 KR1020177011892A KR20177011892A KR102479806B1 KR 102479806 B1 KR102479806 B1 KR 102479806B1 KR 1020177011892 A KR1020177011892 A KR 1020177011892A KR 20177011892 A KR20177011892 A KR 20177011892A KR 102479806 B1 KR102479806 B1 KR 102479806B1
Authority
KR
South Korea
Prior art keywords
silicon
carbon
oxygen
low
containing precursor
Prior art date
Application number
KR1020177011892A
Other languages
English (en)
Other versions
KR20170063911A (ko
Inventor
키란 브이. 타나디
아브히지트 바수 말리크
산제이 카마트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170063911A publication Critical patent/KR20170063911A/ko
Application granted granted Critical
Publication of KR102479806B1 publication Critical patent/KR102479806B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Abstract

패터닝된 기판 상에 유동성 저-k 유전체 막을 형성하기 위한 방법들이 설명된다. 막은 실리콘-탄소-산소(Si-C-O) 층일 수 있으며, 그 실리콘-탄소-산소(Si-C-O) 층에서, 실리콘 및 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래하는 한편, 산소는 원격 플라즈마 구역에서 활성화된 산소-함유 전구체로부터 유래할 수 있다. 증착 직후에, 실리콘-탄소-산소 층은, 경화 전에, 암모니아와 같은 수소-및-질소-함유 전구체에 대한 노출에 의해 처리된다. 처리는 실리콘-탄소-산소 층으로부터 잔여의 수분을 제거할 수 있고, 경화 및 후속 프로세싱 동안에 격자가 더 복원적(resilient)이게 만들 수 있다. 처리는 후속 프로세싱 동안의 실리콘-탄소-산소 층의 수축을 감소시킬 수 있다.

Description

유동성 저-K 유전체 갭필 처리{FLOWABLE LOW-K DIELECTRIC GAPFILL TREATMENT}
[0001] 본 발명의 실시예들은 기판 갭(gap)들 내로 저-k 유전체를 유동성 증착하는 것에 관한 것이다.
[0002] 반도체 회로 엘리먼트들의 소형화(miniaturization)는, 28 nm, 22 nm, 그리고 심지어 14 nm의 피처(feature) 사이즈들이 상업적인 규모(commercial scale)로 제조되는 지점에 도달하였다. 치수들이 계속해서 더 작아짐에 따라, 전기적인 크로스-토크(electrical cross-talk)를 방지하는 유전체 재료로 회로 엘리먼트들 사이의 갭을 충전(filling)하는 것과 같은 프로세스 단계들에 대해 새로운 난제(challenge)들이 발생한다. 엘리먼트들 사이의 폭이 계속해서 축소됨에 따라, 종종, 엘리먼트들 사이의 갭은 더 높아지고(taller) 더 좁아져서, 유전체 재료가 움직일 수 없게 되어(getting stuck) 공극(void)들 또는 약한 시임(seam)들을 생성하게 되는 것 없이 갭을 충전하는 것을 어렵게 한다. 종래의 화학 기상 증착(CVD) 기법들은 종종, 갭이 완전히 충전되기 전에 갭의 상단에서 재료의 과성장(overgrowth)을 경험한다. 이는, 증착되는 유전체 재료가 과성장에 의해 조기에 차단(cut off)되는 경우에 갭에서 공극 또는 시임을 생성할 수 있고; 때때로 브레드로핑(breadloafing)이라고 지칭되는 문제를 생성할 수 있다.
[0003] 브레드로핑 문제에 대한 하나의 해법은, 더 쉽게 갭들 내로 유동하는 유전체 시재료(starting material)들에 대해 액체 전구체들을 사용하는 것이었다. 이를 행하기 위해 현재 상업적으로 사용되고 있는 기법은 스핀-온-글래스(SOG)라고 호칭된다. 더 최근에, CVD에 의해 증착되는 유전체 재료들에 유동성 특성들을 부여하는 기법들이 개발되어 왔다. 이러한 기법들은, 공극들 또는 약한 시임들을 생성하는 빈도(incidence)를 감소시키면서, 높고 좁은 갭을 충전하기 위해 유동성 전구체들을 증착할 수 있다. 새로운 유동성 CVD 기법들이, 실리콘 산화물과 같은 유전체 재료들로, 높고 좁은(즉, 고 종횡비(high-aspect ratio)) 갭들을 충전하는데에 있어서, 상당한 타개책을 제시하지만, 여전히, 저-k 유전체 재료들로 그러한 갭들을 시임이 없게(seamlessly) 충전할 수 있는 기법들에 대한 필요성이 존재한다. 본원은, 기판 상에 실리콘-및-탄소 함유 유전체 재료들을 형성하기 위한 유동성 CVD 기법들을 설명함으로써, 이러한 필요성을 처리한다.
[0004] 패터닝된 기판 상에 유동성 저-k 유전체 막을 형성하기 위한 방법들이 설명된다. 막은 실리콘-탄소-산소(Si-C-O) 층일 수 있으며, 그 실리콘-탄소-산소(Si-C-O) 층에서, 실리콘 및 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래하는 한편, 산소는 원격 플라즈마 구역에서 활성화된 산소-함유 전구체로부터 유래할 수 있다. 증착 직후에, 실리콘-탄소-산소 층은, 경화 전에, 암모니아와 같은 수소-및-질소-함유 전구체에 대한 노출에 의해 처리된다. 처리는 실리콘-탄소-산소 층으로부터 잔여의 수분을 제거할 수 있고, 경화 및 후속 프로세싱 동안에 격자가 더 복원적(resilient)이게 만들 수 있다. 처리는 후속 프로세싱 동안의 실리콘-탄소-산소 층의 수축을 감소시킬 수 있다.
[0005] 본 발명의 실시예들은 저-k 유전체 막을 프로세싱하는 방법들을 포함한다. 방법들은 기판 상에 실리콘-탄소-산소 막을 형성하는 단계를 포함한다. 실리콘-탄소-산소 막은 실리콘, 탄소, 및 산소를 포함한다. 방법들은 수소-및-질소-함유 전구체를 포함하는 분위기(atmosphere)에 실리콘-탄소-산소 막을 노출시키는 단계를 더 포함한다. 방법들은, 수소-및-질소-함유 전구체를 포함하는 분위기에 실리콘-탄소-산소 막을 노출시킨 후에, UV-광 또는 전자 빔 중 적어도 하나에 실리콘-탄소-산소 막을 노출시키는 단계를 더 포함한다.
[0006] 본 발명의 실시예들은 트렌치(trench)를 충전하는 방법들을 포함한다. 방법들은 기판 프로세싱 챔버의 기판 프로세싱 구역 내로 트렌치를 포함하는 패터닝된 기판을 이송하는 단계를 포함한다. 방법들은 라디칼-산소 전구체를 형성하기 위해, 원격 플라즈마를 점화하면서, 원격 플라즈마 구역 내로 산소-함유 전구체를 유동시키는 단계를 더 포함한다. 방법들은 임의임 플라즈마를 통해 실리콘-및-탄소-함유 전구체를 먼저 통과시키지 않으면서, 기판 프로세싱 구역 내로 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 더 포함한다. 방법들은 기판 프로세싱 구역 내로 라디칼-산소 전구체를 유동시키는 단계를 더 포함한다. 방법들은 패터닝된 기판 상에 저-k 유전체 막을 형성하기 위해, 기판 프로세싱 구역에서 실리콘-및-탄소-함유 전구체와 라디칼-산소 전구체를 조합하는 단계를 더 포함한다. 저-k 유전체 막의 형성 동안에, 저-k 유전체 막의 부분이 패터닝된 기판 상에 증착되고, 패터닝된 기판의 표면을 따라 유동하여, 트렌치를 충전한다. 방법들은 패터닝된 기판을 처리하고, 처리된 저-k 유전체 막을 형성하기 위해, 기판 프로세싱 구역 내로 수소-및-질소-함유 전구체를 유동시키는 단계를 더 포함한다.
[0007] 본 발명의 실시예들은 트렌치를 충전하는 방법들을 포함한다. 방법들은 기판 프로세싱 챔버의 기판 프로세싱 구역 내로 트렌치를 포함하는 패터닝된 기판을 이송하는 단계를 포함한다. 방법들은 플라즈마 유출물들을 형성하기 위해, 원격 플라즈마를 점화하면서, 원격 플라즈마 구역 내로 분자 산소를 유동시키는 단계를 더 포함한다. 방법들은 원격 플라즈마 구역과 기판 프로세싱 구역 사이에 배치되고 경계를 형성하는 샤워헤드에서의 스루-홀들을 통해 기판 프로세싱 구역 내로 플라즈마 유출물들을 유동시키는 단계를 더 포함한다. 방법들은 임의의 플라즈마를 통해 실리콘-및-탄소-함유 전구체를 먼저 통과시키지 않으면서, 기판 프로세싱 구역 내로 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 더 포함한다. 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O:Si 비율을 갖는다. 방법들은 패터닝된 기판 상에 저-k 유전체 막을 형성하기 위해, 기판 프로세싱 구역에서 실리콘-및-탄소-함유 전구체와 플라즈마 유출물들을 조합하는 단계를 더 포함한다. 방법들은 패터닝된 기판을 처리하고, 처리된 저-k 유전체 막을 형성하기 위해, 기판 프로세싱 구역 내로 암모니아를 유동시키는 단계를 더 포함한다. 기판 프로세싱 구역에 플라즈마가 없다. 방법들은 자외선 광에 대한 노출에 의해, 처리된 저-k 유전체 막을 경화시키는 단계를 더 포함한다.
[0008] 부가적인 실시예들 및 특징들이 하기의 설명에서 일부 개진되며, 일부는 본 발명의 실시에 의해 학습될 수 있거나 또는 본 명세서의 검토 시에 당업자에게 명백하게 될 것이다. 본 발명의 특징들 및 유리한 점들은 본 명세서에 설명된 수단들(instrumentalities), 조합들, 및 방법들에 의해 실현 및 달성될 수 있다.
[0009] 본 명세서의 나머지 부분들 및 도면들을 참조함으로써, 본 발명의 본질 및 유리한 점들의 추가적인 이해가 실현될 수 있다.
[0010] 도 1은 실시예들에 따른, 기판 상에 갭필(gapfill) 저-k 유전체 막을 형성하는 방법에서의 선택된 단계들을 예시하는 흐름도이다.
[0011] 도 2는 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법에서의 선택된 단계들을 예시하는 흐름도이다.
[0012] 도 3은 본 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시한다.
[0013] 도 4a는 본 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시한다.
[0014] 도 4b는 본 발명의 실시예들에 따른 가스 분배 샤워헤드를 도시한다.
[0015] 패터닝된 기판 상에 유동성 저-k 유전체 막을 형성하기 위한 방법들이 설명된다. 막은 실리콘-탄소-산소(Si-C-O) 층일 수 있으며, 그 실리콘-탄소-산소(Si-C-O) 층에서, 실리콘 및 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래하는 한편, 산소는 원격 플라즈마 구역에서 활성화된 산소-함유 전구체로부터 유래할 수 있다. 증착 직후에, 실리콘-탄소-산소 층은, 경화 전에, 암모니아와 같은 수소-및-질소-함유 전구체에 대한 노출에 의해 처리된다. 처리는 실리콘-탄소-산소 층으로부터 잔여의 수분을 제거할 수 있고, 경화 및 후속 프로세싱 동안에 격자가 더 복원적이게 만들 수 있다. 처리는 후속 프로세싱 동안의 실리콘-탄소-산소 층의 수축을 감소시킬 수 있다.
[0016] 저-k 유전체 재료들은 막들 내에 세공들을 삽입하고, 그리고/또는 선천적으로 저-k를 나타내는 재료들을 선택함으로써 달성된다. 저-k 유전체 재료들은 특히, 증착-후 프로세싱 동안에, 패터닝된 기판의 온도가 상승되는 경우에, 수축되는 경향을 가질 수 있다. 증착 후의 암모니아 처리(또는 다른 적합한 수소-및-질소-함유 전구체)는, 후속 프로세싱에 대한 준비로, 결정 격자를 안정화시키면서, 제어되는 방식으로 컴포넌트들(예컨대, 수분)을 제거할 수 있다. 본원에서 제시되는 기법들을 사용하여 생성되는 저-k 유전체 막들은, 증착-후 프로세싱 동안에, 그리고 생성된 반도체 디바이스들의 수명 동안에, 훨씬 더 적은 수축을 나타낼 수 있다. 감소된 수축은, (1) 전도성 엘리먼트들 사이의 크로스-토크를 감소시킴으로써, 그리고 (2) 생산 수율을 증가시키는 더 큰 물리적인 안정성을 제공함으로써, 반도체 디바이스의 동작에 이익을 준다. 수소-및-질소-함유 전구체로부터의 일부 질소는 처리 동안에 저-k 층에 부가될 수 있지만, 농도가 매우 낮을 수 있고, 이는 저-k 층의 유전 상수가 낮게 유지될 수 있게 한다.
[0017] 본 발명을 더 양호하게 이해하고 인식하기 위해, 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법(101)에서의 선택된 단계들을 예시하는 흐름도인 도 1이 이제 참조된다. 동작(110)에서, 플라즈마 유출물들을 형성하기 위해, 원격 플라즈마 구역에서의 원격 플라즈마 내로 산소-함유 전구체가 유동된다. 산소-함유 전구체는, 기판 프로세싱 챔버 외부에 위치된 원격 플라즈마 시스템(RPS), 및/또는 기판 프로세싱 챔버 내에 있지만 샤워헤드와 같은 제한(restriction)에 의해 기판 프로세싱 구역으로부터 파티셔닝된(partitioned) 원격 플라즈마 구역에서 형성되는 원격 플라즈마에서 에너자이징된다(energized). 산소-함유 전구체는 원격 플라즈마에서 여기될 수 있다. (위치와 무관하게) 원격 플라즈마에서, 산소-함유 전구체는, 플라즈마 유출물들 내에서의 라디칼-산소 전구체로 해리될(dissociated) 수 있고, 그리고/또는 라디칼화될(radicalized) 수 있고, 그리고/또는 그렇지 않으면, 변환될(transformed) 수 있다. 예컨대, 산소-함유 전구체의 소스가 O2인 경우에, 라디칼-산소 전구체는 ·O, ·O2, O3, 및 산소 라디칼들 중 하나 또는 그 초과를 포함할 수 있다. 그 후에, 라디칼-산소 전구체는 샤워헤드와 같은 제한을 통해 기판 프로세싱 구역 내로 유동된다. 독립적으로, 동작(120)에서, 실리콘-및-탄소-함유 전구체가 기판 프로세싱 구역 내로 유동된다. 라디칼-산소 전구체는, 기판 프로세싱 구역에서, 실리콘-및-탄소-함유 전구체와 처음으로 혼합될 수 있다(동작(130)). 실시예들에 따르면, 실리콘-및-탄소-함유 전구체는, 기판 프로세싱 구역에 진입하기 전에, 어떠한 플라즈마를 통해서도 유동하지 않았다. 패터닝된 기판의 부근에서의 실리콘-및-탄소-함유 전구체와 라디칼-산소 전구체의 조합은 패터닝된 기판 상에 유동성 저-k 유전체 막(예컨대, 유동성 실리콘-탄소-산소 막)을 형성한다. 유동성 저-k 유전체 막은, 심지어 낮은 기판 온도들에서도 제한된 기하형상들을 충전하는 것을 용이하게 하기 위해, 증착 동안에 기판 상에서 유동할 수 있다.
[0018] 산소-함유 전구체는, 오존(O3), 분자 산소(O2), 원자 산소(O), NO, N2O, 및 NO2 중 하나 또는 그 초과를 포함할 수 있다. 실시예들에 따르면, 산소-함유 전구체는 수소(H2), 질소(N2), 헬륨, 네온, 아르곤과 같은 하나 또는 그 초과의 부가적인 가스들을 수반할 수 있다. 플라즈마 유출물들은, 적어도 하나의 언페어링된(unpaired) 전자를 갖는 임의의 산소-함유 컴포넌트들로서 정의되는 라디칼-산소 전구체를 포함한다. 라디칼-산소 전구체는, 실시예들에서 실리콘-탄소-산소 막일 수 있는 증착된 저-k 막에서의 산소 성분 중 일부 또는 전부를 제공할 수 있다. 몇몇 실시예들에 따르면, 산소-전구체는, 유동성 및 비교적 저-k인 유전체 갭필의 형성을 촉진하기 위해 무-질소(nitrogen-free)일 수 있다. 유동성 저-k 유전체 막은 패터닝된 기판에서의 트렌치들을 충전하는 것을 돕기 위해 본원에서 설명되는 낮은 기판 온도들에서 증착 동안에 표면 상에서 유동할 수 있다. 유사하게, 실시예들에 따르면, 저-k 유전체의 형성을 촉진하기 위해, 원격 플라즈마 구역에 질소가 없을 수 있다(예컨대, 질소(N2)가 없음). 예컨대, 실시예들에서, 산소-함유 전구체는 오존, 분자 산소, 및 원자 산소를 포함할 수 있다. 이러한 경우에서, 라디칼-산소(플라즈마 유출물들의 컴포넌트)가 또한 무-질소일 것이다. 실시예들에서, 실리콘-탄소-산소 막은 무-질소일 수 있다. 실시예들에 따르면, 산소-전구체는 또한, 증착된 실리콘-탄소-산소 층에 대한 탄소 중 적어도 일부를 제공하는 탄소를 함유할 수 있다.
[0019] 실리콘-및-탄소-함유 전구체는 산소를 더 함유할 수 있다. 실시예들에 따르면, 실리콘-및-탄소-함유 전구체는, 1 또는, 3 미만의 Si-O 대 Si 비율을 보유할 수 있다. 이러한 비율들은, 본원에서 설명되는 방법들을 사용하는 유동성 저-k 유전체 막들의 생성과 상관된다. Si-O 대 Si 비율은, 전구체에서의 Si-O 결합들의 수를 세고, 전구체에서의 실리콘 원자들의 수로 나눔으로써 계산된다. 예컨대, 실리콘-및-탄소-함유 전구체는 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane) 또는 테트라메틸시클로테트라실록산(tetramethylcyclotetrasiloxane)일 수 있고, 이들은 각각, 1의 Si-O:Si 비율을 갖고, 감소되는 유전 상수 및 유동성의 증가되는 정도와 상관되는 것으로 발견되었다. 실시예들에서, 실리콘-및-탄소-함유 전구체는, 무-질소 저-k 유전체의 생성을 가능하게 하기 위해 무-질소일 수 있다.
[0020] 실시예들에 따르면, 부가적인 실리콘-및-탄소-함유 전구체는 유동성 저-k 유전체 막의 특성들을 튜닝하기 위해, 실리콘-및-탄소-함유 전구체와 조합될 수 있다. 실시예들에서, 제 2 실리콘-및-탄소-함유 전구체는 4 또는 2 초과의 Si-O 대 Si 비율을 보유할 수 있다. 이러한 비율들은 덜 유동성이고, 덜 저-k이지만, 더 큰 강도를 갖는 막의 생성과 상관된다. 실시예들에 따르면, 더 강한 막들은 Si-O:Si=1 또는 Si-O:Si=2 전구체들의 더 높은 농도로 제조된 막들과 비교하여, 더 큰 체적 탄성률(bulk modulus) 또는 더 큰 전단 탄성률(shear modulus)을 가질 수 있다. 제 2 실리콘-및-탄소-함유 전구체는 4의 Si-O:Si 비율을 갖는 테트라메틸 오르토실리케이트일 수 있다.
[0021] 초기-증착되는 실리콘-탄소-산소 층의 유동성은, 라디칼 산소 전구체를 실리콘-및-탄소-함유 전구체와 혼합시키는 것으로부터 기인하는 다양한 특성들로 인한 것일 수 있다. 이러한 특성들은, 초기-증착되는 실리콘-탄소-산소 층에서의 상당한 수소 함유량 및/또는 상당한 탄소 함유량을 포함할 수 있다. 유동성은 높은 기판 온도에 의존하지 않고, 따라서, 초기-유동성 실리콘-탄소-및-산소 함유 층은, 비교적 낮은 온도의 기판들 상에서도 증착 동안에 갭들을 충전할 수 있다. 실시예들에서, 동작(130) 동안의 기판의 온도는, 150 ℃ 미만, 120 ℃ 미만, 100 ℃ 미만, 또는 65 ℃ 내지 95 ℃일 수 있다. 동작(130)의 저-k 유전체 또는 실리콘-탄소-산소 막의 증착 동안에, 기판 프로세싱 구역에서의 압력은, 약 0.1 Torr 내지 약 10 Torr(예컨대, 약 0.5 내지 약 6 Torr 등)일 수 있다. 초기 유동성 실리콘-탄소-산소 층은, 노출된 평탄한 표면들 상에, 뿐만 아니라, 갭들 내에 증착될 수 있고, 그 침투는 증착 동안의 저-k 유전체 막의 유동 성질에 의해 가능하게 된다. 실시예들에 따르면, 저-k 유전체 막(실리콘-탄소-산소 층)의 두께는, 50 Å 초과, 100 Å 초과, 150 Å 초과, 250 Å 초과, 또는 350 Å 초과일 수 있다. 실시예들에서, 실리콘-탄소-산소 층은, 실리콘, 탄소, 및 산소로 구성될 수 있거나, 또는 실리콘, 탄소, 산소, 및 수소로 구성될 수 있다. 실시예들에서, 실리콘-탄소-산소 층은 무-질소이다. 본 예에 대해 주어진 모든 막 특성들 및 프로세스 파라미터들은 또한, 실시예들에 따른 후속 예들에 적용된다.
[0022] 유동성 실리콘-탄소-산소 층이 원하는 두께에 도달하는 경우에, 기판-프로세싱 구역으로부터 프로세스 유출물들이 제거될 수 있고, 그리고/또는 기판 프로세싱 구역 내로의 라디칼-산소의 유동이 중단될 수 있다. 이러한 프로세스 유출물들은, 임의의 반응되지 않은 산소-함유 그리고 실리콘-및-탄소-함유 전구체들, 희석제 및/또는 캐리어 가스들, 및 기판 상에 증착되지 않았던 반응 생성물들을 포함할 수 있다. 프로세스 유출물들은, 기판 프로세싱 구역을 진공배기(evacuating)함으로써, 그리고/또는 기판 프로세싱 구역에서 유출물들을 비-증착 가스들로 교체(displacing)함으로써 제거될 수 있다. 실시예들에서, 실리콘-탄소-산소 층의 (원자 퍼센티지에 기초하는) 탄소 함유량은 5 % 초과 또는 8 % 초과일 수 있다. 바람직한 실시예에서, 탄소 함유량의 원자 퍼센티지는 10 % 초과이고, 이는, 초기-유동성 실리콘-탄소-산소 막들의 유동성을 더 증가시킨다. 실시예들에서, 실리콘-탄소-산소 층의 (원자 퍼센티지에 기초하는) 산소 함유량은 5 % 초과, 8 % 초과, 또는 10 % 초과일 수 있다.
[0023] 실시예들에서, 산소 함유 전구체는, 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 500 sccm 내지 2000 sccm의 유량으로 원격 플라즈마 구역 내로 유동될 수 있다. 실리콘-및-탄소-함유 전구체는 가스 또는 액체의 형태로 공급될 수 있다. 실시예들에 따르면, 실리콘-및-탄소-함유 전구체는, 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 1200 sccm 내지 2000 sccm의 유량으로 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 실시예들에서, 실리콘-및-탄소-함유 전구체는, 분당 0.1 밀리그램 내지 분당 2000 밀리그램, 분당 0.3 밀리그램 내지 분당 1000 밀리그램, 또는 분당 0.5 밀리그램 내지 분당 100 밀리그램의 유량으로 (캐리어 가스의 보조로) 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다.
[0024] 동작(140)에서, 패터닝된 기판은, 저-k 유전체 막과 반응하도록 기판 프로세싱 구역 내로 암모니아를 유동시킴으로써 처리된다. 실시예들에서, 동작(140) 동안에, 기판 프로세싱 구역에 플라즈마가 없을 수 있다(무-플라즈마일 수 있다). 실시예들에 따르면, 암모니아는 기판 프로세싱 구역으로 가는 도중에 임의의 플라즈마를 통해 통과되지 않을 수 있다. 처리된 막은 처리되지 않았지만 그 외에는 유사한 막보다 덜 수축되고 더 큰 물리적인 강도를 보유하는 것으로 발견되었다. 처리는 자외선 광 또는 전자 빔에 의한 후속 경화를 더 효과적이게 만들 수 있다. 저-k 유전체 막들을 경화시키는 것은 통상적으로, 바람직하지 않은 컴포넌트들을 제거하고, 남은 매트릭스를 가교하여, 강성 재료 격자를 확립하는 것을 담당해 왔다. 동작(140)의 암모니아 처리는, 후속 경화가, 재료의 동시적인 제거 없이, 남은 매트릭스를 더 간단히 가교할 수 있도록, 바람직하지 않은 컴포넌트들을 제거할 수 있다.
[0025] 실시예들에 따르면, 처리 동안에, 동작(140) 동안, 암모니아는 원격 플라즈마 구역 내로 그리고 원격 플라즈마 구역을 통해 기판 프로세싱 구역 내로 유동될 수 있고, 이러한 경우에서, 원격 플라즈마 구역 및 기판 프로세싱 구역 양자 모두는 플라즈마를 거의 포함하지 않을 수 있거나 또는 플라즈마를 포함하지 않을 수 있다. 실시예들에서, 원격 플라즈마 구역 및 기판 프로세싱 구역은 무-플라즈마일 수 있다. 대안적으로, 암모니아는 원격 플라즈마 구역을 바이패스하여, 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 실시예들에서, 원격 플라즈마 구역 및/또는 기판 프로세싱 구역은 플라즈마를 거의 포함하지 않을 수 있거나 또는 플라즈마를 포함하지 않을 수 있거나, 또는 무-플라즈마일 수 있다.
[0026] 실시예들에서, 저-k 유전체 막(예컨대, 실리콘-탄소-산소 막)은, 처리 동작(동작(140), 또는 후속 설명에서의 동작(220)) 전에, 실리콘, 탄소, 수소, 및 산소 이외의 원소들을 함유하지 않을 수 있다. 실시예들에 따르면, 실리콘-탄소-산소 막은, 동작(140) 또는 동작(220) 후에, 실리콘, 탄소, 질소, 수소, 및 산소 이외의 원소들을 함유하지 않을 수 있다.
[0027] 일반적으로 말하면, 처리 동작 동안에, 수소-및-질소-함유 전구체가 사용될 수 있다. 수소-및-질소-함유 전구체는 히드라진 및 암모니아 중 하나 또는 그 초과를 포함할 수 있다. 실시예들에 따르면, 수소-및-질소-함유 전구체는 수소 및 질소만으로 구성될 수 있다. 실시예들에서, 처리(동작(140)) 동안에, 기판 프로세싱 구역에 산소가 없을 수 있다. 동작(140) 동안에, 실시예들에 따르면, 기판 프로세싱 구역에 수분이 없을 수 있다.
[0028] 실시예들에서, 수소-및-질소-함유 전구체는 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 300 sccm 내지 2000 sccm의 유량으로 기판 프로세싱 구역 내로 유동될 수 있다. 실시예들에서, 동작(140) 동안의 기판의 온도는 150 ℃ 미만, 120 ℃ 미만, 100 ℃ 미만, 또는 65 ℃ 내지 95 ℃일 수 있다. 동작(140)에서, 저-k 유전체 또는 실리콘-탄소-산소 막에 대해 적용되는 처리 동작 동안에, 기판 프로세싱 구역에서의 압력은 약 0.1 Torr 내지 약 10 Torr(예컨대, 약 0.5 내지 약 6 Torr 등)일 수 있다.
[0029] 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법(201)에서의 선택된 단계들을 예시하는 흐름도인 도 2가 이제 참조될 것이다. 유량들, 압력들, 온도들, 두께들, 및 막 특성들은 이전의 예에 대해 설명된 양들과 동일한 양들을 가질 수 있고, 여기에서 반복되지 않을 수 있다. 동작(210)에서, 패터닝된 기판 상에 유동성 저-k 유전체 막이 형성된다. 유동성 저-k 유전체 막은 본원에서 설명되는 낮은 기판 온도들에서 증착 동안에 패터닝된 기판 표면 상에서 유동한다. 저-k 유전체 막의 유동 성질은 증착 소스로부터 재료를 수용할 더 높은 가능성을 갖는 높은 구역들로부터, 패터닝된 기판 표면 상의 트렌치들의 내부로, 재료가 재분배되게 허용한다.
[0030] 그 후에, 동작(220)(처리 동작)에서, 패터닝된 기판은 암모니아를 함유하는 환경에 노출된다. 실시예들에 따르면, 환경에서의 압력은 약 1 Torr일 수 있고, 수분이 없을 수 있고, 산소가 없을 수 있다. 실시예들에서, 저-k 유전체 막을 둘러싸는 환경은 암모니아 또는 다른 수소-및-질소-함유 전구체 이외의 화학적으로 비활성일 수 있다. 동작(210) 및 동작(220)을 위해, 패터닝된 기판은 동일한 기판 프로세싱 구역에 있을 수 있다. 동일한 기판 프로세싱 구역에서 동작들 양자 모두를 수행하는 것은 증착에 신속하게 이어지는 빠른 처리에 도움이 된다. 증착 후에 신속하게, 패터닝된 기판을 처리하는 것은, 패터닝된 기판이 "진공" 하에서 유지되는 경우들에서도, 더 효과적인 것으로 발견되었다. 대안적으로, 실시예들에 따르면, 동작(210) 및 동작(220)은 동일한 기판 프로세싱 메인프레임 상의 별개의 기판 프로세싱 구역들에서 수행될 수 있고, 2개의 동작들 사이의 시간 동안에, 진공이 파괴되지 않을 수 있다. 당연히, 암모니아에 대해 이전에 설명된 일반론들이 또한, 이러한 예에 적용된다.
[0031] 실시예들에 따르면, 패터닝된 기판은, 패터닝된 기판을 이송하는 동작으로부터, 패터닝된 기판을 처리하기 위해 기판 프로세싱 구역 내로 암모니아를 유동시키는 동작까지 내내, 외부 분위기(반도체 프로세싱 메인프레임 또는 챔버 외부의 클린룸으로부터의 분위기)에 노출되지 않을 수 있다.
[0032] 그 후에, 실시예들에 따르면, 패터닝된 기판은, 예컨대, 자외선 광 또는 전자 빔(e-빔)에 패터닝된 기판을 노출시킴으로써, 경화된다(동작(230)). 이전에 설명된 바와 같이, 처리 동작(220)의 존재는 경화 동작(230)의 부담들을 감소시킨다. 설명되는 시퀀스에서의 동작들 양자 모두의 존재는 후속 프로세싱에서 실질적으로 더 적은 수축을 나타내는 저-k 유전체 층을 발생시키는 것으로 발견되었다.
[0033] 본원에서 제시되는 모든 예들에 대해, 유동성 증착되는 저-k 유전체 막은 막의 형성 후에 응고된다. 응고는, 경화, 어닐링, 및/또는 응고가 발생하기를 단순히 대기하는 것을 수반할 수 있다. 경화는 전자 빔("e-빔 조명") 또는 자외선 광(UV 광)에 패터닝된 기판을 노출시키는 것을 수반할 수 있다. 완성된 디바이스의 트렌치에서, 저-k 유전체 막은, 실시예들에 따라, 2.2 내지 3.0, 2.4 내지 2.8, 또는 2.5 내지 2.7의 유전 상수를 가질 수 있다. 라이너 저-k 유전체 막이 유동성을 촉진하기 위해 포함되는 경우에서, 라이너 저-k 유전체 막 및 벌크 저-k 유전체 막 양자 모두가 갭필로서 포함되고, 유전 상수를 결정하는 것에 대해 이들 양자 모두의 기여들이 포함된다.
[0034] 본원에서 설명되는 기법들을 사용하여 충전되는 갭들 및 트렌치들은, (예컨대, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1 또는 그 초과 등과 같이) 1:1보다 상당히 더 큰, 높이 대 폭의 종횡비(AR)(즉, H/W)를 정의하는, 높이 및 폭을 가질 수 있다. 다수의 경우들에서, 실시예들에 따르면, 고 AR은, 32 nm 미만, 28 nm 미만, 22 nm 미만, 또는 16 nm 미만의 작은 갭 폭들로 인한 것이다.
[0035] 본원에서 설명되는 증착 방법들 중 임의의 것 또는 모두는, 실시예들에 따라, 낮은 유전 상수를 유지하고, 그리고/또는 유동성을 증가시키기 위해, 증착 동안에, 기판 프로세싱 구역에서 낮은 전자 온도를 가질 수 있다. 따라서, 샤워헤드 및/또는 이온 억제기를 사용하여 전자 온도를 감소시키기 위한 기법들이 사용될 수 있다. 라디칼-산소 전구체를 포함하는 플라즈마 유출물들은, 원격 플라즈마 구역으로부터 기판 프로세싱 구역으로 샤워헤드 및/또는 이온 억제기를 통해 유동한다. 실시예들에서, 증착을 위해 라디칼 및/또는 중성 종들을 제공하기 위하여 (샤워헤드일 수 있는) 이온 억제기가 사용될 수 있다. 이온 억제기는 또한, 이온 억제 엘리먼트라고 지칭될 수 있다. 실시예들에서, 예컨대, 이온 억제기는, 원격 플라즈마 구역으로부터 기판 프로세싱 구역으로 가는 도중에, (라디칼-산소를 포함하는) 플라즈마 유출물들을 필터링하기 위해 사용된다. 이온 억제기는, 이온들보다 더 높은 농도의 라디칼들을 갖는 반응성 가스를 제공하기 위해 사용될 수 있다. 플라즈마 유출물들은, 기판 프로세싱 구역과 원격 플라즈마 구역 사이에 배치된 이온 억제기를 통과한다. 이온 억제기는, 플라즈마 생성 구역으로부터 기판으로 이동하는 이온 종들을 극적으로 감소시키거나 또는 실질적으로 제거하도록 기능한다. 본원에서 설명되는 이온 억제기들은, 단순히, 위에서 설명되는, 실리콘 산화물 라이너 증착 및/또는 저-k 유전체 증착 동안에, 기판 프로세싱 구역에서 낮은 전자 온도를 달성하기 위한 하나의 방식이다.
[0036] 전자 온도는, 원격 플라즈마에서의 플라즈마의 여기 동안에, 기판 프로세싱 구역에서, 랭뮤어 프로브를 사용하여 측정될 수 있다. 실시예들에서, 전자 온도는, 0.5 eV 미만, 0.45 eV 미만, 0.4 eV 미만, 또는 0.35 eV 미만일 수 있다. 전자 온도에 대한 이러한 극도로 낮은 값들은, 샤워헤드 및/또는 이온 억제기의 존재에 의해 가능하게 된다. 대전되지 않은 중성 및 라디칼 종들은, 기판에서 반응하기 위해, 샤워헤드/이온 억제기에서의 개구들을 통과할 수 있다. 라디칼들 및 다른 중성 종들을 사용하는 그러한 프로세스는, 스퍼터링 및 충격(bombardment)을 포함하는 종래의 증착 프로세스들과 비교하여, 플라즈마 손상을 감소시킬 수 있다.
[0037] 대안적인 명명법(nomenclature)을 도입하면, 기판 프로세싱 구역은, 본원에서 설명되는 증착 프로세스들 동안에, "무-플라즈마"로서 본원에서 설명될 수 있다. "무-플라즈마"가 반드시 구역에 플라즈마가 전혀 없다는 것을 의미하는 것은 아니다. 플라즈마 구역에서 생성되는 자유 전자들 및 이온화된 종들이, 극도로 작은 농도들로, 파티션(샤워헤드)에서의 세공(pore)들(구멍(aperture)들)을 통해 이동할 수 있다. 챔버 플라즈마 구역에서의 플라즈마의 경계(border)들은 정의하기 어렵고, 샤워헤드에서의 구멍들을 통해 기판 프로세싱 구역에 침입할 수 있다. 게다가, 본원에서 설명되는 증착 프로세스들의 바람직한 피처들을 제거하지 않으면서, 기판 프로세싱 구역에서, 낮은 세기의 플라즈마가 생성될 수 있다. 여기된 플라즈마 유출물들의 생성 동안에 챔버 플라즈마 구역보다 훨씬 더 낮은 세기의 이온 밀도를 갖는 플라즈마에 대한 모든 원인들은, 본원에서 사용되는 바와 같은 "무-플라즈마"의 범위로부터 벗어나지 않는다.
[0038] 집적 회로 칩들을 생성하기 위한 기판 프로세싱 시스템에, 본원에서 제시되는 방법들을 수행하는 기판 프로세싱 챔버들의 실시예들이 포함될 수 있다. 도 3은, 실시예들에 따른, 증착 및 경화 챔버들을 위한 예시적인 프로세싱 시스템(1001)을 도시한다. FOUP들(front opening unified pods)(1002)은 로봇식 암들(1004)에 의해 저압 홀딩 영역들(1006) 내에 기판들을 공급한다. 홀딩 영역들(1006)로부터 기판 프로세싱 챔버들(1008a-f)로 그리고 그 역으로 기판 웨이퍼들을 운반하기 위해, 제 2 로봇식 암(1010)이 사용될 수 있다.
[0039] 기판 프로세싱 챔버들(1008a-f)은 증착하도록, 또는 증착된 층(들)에 대한 다양한 처리들을 수행하도록 구성될 수 있다. 일 구성에서, 프로세싱 챔버의 2개의 쌍들(예컨대, 1008c-d 및 1008e-f)은 기판 상에 유동성 유전체 재료를 증착하기 위해 사용될 수 있고, 프로세싱 챔버들의 제 3의 쌍(예컨대, 1008a-b)은 자외선 또는 e-빔 조명을 사용하여 유전체 재료를 경화시키기 위해 사용될 수 있다.
[0040] 도 4a는 실시예들에 따른 기판 프로세싱 챔버(1101)이다. 원격 플라즈마 시스템(RPS)(1110)은, 가스 유입구 어셈블리(1111)를 통해 이후에 이동하는 가스를 프로세싱할 수 있다. 가스 유입구 어셈블리(1111) 내에서 2개의 별개의 가스 공급 채널들을 볼 수 있다. 제 1 채널(1112)은 원격 플라즈마 시스템(RPS)(1110)을 통과하는 가스를 운반하는 한편, 제 2 채널(1113)은 RPS(1110)를 바이패스(bypass)한다. 실시예들에서, 제 1 채널(1112)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(1113)은 처리 가스(treatment gas)를 위해 사용될 수 있다. 덮개(또는 전도성 상단 부분)(1121)와 천공된 파티션(perforated partition)(1153)이 이들 사이의 절연 링(1124)과 함께 도시되어 있으며, 절연 링은 AC 전위가 천공된 파티션(1153)에 대하여 덮개(1121)에 인가되게 허용한다. 프로세스 가스는 제 1 채널(1112)을 통해 챔버 플라즈마 구역(1120) 내로 이동하고, RPS(1110)와 조합하여 또는 단독으로 챔버 플라즈마 구역(1120)에서 플라즈마에 의해 여기될 수 있다. 챔버 플라즈마 구역(1120) 및/또는 RPS(1110)의 조합은 본원에서 원격 플라즈마 시스템이라고 지칭될 수 있다. 천공된 파티션(또한 샤워헤드라고 지칭됨)(1153)은 샤워헤드(1153) 아래의 기판 프로세싱 구역(1170)으로부터 챔버 플라즈마 구역(1120)을 분리시킨다. 샤워헤드(1153)는 챔버 플라즈마 구역(1120)에 존재하는 플라즈마가 기판 프로세싱 구역(1170) 내의 가스들을 직접적으로 여기시키는 것을 피하게 허용하는 한편, 여전히, 여기된 종들(플라즈마 유출물들)이 챔버 플라즈마 구역(1120)으로부터 기판 프로세싱 구역(1170) 내로 이동하게 허용한다.
[0041] 샤워헤드(1153)는 챔버 플라즈마 구역(1120)과 기판 프로세싱 구역(1170) 사이에 위치되며, 챔버 플라즈마 구역(1120) 내에서 생성되는 플라즈마 유출물들(전구체들 또는 다른 가스들의 여기된 유도체들)로 하여금, 플레이트(plate)의 두께를 관통(traverse)하는 복수의 스루 홀(through hole)들(1156)을 통과하게 허용한다. 샤워헤드(1153)는 또한, 하나 또는 그 초과의 빈 볼륨들(hollow volumes)(1151)을 가지며, 이러한 볼륨들은 증기 또는 가스의 형태의 전구체(예컨대, 실리콘-및-탄소-함유 전구체)로 충전될 수 있고, 작은 홀들(1155)을 통해 기판 프로세싱 구역(1170) 내로 통하지만, 챔버 플라즈마 구역(1120) 내로는 직접적으로 통하지 않는다.
[0042] 도시된 실시예에서, 샤워헤드(1153)는 산소를 함유하는 프로세스 가스들, 및/또는 챔버 플라즈마 구역(1120)에서 플라즈마에 의해 여기될 시의 프로세스 가스들의 플라즈마 유출물들을 (스루 홀들(1156)을 통해) 분배할 수 있다. 실시예들에서, 제 1 채널(1112)을 통해 챔버 플라즈마 구역(1120) 및/또는 RPS(1110) 내로 도입되는 프로세스 가스는, 산소(O2), 오존(O3), N2O, NO, 및 NO2 중 하나 또는 그 초과를 함유할 수 있다. 그러나, 실시예들에서, 산소-함유 전구체에 질소가 없을 수 있고, 원격 플라즈마 구역에 질소가 없을 수 있고, 상응하여, 결과적인 Si-O-C 막에 질소가 없을 수 있다. 프로세스 가스는 또한, 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 제 2 채널(1113)은 또한, 프로세스 가스 및/또는 캐리어 가스, 및/또는 성장하는 또는 증착-직후의 막으로부터 원하지 않는 컴포넌트를 제거하기 위해 사용되는 막-경화 가스(예컨대, O3)를 전달할 수 있다. 플라즈마 유출물들은 프로세스 가스의 이온화된 또는 중성의 유도체들을 포함할 수 있으며, 또한, 본원에서는, 도입되는 프로세스 가스의 원자 성분들을 참조(referring)하여, 라디칼-산소 전구체라고 지칭될 수 있다.
[0043] 도 4b는 실시예들에 따른 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(1153)의 저면도이다. 샤워헤드(1153)는 도 4a에서 도시된 샤워헤드와 대응한다. 스루 홀들(1156)은 샤워헤드(1153)의 바닥 상에서 더 큰 내부 직경(ID)을 갖고 상단에서 더 작은 ID를 갖는 것으로 도시되어 있다. 작은 홀들(1155)이 샤워헤드의 표면에 걸쳐, 심지어는 스루 홀들(1156) 사이에서도 실질적으로 균등하게 분포됨으로써, 더 균등한 혼합을 제공하는 것을 돕는다.
[0044] 빈 볼륨들(1151)로부터 유래하여 작은 홀들(1155)을 통해 도달하는 실리콘-및-탄소-함유 전구체와 샤워헤드(1153)에서의 스루 홀들(1156)을 통해 도달하는 플라즈마 유출물들이 조합되는 경우에, 기판 프로세싱 구역(1170) 내에서 페데스탈(미도시)에 의해 지지되는 기판 상에, 예시적인 막이 생성된다. 기판 프로세싱 구역(1170)이 경화와 같은 다른 프로세스들을 위한 플라즈마를 지원하도록 장비될 수 있다고 하더라도, 예시적인 막들의 성장 동안에 플라즈마가 존재하지 않는다. 페데스탈은 비교적 낮은 온도들(실온 내지 약 120 ℃)을 유지하기 위해, 지지되는 기판을 냉각시키거나 또는 가열하도록 구성될 수 있다.
[0045] 플라즈마는 샤워헤드(1153) 위의 챔버 플라즈마 구역(1120) 또는 샤워헤드(1153) 아래의 기판 프로세싱 구역(1170) 중 어느 하나에서 점화될 수 있다. 산소-함유 가스의 유입(inflow)으로부터 라디칼-산소 전구체를 생성하기 위해 플라즈마가 챔버 플라즈마 구역(1120)에 존재한다. 증착 동안에 챔버 플라즈마 구역(1120)에서 플라즈마를 점화하기 위해, 전형적으로 무선 주파수(RF) 범위의 AC 전압이 샤워헤드(1153)와 프로세싱 챔버의 전도성 상단 부분(1121) 사이에 인가된다. RF 전력 공급부는 13.56 MHz의 높은 RF 주파수를 생성하지만, 또한, 13.56 MHz 주파수와 조합된 또는 단독의 다른 주파수들을 생성할 수 있다. 예시적인 RF 주파수들은 2.4 GHz와 같은 마이크로파 주파수들을 포함한다. 유동성 막의 증착 동안에, 실시예들에서, 원격 플라즈마 전력은, 약 1000 와트 또는 그 초과, 약 2000 와트 또는 그 초과, 약 3000 와트 또는 그 초과, 또는 약 4000 와트 또는 그 초과일 수 있다.
[0046] 기판 프로세싱 구역(1170)과 접한 내부 표면들을 세정(clean)하기 위해 또는 제 2 경화 스테이지 동안에 기판 프로세싱 구역(1170)에서 바닥 플라즈마(bottom plasma)가 턴 온(turn on)되는 경우에, 원격 플라즈마는 저전력 또는 무전력으로 유지될 수 있다. 기판 프로세싱 구역(1170)에서의 플라즈마는 샤워헤드(1153)와 챔버의 바닥 또는 페데스탈 사이에 AC 전압을 인가함으로써 점화된다. 플라즈마가 존재하는 동안, 세정 가스가 기판 프로세싱 구역(1170) 내로 도입될 수 있다.
[0047] 기판 프로세싱 시스템은 시스템 제어기에 의해 제어된다. 시스템 제어기에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여, 기판 상에 막 스택을 증착(예컨대, 실리콘-산소-및-수소-함유 층 그리고 그 후의 실리콘-산소-및-탄소-함유 층의 순차적인 증착)하고 막을 실리콘 산화물로 변환시키기 위한 프로세스, 또는 챔버를 세정하기 위한 프로세스가 구현될 수 있다.
[0048] 본원에서 사용되는 바와 같이, "기판"은, 층들이 위에 형성되거나 또는 형성되지 않은 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있고, 예컨대, 집적 회로들의 제조에서 사용되는 타입의 반도체 기판일 수 있다. "전구체"라는 용어는, 표면 상에 재료를 증착하거나 또는 표면으로부터 재료를 제거하기 위해 반응에 참여하는 임의의 프로세스 가스를 지칭하기 위해 사용된다. "여기된 상태"에 있는 가스는, 가스 분자들의 적어도 일부가 진동-여기된, 해리된, 및/또는 이온화된 상태들에 있는 가스를 설명한다. 가스(또는 전구체)는 2개 또는 그 초과의 가스들(또는 전구체들)의 조합일 수 있다. "라디칼 전구체"는, 표면으로부터 재료를 제거하거나 또는 표면 상에 재료를 증착하기 위해 반응에 참여하는 플라즈마 유출물들(플라즈마를 빠져나가는 여기된 상태의 가스)을 설명하기 위해 사용된다. "라디칼-산소 전구체"는 산소를 함유하는 라디칼 전구체이고, 실시예들에서, 무-질소일 수 있다. "비활성 가스(inert gas)"라는 구는, 에칭하는 경우 또는 막에 통합되는 경우에 화학 결합들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 비활성 가스들은 노블(noble) 가스들을 포함하지만, (전형적으로) 막 내에 소량(trace amounts)이 트랩되는 경우에 화학 결합들이 형성되지 않는 한 다른 가스들을 포함할 수 있다.
[0049] "갭" 또는 "트렌치"라는 용어들은, 에칭된 기하형상(geometry)이 큰 수평 종횡비를 갖는다는 암시 없이 전반에 걸쳐 사용된다. 표면 위에서부터 보면, 갭들 및 트렌치들은, 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. 본원에서 사용되는 바와 같이, 등각(conformal) 층은, 표면과 동일한 형상의, 표면 상의 재료의 대체로 균일한 층을 지칭하며, 즉, 층의 표면 및 커버되는 표면이 대체로 평행하다. 증착된 재료가 100 % 등각적일 수는 없다고 예상되고, 따라서 "대체로(generally)"라는 용어는 용인 가능한 허용 오차들을 허용한다는 것을 당업자는 인지할 것이다.
[0050] 수개의 실시예들이 설명되었지만, 본 발명의 사상을 벗어나지 않고, 다양한 변형들, 대안적인 구성들, 및 등가물들이 사용될 수 있다는 것이 당업자에 의해 인지될 것이다. 부가적으로, 본 발명을 불필요하게 불명료히 하는 것을 피하기 위해서, 다수의 잘 알려진 프로세스들 및 엘리먼트들은 설명되지 않았다. 따라서, 위의 설명은 본 발명의 범주를 제한하는 것으로 간주되지 않아야 한다.
[0051] 수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0052] 본원 및 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들("a", "an" 및 "the")은 문맥상 달리 명백히 표시되어 있지 않는 한 복수의 지시대상들을 포함한다. 따라서, 예컨대, "프로세스(a process)"라는 언급은 복수의 그러한 프로세스들을 포함하며, "상기 전구체(the precursor)"라는 언급은 당업자에게 알려진 하나 또는 그 초과의 전구체들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 유사하다.
[0053] 또한, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 포함("comprise," "comprising," "include," "including," 및 "includes")이라는 단어들은, 언급된 피처들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들은 하나 또는 그 초과의 다른 피처들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 저-k 유전체 막을 프로세싱하는 방법으로서,
    기판 상에 실리콘-탄소-산소 막을 형성하는 단계 ― 상기 실리콘-탄소-산소 막은 실리콘, 탄소, 및 산소를 포함함 ―;
    수소-및-질소 함유 전구체를 포함하는 분위기(atmosphere)에 상기 실리콘-탄소-산소 막을 노출시키는 단계; 및
    상기 수소-및-질소-함유 전구체를 포함하는 분위기에 상기 실리콘-탄소-산소 막을 노출시킨 후에, UV-광 또는 전자 빔 중 적어도 하나에 상기 실리콘-탄소-산소 막을 노출시키는 단계
    를 포함하고,
    상기 수소-및-질소-함유 전구체를 포함하는 분위기에 산소가 없는,
    저-k 유전체 막을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 수소-및-질소-함유 전구체는 수소 및 질소만으로 구성되는,
    저-k 유전체 막을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 실리콘-탄소-산소 막은, 수소-및-질소-함유 전구체를 포함하는 분위기에 상기 실리콘-탄소-산소 막을 노출시키는 동작 전에, 실리콘, 탄소, 수소, 및 산소 이외의 원소들을 함유하지 않는,
    저-k 유전체 막을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘-탄소-산소 막은, 수소-및-질소-함유 전구체를 포함하는 분위기에 상기 실리콘-탄소-산소 막을 노출시키는 동작 후에, 실리콘, 탄소, 질소, 수소, 및 산소 이외의 원소들을 함유하지 않는,
    저-k 유전체 막을 프로세싱하는 방법.
  5. 제 1 항에 있어서,
    상기 수소-및-질소-함유 전구체를 포함하는 분위기에 상기 실리콘-탄소-산소 막을 노출시키는 동안의 상기 기판의 온도는 150 ℃ 미만인,
    저-k 유전체 막을 프로세싱하는 방법.
  6. 삭제
  7. 트렌치(trench)를 충전(filling)하는 방법으로서,
    기판 프로세싱 챔버의 기판 프로세싱 구역 내로 상기 트렌치를 포함하는 패터닝된 기판을 이송하는 단계;
    라디칼-산소 전구체를 형성하기 위해, 원격 플라즈마를 점화하면서, 원격 플라즈마 구역 내로 산소-함유 전구체를 유동시키는 단계;
    임의의 플라즈마를 통해 실리콘-및-탄소-함유 전구체를 먼저 통과시키지 않으면서, 상기 기판 프로세싱 구역 내로 상기 실리콘-및-탄소-함유 전구체를 유동시키는 단계;
    상기 기판 프로세싱 구역 내로 상기 라디칼-산소 전구체를 유동시키는 단계;
    상기 패터닝된 기판 상에 저-k 유전체 막을 형성하기 위해, 상기 기판 프로세싱 구역에서 상기 실리콘-및-탄소-함유 전구체와 상기 라디칼-산소 전구체를 조합하는 단계 ― 상기 저-k 유전체 막의 형성 동안에, 상기 저-k 유전체 막의 부분이 상기 패터닝된 기판 상에 증착되고, 상기 패터닝된 기판의 표면을 따라 유동하여, 상기 트렌치를 충전함 ―; 및
    상기 패터닝된 기판을 처리하고, 처리된 저-k 유전체 막을 형성하기 위해, 상기 기판 프로세싱 구역 내로 수소-및-질소-함유 전구체를 유동시키는 단계
    를 포함하는,
    트렌치를 충전하는 방법.
  8. 제 7 항에 있어서,
    상기 저-k 유전체 막은 완성된 디바이스의 트렌치에서 2.2 내지 3.0의 유전 상수를 갖는,
    트렌치를 충전하는 방법.
  9. 제 7 항에 있어서,
    상기 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O 대 Si 비율을 보유하는,
    트렌치를 충전하는 방법.
  10. 제 7 항에 있어서,
    상기 실리콘-및-탄소-함유 전구체는 1의 Si-O 대 Si 비율을 보유하는,
    트렌치를 충전하는 방법.
  11. 제 7 항에 있어서,
    UV 광 및 e-빔 복사 중 하나 또는 양자 모두에 상기 처리된 저-k 유전체 막을 노출시킴으로써, 상기 처리된 저-k 유전체 막을 경화시키는 동작을 더 포함하는,
    트렌치를 충전하는 방법.
  12. 제 7 항에 있어서,
    상기 실리콘-및-탄소-함유 전구체는 옥타메틸시클로테트라실록산 또는 테트라메틸시클로테트라실록산인,
    트렌치를 충전하는 방법.
  13. 트렌치를 충전하는 방법으로서,
    기판 프로세싱 챔버의 기판 프로세싱 구역 내로 상기 트렌치를 포함하는 패터닝된 기판을 이송하는 단계;
    플라즈마 유출물들을 형성하기 위해, 원격 플라즈마를 점화하면서, 원격 플라즈마 구역 내로 분자 산소를 유동시키는 단계;
    상기 원격 플라즈마 구역과 상기 기판 프로세싱 구역 사이에 배치되고 경계를 형성하는 샤워헤드에서의 스루-홀들을 통해 상기 기판 프로세싱 구역 내로 상기 플라즈마 유출물들을 유동시키는 단계;
    플라즈마를 통해 실리콘-및-탄소-함유 전구체를 먼저 통과시키지 않으면서, 상기 기판 프로세싱 구역 내로 상기 실리콘-및-탄소-함유 전구체를 유동시키는 단계 ― 상기 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O:Si 비율을 가짐 ―;
    상기 패터닝된 기판 상에 저-k 유전체 막을 형성하기 위해, 상기 기판 프로세싱 구역에서 상기 실리콘-및-탄소-함유 전구체와 상기 플라즈마 유출물들을 조합하는 단계;
    상기 패터닝된 기판을 처리하고, 처리된 저-k 유전체 막을 형성하기 위해, 상기 기판 프로세싱 구역 내로 암모니아를 유동시키는 단계 ― 상기 기판 프로세싱 구역에 플라즈마가 없음 ―; 및
    자외선 광에 대한 노출에 의해, 상기 처리된 저-k 유전체 막을 경화시키는 단계
    를 포함하는,
    트렌치를 충전하는 방법.
  14. 제 13 항에 있어서,
    상기 실리콘-및-탄소-함유 전구체는 1의 Si-O:Si 비율을 갖는,
    트렌치를 충전하는 방법.
  15. 제 13 항에 있어서,
    상기 패터닝된 기판은, 상기 패터닝된 기판을 이송하는 것으로부터, 상기 패터닝된 기판을 처리하기 위해 상기 기판 프로세싱 구역 내로 암모니아를 유동시키는 것까지, 외부 분위기에 노출되지 않는,
    트렌치를 충전하는 방법.
KR1020177011892A 2014-09-30 2015-09-15 유동성 저-k 유전체 갭필 처리 KR102479806B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/502,492 US9362107B2 (en) 2014-09-30 2014-09-30 Flowable low-k dielectric gapfill treatment
US14/502,492 2014-09-30
PCT/US2015/050242 WO2016053619A1 (en) 2014-09-30 2015-09-15 Flowable low-k dielectric gapfill treatment

Publications (2)

Publication Number Publication Date
KR20170063911A KR20170063911A (ko) 2017-06-08
KR102479806B1 true KR102479806B1 (ko) 2022-12-20

Family

ID=55585237

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177011892A KR102479806B1 (ko) 2014-09-30 2015-09-15 유동성 저-k 유전체 갭필 처리

Country Status (4)

Country Link
US (1) US9362107B2 (ko)
KR (1) KR102479806B1 (ko)
TW (1) TWI670772B (ko)
WO (1) WO2016053619A1 (ko)

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10510615B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
EP3807446A4 (en) 2018-06-15 2022-03-30 Versum Materials US, LLC SILOXANE COMPOSITIONS AND METHODS OF USING THE COMPOSITIONS TO DEPOSIT SILICON-CONTAINING FILMS
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11133177B2 (en) * 2018-12-20 2021-09-28 Applied Materials, Inc. Oxidation reduction for SiOC film
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11756786B2 (en) * 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11469100B2 (en) 2019-05-30 2022-10-11 Applied Materials, Inc. Methods of post treating dielectric films with microwave radiation
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
KR20210021420A (ko) * 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140017895A1 (en) * 2012-07-13 2014-01-16 Applied Materials, Inc. Method to reduce dielectric constant of a porous low-k film

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186745A (en) 1991-02-04 1993-02-16 Motorola, Inc. Teos based spin-on-glass and processes for making and using the same
US5461010A (en) 1994-06-13 1995-10-24 Industrial Technology Research Institute Two step etch back spin-on-glass process for semiconductor planarization
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6548399B1 (en) 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
TW200842950A (en) * 2007-02-27 2008-11-01 Sixtron Advanced Materials Inc Method for forming a film on a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140017895A1 (en) * 2012-07-13 2014-01-16 Applied Materials, Inc. Method to reduce dielectric constant of a porous low-k film

Also Published As

Publication number Publication date
KR20170063911A (ko) 2017-06-08
WO2016053619A1 (en) 2016-04-07
US9362107B2 (en) 2016-06-07
US20160093488A1 (en) 2016-03-31
TWI670772B (zh) 2019-09-01
TW201618190A (zh) 2016-05-16

Similar Documents

Publication Publication Date Title
KR102479806B1 (ko) 유동성 저-k 유전체 갭필 처리
US9412581B2 (en) Low-K dielectric gapfill by flowable deposition
KR102439785B1 (ko) 무-할로겐 기상 실리콘 에칭
US10465294B2 (en) Oxide and metal removal
US9659791B2 (en) Metal removal with reduced surface roughness
US9209012B2 (en) Selective etch of silicon nitride
US9287134B2 (en) Titanium oxide etch
US9190293B2 (en) Even tungsten etch for high aspect ratio trenches
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US8551891B2 (en) Remote plasma burn-in
KR20190095142A (ko) 실리콘 산화물막에 대한 증착후 처리 방법
US20140273451A1 (en) Tungsten deposition sequence
US20150345029A1 (en) Metal removal
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
JP6824241B2 (ja) 調節可能な遠隔解離
CN107851558B (zh) 以uv辅助方式将材料注入多孔膜
CN109923660B (zh) 高压退火及降低湿蚀刻速率
US20160068969A1 (en) Integrated processing for microcontamination prevention

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant