TW201618190A - 可流動之低k介電質隙縫塡充處理 - Google Patents

可流動之低k介電質隙縫塡充處理 Download PDF

Info

Publication number
TW201618190A
TW201618190A TW104132221A TW104132221A TW201618190A TW 201618190 A TW201618190 A TW 201618190A TW 104132221 A TW104132221 A TW 104132221A TW 104132221 A TW104132221 A TW 104132221A TW 201618190 A TW201618190 A TW 201618190A
Authority
TW
Taiwan
Prior art keywords
carbon
precursor
plasma
substrate processing
substrate
Prior art date
Application number
TW104132221A
Other languages
English (en)
Other versions
TWI670772B (zh
Inventor
泰達尼金瑞V
馬里克愛柏亥吉巴蘇
卡瑪斯山傑
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201618190A publication Critical patent/TW201618190A/zh
Application granted granted Critical
Publication of TWI670772B publication Critical patent/TWI670772B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本文描述了用以形成可流動之低k介電質膜於一圖案化基材上的方法。該膜可以是矽碳氧(Si-C-O)層,其中矽與碳構成物來自一含矽與碳前驅物,而氧可來自一在遠端電漿區域中被活化的含氧前驅物。緊接著在沉積之後,於硬化之前藉由暴露於含氫與氮前驅物(諸如氨)來處理該矽碳氧層。此處理可從矽碳氧層移除殘餘的濕氣,並且可使晶格在硬化與後續處理期間更有彈性。此處理可減少矽碳氧層在後續處理期間的縮小。

Description

可流動之低k介電質隙縫填充處理
本發明的實施例關於可流動地沉積低k介電質到基材隙縫內。
半導體電路元件的小型化已經達到28nm、22nm與甚至14nm的特徵結構尺寸以商業規模來製造的程度。由於尺寸持續變得更小,對於像是以介電質材料填充電路元件之間的隙縫而可避免電氣干擾(cross-talk)的製程步驟產生了新挑戰。由於元件之間的寬度持續縮小,元件之間的隙縫時常變得更高與更窄,使得隙縫難以填充成介電質材料插入而沒有建立孔隙或弱狹縫。在隙縫完全地被填充之前,傳統的化學氣相沉積(CVD)技術時常經歷材料在隙縫的頂部處過生長(overgrowth)。這會在隙縫中建立孔隙或狹縫,沉積介電質材料已經過早地藉由過生長而被切斷,即有時候稱為麵包化(breadloafing)的問題。
一種麵包化問題的解決方案已經使用了用於介電質起始材料的液體前驅物,其更容易地流動到隙縫內。目前商業使用中利用此的技術稱為旋塗式玻璃(SOG)。更近日以來,技術已經被發展成將可流動之特徵給予藉由CVD沉積的介電質材料。這些技術可沉積可 流動之前驅物以填充高且窄的隙縫,同時減少產生孔隙或弱狹縫的發生。儘管新的可流動CVD技術代表對於在以介電質材料(諸如氧化矽)填充高且窄的間隙(即高的高寬比)是顯著突破,仍存在著以低k介電質材料來無狹縫地填充這樣的隙縫的技術的需求。本發明藉由描述可流動之CVD技術以形成含矽與碳介電質材料於基材上而解決了此需求。
本文描述了用以形成可流動之低k介電質膜於一圖案化基材上的方法。該膜可以是矽碳氧(Si-C-O)層,其中矽與碳構成物來自一含矽與碳前驅物,而氧可來自一在遠端電漿區域中被活化的含氧前驅物。緊接著在沉積之後,於硬化之前藉由暴露於含氫與氮前驅物(諸如氨)來處理該矽碳氧層。此處理可從矽碳氧層移除殘餘的濕氣,並且可使晶格在硬化與後續處理期間更有彈性。此處理可減少矽碳氧層在後續處理期間的縮小。
本發明的實施例包括處理一低k介電質膜的方法。該方法包括形成一矽碳氧膜於一基材上。該矽碳氧膜包含矽、碳與氧。該方法更包括將該矽碳氧膜暴露於一包含一含氫與氮前驅物的大氣。該方法更包括在將該矽碳氧膜暴露於該包含該含氫與氮前驅物的大氣之後,將該矽碳氧膜暴露於紫外光或電子束的至少一者。
本發明的實施例包括填充一溝槽的方法。該方法包括將一包括該溝槽的圖案化基材傳送到一基材處理 腔室的一基材處理區域內。該方法更包括使一含氧前驅物流動到一遠端電漿區域內,同時點燃一遠端電漿,以形成一自由基氧前驅物。該方法更包括使一含矽與碳前驅物流動到該基材處理區域內,而不先使該含矽與碳前驅物通過任何電漿。該方法更包括使該自由基氧前驅物流動到該基材處理區域內。該方法更包括在該基材處理區域中結合該含矽與碳前驅物與該自由基氧前驅物,以形成一低k介電質膜於該圖案化基材上。在該低k介電質膜填充該溝槽的期間,該低k介電質膜的一部分沉積在該圖案化基材上且沿著該圖案化基材的表面流動。該方法更包括使一含氫與氮前驅物流動到該基材處理區域內,以處理該圖案化基材且形成一經處理的低k介電質膜。
本發明的實施例包括填充一溝槽的方法。該方法包括將一包含該溝槽的圖案化基材傳送到一基材處理腔室的一基材處理區域內。該方法更包括使一分子氧流動到一遠端電漿區域內,同時點燃一遠端電漿,以形成電漿流出物。該方法更包括經由穿過一噴頭中的穿孔,使該些電漿流出物流動到該基材處理區域內,該噴頭係設置且形成一介於該遠端電漿區域與該基材處理區域之間的邊界。該方法更包括使一含矽與碳前驅物流動到該基材處理區域內,而不使該含矽與碳前驅物先通過任何電漿。該含矽與碳前驅物具有Si-O:Si的比例為小於3。該方法更包括在該基材處理區域中結合該含矽與碳前驅物與該些電漿流出物,以形成一低k介電質膜於該圖案化基材上。該 方法更包括使氨流動到該基材處理區域內以處理該圖案化基材且形成一經處理的低k介電質膜。該基材處理區域不含有電漿。該方法更包括藉由暴露於紫外光以硬化該經處理的低k介電質膜。
額外的實施例與特徵部分地被公開在以下的說明中,並且部分地對於熟習此技術領域的人士而言在審閱本說明書是可輕易得知的,或可藉由實施本發明來瞭解。可藉由本說明書所述的手段、組合與方法的方式來實現和或獲得本發明的特徵與優點。
101‧‧‧方法
110~140‧‧‧操作
201‧‧‧方法
210~230‧‧‧操作
1001‧‧‧處理系統
1002‧‧‧前開式整合艙
1004‧‧‧機械手臂
1006‧‧‧低壓固持區域
1008a~f‧‧‧處理腔室
1010‧‧‧第二機械手臂
1101‧‧‧基材處理腔室
1110‧‧‧遠端電漿系統
1111‧‧‧氣體輸入組件
1112‧‧‧第一通道
1113‧‧‧第二通道
1120‧‧‧腔室電漿區域
1121‧‧‧上蓋
1124‧‧‧絕緣環
1151‧‧‧中空容室
1153‧‧‧孔隙分隔件(噴頭)
1155‧‧‧小孔洞
1156‧‧‧穿孔
1170‧‧‧基材處理區域
本發明的本質和優點的進一步瞭解可藉由參照本說明書的剩餘部分與圖式來知悉。
第1圖是圖示根據實施例在形成隙縫填充低k介電質膜於基材上的方法中所選擇步驟的流程圖。
第2圖是圖示根據實施例在形成隙縫填充低k介電質膜於基材上的方法中所選擇步驟的流程圖。
第3圖顯示根據本發明的實施例的一基材處理系統。
第4A圖顯示根據本發明的實施例的一基材處理腔室。
第4B圖顯示根據本發明的實施例的一氣體分佈噴頭。
本文描述了用以形成可流動之低k介電質膜於一圖案化基材上的方法。該膜可以是矽碳氧(Si-C-O)層,其中矽與碳構成物來自一含矽與碳前驅物,而氧可來自一在遠端電漿區域中被活化的含氧前驅物。緊接著在沉積之後,於硬化之前藉由暴露於含氫與氮前驅物(諸如氨)來處理該矽碳氧層。此處理可從矽碳氧層移除殘餘的濕氣,並且可使晶格在硬化與後續處理期間更有彈性。此處理可減少矽碳氧層在後續處理期間的縮小。
低k介電質材料是藉由將小孔插入到膜內與/或選擇自然地呈現低k的材料來達成。低k介電質材料可傾向於縮小,尤其是當圖案化基材的溫度在後沉積處理期間升高時。沉積之後的氨處理(或另一個適合的含氫與氮前驅物)能以受控方式移除成分(例如濕氣),同時穩定化結晶晶格以預備後續的處理。使用在此揭示的技術所產生的低k介電質膜可呈現在後沉積處理期間與產生之半導體元件的壽命期間更少的縮小。減少的縮小能藉由以下而有利於半導體元件的運作:(1)減少導電元件之間的干擾及(2)提供更大的物理穩定性,這會增加生產產量。來自含氫與氮前驅物的一些氮在處理期間可被添加到低k層,但是濃度可以是非常低的,其中低濃度使低k層的介電常數維持低的。
為了更加瞭解與知悉本發明,現在參照第1圖,第1圖是圖示根據實施例在形成隙縫填充低k介電質膜於基材上的方法101中所選擇步驟的流程圖。在操作 110,使一含氧前驅物流動到一遠端電漿區域中的遠端電漿內,以形成電漿流出物。含氧前驅物在基材處理腔室外面的一遠端電漿系統中(remote plasma system,RPS)所形成的遠端電漿中與/或在基材處理腔室內但藉由一限制(諸如噴頭)而分隔的一遠端電漿區域中被賦予能量。含氧前驅物可在遠端電漿中被激發。在遠端電漿(無論位置在何處)中,含氧前驅物可被分解、被自由基化與/或被轉變成位在電漿流出物內的自由基氧前驅物。例如,當含氧前驅物的源是O2時,自由基氧前驅物可包括.O、.O2、O3與氧自由基的一或多者。自由基氧前驅物接著流動通過一限制(諸如噴頭)到一基材處理區域內。在操作120,獨立地,使含矽與碳前驅物流動到基材處理區域內。自由基氧前驅物可與含矽與碳前驅物在基材處理區域中首次混合(操作130)。根據實施例,在進入基材處理區域之前,含矽與碳前驅物沒有流動通過任何電漿。自由基氧前驅物與含矽與碳前驅物在圖案化基材附近的結合形成了一可流動之低k介電質膜(例如可流動之矽碳氧膜)於圖案化基材上。在沉積期間,可流動之低k介電質膜可流動於基材上,以促進填充受限制的幾何形態,甚至是在低基材溫度時。
含氧前驅物可包括臭氧(O3)、分子氧(O2)、原子氧(O)、NO、N2O與NO2的一或多者。根據實施例,含氧前驅物可被伴隨有一或更多個額外的氣體,諸如氫(H2)、氮(N2)、氦、氖、氬。電漿流出物包含一自由基 氧前驅物,該自由基氧前驅物被定義成具有至少一個未配對電子的任何含氧化合物。自由基氧前驅物可貢獻一些或所有的氧構成物在沉積的低k膜中,其中該沉積的低k膜在實施例中可以是矽碳氧膜。根據一些實施例,氧前驅物可以不含氮,以促進可流動且相當低k介電質隙縫填充的形成。可流動之低k介電質膜可在沉積期間於在此所述的低基材溫度下流動於表面上,以有助於填充圖案化基材中的溝槽。類似地,根據實施例,遠端電漿區域可不含有氮(例如沒有氮(N2)),以為了促進低k介電質的形成。例如,在實施例中,含氧前驅物可包括臭氧、分子氧與原子氧。在此情況中,自由基氧(電漿流出物的一成分)亦將不含氮。在實施例中,矽碳氧膜可以是不含氮的。根據實施例,氧前驅物亦可含有碳,其提供至少一些碳用於沉積的矽碳氧層。
根據實施例,含矽與碳前驅物可進一步含有氧。含矽與碳前驅物可具有Si-O對Si的比例為1或小於3。這些比例和使用在此所述的方法的可流動之低k介電質膜的產生有關。Si-O對Si的比例是藉由數算前驅物中Si-O鍵的數量且除以前驅物中矽原子的數量來計算。例如,含矽與碳前驅物可以是八甲基環化四矽氧烷或四甲基環化四矽氧烷,其各具有Si-O:Si為1的比例,並且已經被發現到和增加的可流動性的程度和減少的介電常數相關。在實施例中,含矽與碳前驅物可以是不含氮的,以產生不含氮的低k介電質。
根據實施例,額外的含矽與碳前驅物可與含矽與碳前驅物結合,以調整可流動之低k介電質膜的性質。在實施例中,第二含矽與碳前驅物可具有Si-O對Si的比例為4或大於2。這些比例和較不可流動之低k但更大強度的膜的產生相關。根據實施例,相較於以Si-O:Si=1或Si-O:Si=2之高濃度所製造的膜,強健的膜可具有更大的塊體模數或更大的剪切模數。第二含矽與碳前驅物可以是四甲基正矽酸鹽,其具有Si-O:Si為4的比例。
起初沉積的矽碳氧層的可流動性可取決於各種性質,該各種性質起因自混合自由基氧前驅物與含矽與碳前驅物。這些性質可包括在起初沉積的矽碳氧層中之顯著的碳含量與顯著的氫含量。可流動性不倚賴高基材溫度,所以起初可流動之含矽碳與氧層在沉積期間可填充隙縫,甚至在相當低溫度的基材上。在實施例中,基材的溫度在操作130期間可小於150℃、小於120℃、小於100℃或介於65℃與95℃之間。在操作130中之低k介電質或矽碳氧膜的沉積期間,基材處理區域中的壓力可以是約0.1托至約10托(例如約0.5至約6托等)。起初可流動之矽碳氧層可被沉積在暴露的平坦表面上以及隙縫中,起初可流動之矽碳氧層的滲透是藉由低k介電質膜在沉積期間的流動本質所達成。根據實施例,低k介電質膜(矽碳氧層)的厚度可大於50Å、大於100Å、大於150Å、大於250Å或大於350Å。在實施例中,矽碳氧層可由矽、碳與氧構成或可由矽、碳、氧與氫構成。在實施例中,矽碳 氧層是不含氮的。根據實施例,給予此實例之所有的膜性質與製程參數亦應用到以下的實例。
當可流動之矽碳氧層達到期望厚度時,可將製程流出物從基材處理區域移除,與/或到基材處理區域內的自由基氧的流動可停止。這些製程流出物可包括任何未反應的含氧以及含矽與碳前驅物、稀釋劑與/或載氣及沒有沉積在基材上的反應產物。可藉由將基材處理區域淨空與/或將基材處理區域中的流出物取代為非沉積氣體,以移除製程流出物。在實施例中,矽碳氧層的碳含量(在原子百分比基礎上)可大於5%或大於8%。在一較佳實施例中,碳含量的原子百分比大於10%,這進一步增加了起初可流動之矽碳氧膜的可流動性。在實施例中,矽碳氧層的氧含量(在原子百分比基礎上)可大於5%、大於8%或大於10%。
在實施例中,含氧前驅物可以介於10sccm與4000sccm之間、介於200sccm與3000sccm之間或介於500sccm與2000sccm之間的流速被流動到遠端電漿區域內。含矽與碳前驅物能以氣體或液體的形式來供應。根據實施例,含矽與碳前驅物可以介於10sccm與4000sccm之間、介於200sccm與3000sccm之間或介於1200sccm與2000sccm之間的流速直接地被流動到基材處理區域內。在實施例中,含矽與碳前驅物可以介於0.1毫克/分鐘與2000毫克/分鐘之間、介於0.3毫克/分鐘與1000毫克/分鐘之間或介於0.5毫克/分鐘與100 毫克/分鐘之間、的流速直接地被流動到基材處理區域內(藉由載氣的輔助)。
在操作140中,藉由將氨流動到基材處理區域內來處理圖案化基材。在實施例中,基材處理區域在操作140期間可不含有電漿(可以是沒有電漿)。根據實施例,氨在到基材處理區域的途中可不通過任何電漿。經處理的膜已經被發現到較少縮小且維持比未處裡之類似膜更大的物理強度。此處理可使後續之以紫外光或電子束的硬化更有效。將低k介電質膜予以硬化已經在傳統上為了移除非期望成分以及為了將殘餘母體交聯以建立堅硬材料晶格而負責。操作140的氨處理可移除非期望成分,以致後續的硬化可更僅將殘餘的母體交聯而不會有同時的材料的移除。
在處理期間,氨在操作140可流動到遠端電漿區域內且通過遠端電漿區域到基材處理區域內,在此情況中,根據實施例,使遠端電漿區域與基材處理區域皆可含有幾乎少量或不含有電漿。在實施例中,遠端電漿區域與基材處理區域可以是不含電漿的。或者,可將氨繞過遠端電漿區域而直接地流動到基材處理區域內。在實施例中,遠端電漿區域與/或基材處理區域可含有幾乎少量或不含有電漿或沒有電漿。
在實施例中,在此處理操作(操作140或以下描述的操作220)之前,低k介電質膜(例如矽碳氧膜)可除了矽、碳、氫與氧以外不含有元素。根據實施例,在操作 140或操作220之後,矽碳氧膜可除了矽、碳、氮、氫與氧以外不含有元素。
大致上而言,可在此處理操作期間使用含氫與氮前驅物。含氫與氮前驅物可包括氨與聯胺的一或更多者。根據實施例,含氫與氮前驅物可僅由氫與氮構成。在實施例中,在處理(操作140)期間,基材處理區域可不含有氧。根據實施例,在操作140期間,基材處理區域可不含有濕氣。
在實施例中,含氫與氮前驅物可以介於10sccm與4000sccm之間、介於200sccm與3000sccm之間或介於300sccm與2000sccm之間的流速被流動到基材處理區域內。在實施例中,基材的溫度在操作140期間可小於150℃、小於120℃、小於100℃或介於65℃與95℃之間。在操作140中施加到低k介電質或矽碳氧膜的處理操作期間,基材處理區域中的壓力可以是約0.1托至約10托(例如約0.5托至約6托等)。
現在將參照第2圖,第2圖是圖示根據實施例在形成隙縫填充低k介電質膜於基材上的方法201中所選擇步驟的流程圖。流速、壓力、溫度、厚度與膜性質可和前述實例具有相同的量,並且在此不再重複。在操作210中,可流動之低k介電質膜被形成在一圖案化基材上。可流動之低k介電質膜係在沉積期間於在此所述的低基材溫度下流動在圖案化基材表面上。低k介電質膜的流動本質容許材料從高區域重新分佈到圖案化基材表面上的溝 槽的內部,其中該高區域具有較高的從沉積源接收材料的可能性。
在操作220(處理操作)中,圖案化基材接著被暴露於一含有氨的環境。根據實施例,環境中的壓力可以是約1托且可不含有濕氣且可不含有氧。在實施例中,環繞低k介電質膜的環境可以除了氨或另一個含氫與氮前驅物以外是化學惰性的。對於操作210與操作220,圖案化基材可位在相同的基材處理區域中。執行在相同的基材處理區域中的該兩操作對於快速處理迅速後繼沉積是有益的。在沉積之後迅速地處理該圖案化基材已經被發現到更有效,甚至在圖案化基材被維持在「真空」下的情況中。或者,根據實施例,操作210與操作220可被執行在相同基材處理主機上之不同的基材處理區域中,並且在此兩操作之間的時間期間可不破真空。當然,前述對於氨的概括亦應用到此實例。
根據實施例,從將圖案化基材一路傳送的操作到將氨流動到基材處理區域內以處理該圖案化基材的操作,圖案化基材可不被暴露於外面大氣(半導體處理主機或腔室外來自清潔室的大氣)。
根據實施例,圖案化基材接著藉由例如將圖案化基材暴露於紫外光或電子束(e-束)被硬化(操作230)。如前所述,處理操作220的存在會減少硬化操作230的責任。所述順序中此兩操作的存在已經被發現到造 成一低k介電質層,該低k介電質層實質上在後續處理中呈現較少的縮小。
對於在此呈現的所有實例,可流動所沉積之低k介電質膜在該膜形成之後被固化。固化可涉及硬化、退火與/或僅等待固化發生。硬化可涉及使圖案化基材暴露於紫外光(UV光)或電子束(「e-束照射」)。根據實施例,在完成的元件的溝槽中,低k介電質膜可具有介於2.2與3.0之間、介於2.4與2.8之間或介於2.5與2.7之間的介電常數。在一線性低k介電質膜被包括以促進可流動性的情況中,線性低k介電質膜與塊體低k介電質膜皆被包括作為隙縫填充,並且此兩者的貢獻被包括用以決定介電常數。
使用在此所述的技術所填充的隙縫與溝槽可具有一高度與一寬度,其定義高度對寬度的高寬比(aspect ratio,AR)(即H/W),高寬比顯著地大於1:1(例如5:1或更大、6:1或更大、7:1或更大、8:1或更大、9:1或更大、10:1或更大、11:1或更大、12:1或更大等)。根據實施例,在許多情形中,高AR是由於低於32nm、低於28nm、低於22nm或低於16nm的小隙縫寬度。
根據實施例,在此所述的任何或所有的沉積方法可於沉積期間在基材處理區域中具有低電子溫度,以增加可流動性與/或維持低介電常數。所以,技術可用以藉由使用一離子抑制件與/或一噴頭而減少電子溫度。包括 自由基氧前驅物的電漿流出物係從遠端電漿區域流動通過噴頭與/或離子抑制件到基材處理區域。在實施例中,一離子抑制件(其可以是噴頭)可用以提供用於沉積的自由基與/或中性物種。離子抑制件亦可稱為一離子抑制元件。例如,在實施例中,離子抑制件用以過濾從遠端電漿區域到基材處理區域途中的電漿流出物(包括自由基氧)。離子抑制件可用以提供一反應性氣體,該反應性氣體具有比離子更高的自由基的濃度。電漿流出物通過設置在遠端電漿區域與基材處理區域之間的離子抑制件。離子抑制件的功能在於戲劇性地減少或實質上去除從電漿產生區域行進到基材的離子物種。在此所述的離子抑制件僅是一種上述之在低k介電質沉積與/或氧化矽襯裡沉積期間達到基材處理區域中低電子溫度的方式。
可於遠端電漿中電漿的激發期間在基材處理區域中使用朗繆爾(Langmuir)探針來測量電子溫度。在實施例中,電子溫度可以小於0.5eV、小於0.45eV、小於0.4eV或小於0.35eV。這些電子溫度的極低值是藉由噴頭與/或離子抑制件的存在來達到。未充電的中性與自由基物種可通過噴頭/離子抑制件中的開口,以在基材處反應。相較於包括濺射與轟擊的傳統沉積製程,使用自由基與其他中性物種之這樣的製程可減少電漿損壞。
引進替代的命名,基材處理區域可在此被描述述為於在此所述的沉積製程期間「不含電漿」。「不含電漿」不是必然意謂此區域不含有電漿。電漿區域內產生的 離子化物種與自由電子可以極小濃度行進通過分隔件(噴頭)中的小孔(孔隙)。腔室電漿區域中電漿的邊界是難以定義的且可經由噴頭中的孔隙侵入基材處理區域。再者,可在基材處理區域中建立低強度電漿,而不去除在此所述的沉積製程的期望特徵。在激發電漿流出物的產生期間,所有具有比腔室電漿區域更低得多強度離子密度的電漿的原因不脫離在此所使用的「不含電漿」的範疇。
執行在此呈現的方法的基材處理腔室的實施例可被併入到一用以製造積體電路晶片的基材處理系統中。第3圖顯示根據實施例之一用於沉積與硬化腔室的示範性處理系統1001。前開式整合艙(front opening unified pods,FOUPs)1002藉由機械手臂1004供應基材到低壓固持區域1006內。第二機械手臂1010可用以將基材晶圓從固持區域1006傳送到基材處理腔室1008a~f且返回。
基材處理腔室1008a~f可設以沉積或執行各種處理於經沉積的層上。在一組態中,兩對處理腔室(例如1008c~d與1008e~f)可用以沉積可流動之介電質材料於基材上,並且第三對處理腔室(例如1008a~b)可用以使用紫外光或電子束照射將介電質材料予以硬化。
第4A圖是根據實施例的一基材處理腔室1101。一遠端電漿系統(RPS)1110可處理一氣體,該氣體接著行進通過一氣體輸入組件1111。兩個不同的氣體供應通道在氣體輸入組件1111內是可看見的。一第一 通道1112承載通過遠端電漿系統(RPS)1110的氣體,而一第二通道1113繞過RPS 1110。在實施例中,第一通道1112可用於供製程氣體所用,並且第二通道1113可用於供處理氣體所用。圖上顯示具有一絕緣環1124在上蓋(或導電頂部)1121與一孔隙分隔件1153之間,這容許AC電位相對於孔隙分隔件1153被施加到上蓋1121。製程氣體行進通過第一通道1112到腔室電漿區域1120內且可單獨地被腔室電漿區域1120中的電漿或與RPS 1110結合所激發。腔室電漿區域1120與/或RPS 1110的組合在此可稱為一遠端電漿系統。孔隙分隔件(亦稱為噴頭)1153將腔室電漿區域1120與噴頭1153下方的基材處理區域1170分離。噴頭1153容許電漿存在於腔室電漿區域1120中,以避免在基材處理區域1170中直接地激發氣體,同時仍容許經激發的物種(電漿流出物)從腔室電漿區域1120行進到基材處理區域1170內。
噴頭1153設置在腔室電漿區域1120與基材處理區域1170之間,並且容許在腔室電漿區域1120內產生的電漿流出物(經激發的前驅物或其他氣體的衍生物)通過橫越板厚度的複數個穿孔1156。噴頭1153亦具有一或更多個中空容室1151,中空容室1151可被填充蒸汽或氣體形式的前驅物且通過小孔洞1155到基材處理區域1170內,但不直接到腔室電漿區域1120內。
在所顯示的實施例中,噴頭1153可分佈(經由穿孔1156)含有氧的製程氣體與/或藉由腔室電漿區域 1120中電漿所激發的製程氣體的電漿流出物。在實施例中,被引進到RPS 1110與/或經由第一通道1112被引進到腔室電漿區域1120的製程氣體可含有氧(O2)、臭氧(O3)、N2O、NO與NO2的一或多者。然而,在實施例中,含氧前驅物可不含氮,遠端電漿區域可不含氮,且產生的Si-O-C膜可同樣地不含氮。製程氣體亦可包括一載氣,諸如氦、氬、氮(N2)等。第二通道1113亦可輸送一製程氣體與/或一載氣,與/或一用以從所生長或所沉積的膜移除不希望成分的膜硬化氣體(例如O3)。電漿流出物可包括製程氣體的離子化或中性衍生物,並且在此亦可稱為自由基氧前驅物,這是意指所引進的製程氣體的原子構成物。
第4B圖是根據實施例之與處理腔室併同使用的一噴頭1153的仰視圖。噴頭1153對應於第4A圖所顯示的噴頭。穿孔1156被繪製成在噴頭1153的底部上具有較大的內徑(ID)且在頂部處具有較小的ID。小孔洞1155實質上均勻地被分佈在噴頭的表面上,甚至在穿孔1156之間,其有助於提供更均勻的混合。
當抵達穿過噴頭1153的穿孔1156的電漿流出物和抵達穿過源自中空容室1151的小孔洞1155的含矽與碳前驅物結合時,一示範性膜被產生在基材處理區域1170內由載座(未示出)所支撐的基材上。儘管基材處理區域1170可設以支持用於其他製程(諸如硬化)的電漿,於該示範性膜的生長期間沒有電漿存在。載座可設以冷卻 或加熱經支撐的基材,以維持相當低的溫度(從室溫到約120℃)。
可在噴頭1153上方的腔室電漿區域1120中或在噴頭1153下方的基材處理區域1170中點燃一電漿。電漿存在於腔室電漿區域1120中,以從含氧氣體的進流產生自由基氧前驅物。AC電壓,典型地在射頻(RF)範圍中,被施加在處理腔室的導電頂部1121與噴頭1153之間,以於沉積期間在腔室電漿區域1120中點燃一電漿。一RF功率供應器產生13.56MHz的高RF頻率,但亦可單獨地或與13.56MHz頻率結合產生其他頻率。示範性RF頻率包括微波頻率,諸如2.4GHz。在實施例中,於可流動之膜的沉積期間,遠端電漿功率可以是大於或約1000瓦、大於或約2000瓦、大於或約3000瓦、或大於或約4000瓦。
當在第二硬化階段或清潔內部表面邊界基材處理區域1170期間基材處理區域1170中的底部電漿被啟動時,遠端電漿可被遺留在低或無功率。基材處理區域1170中的電漿是藉由施加AC電壓在噴頭1153與載座或腔室的底部來點燃。當電漿存在時,一清潔氣體可被引進到基材處理區域1170內。
基材處理系統被一系統控制器所控制。一用以在基材上沉積一膜堆疊(例如依序之含矽氧與氫層及接著含矽氧與碳層的沉積)且將膜轉變成氧化矽的製程,或一 用以清潔腔室的製程,可使用一由系統控制器所執行的電腦程式產品來實現。
如在此所使用,「基材」可以是一具有或不具有層被形成在其上的支撐基材。支撐基材可以是絕緣體或各種摻雜濃度與輪廓的半導體,並且可以是例如一用於製造積體電路的半導體基材的類型。詞語「前驅物」用以意指參與反應以從表面移除材料或沉積材料到表面上的任何製程氣體。處於「激發狀態」的氣體係描述一氣體,其中至少一些氣體分子處於震動地激發、分解與/或離子化的狀態。一氣體(或前驅物)可以是兩或更多種氣體(或前驅物)的組合。一「自由基前驅物」用以描述參與反應以從表面移除材料或沉積材料到表面上的電漿流出物(處於激發狀態且離開電漿的氣體)。一「自由基氧前驅物」是一含有氧且在實施例中可以不含氮的自由基前驅物。術語「惰性氣體」係指當蝕刻或被併入到一膜內時不形成化學鍵的任何氣體。示範性惰性氣體包括貴族氣體,但是可包括其他氣體,只要當(典型地)微量被捕獲在一膜中時沒有化學鍵被形成。
用語「隙縫」或「溝槽」被使用在整篇本文中,而沒有暗示經蝕刻的幾何形態具有大的水平高寬比。從表面上方俯看表面,隙縫與溝槽可呈現成圓形、橢圓形、多邊形、矩形或各種其他形狀。如在此所使用,一共形層係指位在表面上的一大致上均勻的材料層而具有與該表面相同的形狀,即層的表面與被覆蓋的表面是大致上平行 的。此技術領域中具有通常知識者將可瞭解的是經沉積的材料可能無法100%共形,並且因此用語「大致上」容許可接受的容忍度。
已經描述了一些實施例,熟習此技術領域的人士可瞭解的是各種變更、替代構造與均等物可被使用而不悖離本發明的精神。此外,許多已知的製程與元件沒有被描述,以為了避免不必要地模糊化本發明。因此,上述說明應該不會被視為對本發明的範疇構成限制。
當提供一數值範圍時,除非文字中另外清楚指明,可瞭解亦詳細揭示介於該範圍的上與下限值之間的各個中間值。涵蓋了一所陳述範圍中的任何陳述數值或中間值與該陳述範圍中任何其他陳述或中間值之間的每一個較小範圍。這些較小範圍的上與下限值可獨立被包含在該範圍中或被排除在該範圍外,且各範圍(其中極限值的其中一個、沒有極限值、或兩者被包括在該較小範圍中)亦被涵蓋在本發明內,除非在該陳述範圍中有特別排除。當所陳述之範圍包括極限值的其中一個或兩個時,亦包括排除那些包括的極限值的一個或兩個的範圍。
如在此所使用與如在隨附的申請專利範圍中所使用,單數形式「一」、「一個」與「該」包括複數形式,除非文字中另外清楚指明。因此,舉例而言,「製程」意指包括複數個這樣的製程,並且「前驅物」意指熟習此技術領域的人士所知道的一或更多個前驅物與均等物。
又,說明書與隨附的申請專利範圍中使用的用語「包含」、「含有」、「包括」與「含括」是意指所陳述之特徵、整合件、部件或步驟的存在,但其並不排除一個或更多個其他特徵、整合件、部件、步驟、動作或群組的存在或增加。
101‧‧‧方法
110~140‧‧‧操作

Claims (15)

  1. 一種處理一低k介電質膜的方法,該方法包含以下步驟:形成一矽碳氧膜於一基材上,其中該矽碳氧膜包含矽、碳與氧;將該矽碳氧膜暴露於一包含一含氫與氮前驅物的大氣;在將該矽碳氧膜暴露於一包含一含氫與氮前驅物的大氣之後,將該矽碳氧膜暴露於紫外光或電子束的至少一者。
  2. 如請求項1所述之方法,其中該含氫與氮前驅物僅由氫與氮構成。
  3. 如請求項1所述之方法,其中在將該矽碳氧膜暴露於一包含一含氫與氮前驅物的大氣的操作之前,該矽碳氧膜除了矽、碳、氫與氧以外不含有元素。
  4. 如請求項1所述之方法,其中在將該矽碳氧膜暴露於一包含一含氫與氮前驅物的大氣的操作之後,該矽碳氧膜除了矽、碳、氮、氫與氧以外不含有元素。
  5. 如請求項1所述之方法,其中在將該矽碳氧膜暴露於該包含該含氫與氮前驅物的大氣時,該基材的溫度小於150℃。
  6. 如請求項1所述之方法,其中該包含該含氫與氮前驅物的的大氣不含有氧。
  7. 一種填充一溝槽的方法,該方法包含以下步驟:將一包含該溝槽的圖案化基材傳送到一基材處理腔室的一基材處理區域內;使一含氧前驅物流動到一遠端電漿區域內,同時點燃一遠端電漿,以形成一自由基氧前驅物;使一含矽與碳前驅物流動到該基材處理區域內,而不先使該含矽與碳前驅物通過任何電漿;使該自由基氧前驅物流動到該基材處理區域內;在該基材處理區域中結合該含矽與碳前驅物與該自由基氧前驅物,以形成一低k介電質膜於該圖案化基材上,其中在該低k介電質膜填充該溝槽的期間,該低k介電質膜的一部分沉積在該圖案化基材上且沿著該圖案化基材的表面流動;及使一含氫與氮前驅物流動到該基材處理區域內,以處理該圖案化基材且形成一經處理的低k介電質膜。
  8. 如請求項7所述之方法,其中該低k介電質膜在一完成的元件的該溝槽中具有介於2.2與3.0之間的介電常數。
  9. 如請求項7所述之方法,其中該含矽與碳前 驅物具有Si-O對Si的比例為小於3。
  10. 如請求項7所述之方法,其中該含矽與碳前驅物具有Si-O對Si的比例為1。
  11. 如請求項7所述之方法,更包含以下步驟:藉由將該經處理的低k介電質膜暴露於紫外光與電子束輻射的一或兩者以硬化該經處理的低k介電質膜的操作。
  12. 如請求項7所述之方法,其中該含矽與碳前驅物是八甲基環化四矽氧烷或四甲基環化四矽氧烷。
  13. 一種填充一溝槽的方法,該方法包含以下步驟:將一包含該溝槽的圖案化基材傳送到一基材處理腔室的一基材處理區域內;使一分子氧流動到一遠端電漿區域內,同時點燃一遠端電漿,以形成電漿流出物;經由穿過一噴頭中的穿孔,使該些電漿流出物流動到該基材處理區域內,該噴頭係設置且形成一介於該遠端電漿區域與該基材處理區域之間的邊界;使一含矽與碳前驅物流動到該基材處理區域內,而不使該含矽與碳前驅物先通過一電漿,其中該含矽與碳前驅物具有Si-O:Si的比例為小於3; 在該基材處理區域中結合該含矽與碳前驅物與該些電漿流出物,以形成一低k介電質膜於該圖案化基材上;使氨流動到該基材處理區域內以處理該圖案化基材且形成一經處理的低k介電質膜,其中該基材處理區域不含有電漿;及藉由暴露於紫外光以硬化該經處理的低k介電質膜。
  14. 如請求項13所述之方法,其中該含矽與碳前驅物具有Si-O:Si的比例為1。
  15. 如請求項13所述之方法,其中從傳送該圖案化基材到使氨流動到該基材處理區域內以處理該該圖案化基材,該圖案化基材沒有暴露於外面大氣。
TW104132221A 2014-09-30 2015-09-30 可流動之低k介電質隙縫塡充處理 TWI670772B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/502,492 US9362107B2 (en) 2014-09-30 2014-09-30 Flowable low-k dielectric gapfill treatment
US14/502,492 2014-09-30

Publications (2)

Publication Number Publication Date
TW201618190A true TW201618190A (zh) 2016-05-16
TWI670772B TWI670772B (zh) 2019-09-01

Family

ID=55585237

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104132221A TWI670772B (zh) 2014-09-30 2015-09-30 可流動之低k介電質隙縫塡充處理

Country Status (4)

Country Link
US (1) US9362107B2 (zh)
KR (1) KR102479806B1 (zh)
TW (1) TWI670772B (zh)
WO (1) WO2016053619A1 (zh)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10811251B2 (en) 2016-09-30 2020-10-20 Intel Corporation Dielectric gap-fill material deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10510615B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190382886A1 (en) 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11133177B2 (en) * 2018-12-20 2021-09-28 Applied Materials, Inc. Oxidation reduction for SiOC film
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11756786B2 (en) * 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11469100B2 (en) * 2019-05-30 2022-10-11 Applied Materials, Inc. Methods of post treating dielectric films with microwave radiation
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
KR20210021420A (ko) * 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186745A (en) 1991-02-04 1993-02-16 Motorola, Inc. Teos based spin-on-glass and processes for making and using the same
US5461010A (en) 1994-06-13 1995-10-24 Industrial Technology Research Institute Two step etch back spin-on-glass process for semiconductor planarization
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6548399B1 (en) 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
AU2008221198A1 (en) * 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing

Also Published As

Publication number Publication date
TWI670772B (zh) 2019-09-01
KR102479806B1 (ko) 2022-12-20
WO2016053619A1 (en) 2016-04-07
US9362107B2 (en) 2016-06-07
US20160093488A1 (en) 2016-03-31
KR20170063911A (ko) 2017-06-08

Similar Documents

Publication Publication Date Title
TWI670772B (zh) 可流動之低k介電質隙縫塡充處理
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US9412581B2 (en) Low-K dielectric gapfill by flowable deposition
KR102385974B1 (ko) SiN 박막들의 형성
JP6890550B2 (ja) 高アスペクト比ビアの洗浄
US9412608B2 (en) Dry-etch for selective tungsten removal
US8551891B2 (en) Remote plasma burn-in
US9406523B2 (en) Highly selective doped oxide removal method
US9478432B2 (en) Silicon oxide selective removal
KR20190095142A (ko) 실리콘 산화물막에 대한 증착후 처리 방법
US10096466B2 (en) Pulsed plasma for film deposition
TW201124553A (en) Oxygen-doping for non-carbon radical-component CVD films
WO2014113177A1 (en) Dry-etch for selective tungsten removal
JP2016510507A (ja) 低収縮性誘電膜
US20140329027A1 (en) Low temperature flowable curing for stress accommodation
KR102546214B1 (ko) 다공성 필름들 내로의 uv-보조 재료 주입
TWI743242B (zh) 高壓退火及降低濕蝕刻速率
US20160068969A1 (en) Integrated processing for microcontamination prevention