KR102605757B1 - 플라즈마 강화 원자 층 식각의 방법 - Google Patents

플라즈마 강화 원자 층 식각의 방법 Download PDF

Info

Publication number
KR102605757B1
KR102605757B1 KR1020160004759A KR20160004759A KR102605757B1 KR 102605757 B1 KR102605757 B1 KR 102605757B1 KR 1020160004759 A KR1020160004759 A KR 1020160004759A KR 20160004759 A KR20160004759 A KR 20160004759A KR 102605757 B1 KR102605757 B1 KR 102605757B1
Authority
KR
South Korea
Prior art keywords
gas
etching
substrate
layer
reaction space
Prior art date
Application number
KR1020160004759A
Other languages
English (en)
Other versions
KR20160088818A (ko
Inventor
아츠키 후카자와
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20160088818A publication Critical patent/KR20160088818A/ko
Application granted granted Critical
Publication of KR102605757B1 publication Critical patent/KR102605757B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

기판 상의 층을 식각하는 방법은 적어도 하나의 식각 사이클을 포함하고, 식각 사이클은: 반응 공간내에 비활성 가스를 연속적으로 제공하는 단계; 기판의 표면 상에 식각 가스를 비여기 상태에서 화학흡착하기 위해 반응 공간의 상류에 연속적인 비활성 가스 흐름으로 식각 가스의 펄스를 제공하는 단계; 및 반응 공간에서 비활성 가스의 반응성 종을 생성하여 상기 기판 상의 층이 식각되도록 하기 위하여 전극들 사이에 RF 전력 방전의 펄스를 제공하는 단계를 포함한다.

Description

플라즈마 강화 원자 층 식각의 방법{METHOD OF PLASMA-ENHANCED ATOMIC LAYER ETCHING}
본 발명은 일반적으로 원자 층 식각 (ALE) 의 방법에 관한 것이고, 특히 플라즈마 강화 ALE (PEALE) 에 관한 것이다.
반도체 디바이스들의 다양한 프로세싱 수반 초소형화 및 복잡한 구조들에 대처하기 위하여, 프로세싱의 정밀도가 이중 패터닝과 같은 새로운 기술에 의해 두드러지게 개선되었다. 하지만, 여기된 반응성 종을 이용한 연속적인 식각과 같은 종래 식각 기술은 미세한, 좁은 요철 패턴을 형성하기 곤란할 수도 있고 형상의 결함들을 야기할 수도 있다. 따라서, 원자 층 레벨 식각을 수행할 수 있으며, 예를 들어, 이중 패터닝 프로세스들에 적합한 식각 기술의 개발이 중요해졌다. 하지만, 낮은 식각 레이트, 식각의 방향성에 대한 불량한 제어가능성 등이 개선될 필요가 있다.
관련 기술에 관련된 문제 및 해법들에 관한 임의의 논의는 오로지 본 발명을 위한 맥락을 제공하는 목적을 위해서만 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌다라는 것을 인정하는 것으로 받아들여져서는 안된다.
본 발명의 개요
일부 실시형태들은, 하기 특징들 중의 하나 이상을 특징으로 하는, 원자 층 레벨 식각 (원자층 식각, ALE 으로도 지칭된다) 의 방법을 제공한다: (a) 식각 가스가, 비활성 가스와 함께, 질량 흐름 제어기를 통해 반응 공간으로 공급되고, 식각 가스 및 비활성 가스는 질량 흐름 제어기의 하류 (downstream) 에서 모이고, 비활성 가스는 연속적으로 공급되지만, 식각 가스는 펄스로 공급됨으로써, 퍼징의 효율을 증가시키고; (b) 플라즈마가 식각에 사용되고, 비활성 가스 또는 질소 가스는 내부에 플라즈마를 생성하기 위한 반응 공간에 필수적인 반응 가스로서 공급되고, 식각 레이트는 산소 가스와 같은 산화 가스, 또는 수소 가스와 같은 환원 가스를 추가 반응물 가스로서 선택적으로 첨가하는 것에 의해 제어되고; (c) 프로세스 온도는 0℃ 내지 250℃ 에서 제어되고; (d) 식각의 방향성은 반응물 가스의 타입 및 식각 조건들을 선택함으로써 이방성 또는 등방성 식각 중 어느 하나를 수행하도록 제어된다.
일부 실시형태들에서, ALE 는 화학 기상 증착 (CVD), 원자 층 증착 (ALD) 등에 의한 막의 성막을 위한 반응 챔버로서 및/또는 건식 식각을 위한 반응 챔버로서 또한 사용되는 반응 챔버에서 수행될 수 있고, 식각을 수행하기 위한 추가 챔버가 요구되지 않다. 대안적으로, ALE 는 클러스터 장치에 설치된 다수의 챔버들 중의 하나로서 웨이퍼 핸들링 챔버에 부착된 반응 챔버에서 수행될 수 있다. 일부 실시형태들에서, ALE 는 동일한 반응 챔버에서 수행되는 이방성 또는 등방성 ALE 이고 이 반응 챔버는 또한 임의의 조합에서 O2-애싱, 이방성 식각 등에 사용되는 동일한 챔버이다. 일부 실시형태들에서, ALE 는 클러스터 장치의 다수의 반응 챔버들 중의 하나에서 수행되는 이방성 또는 등방성 ALE 이고 다른 반응 챔버들은 임의의 조합에서 O2-애싱, 이방성 식각 등을 위한 것들이다.
일부 실시형태들에서, ALE 를 위한 플라즈마가 유도 결합 전극들, 원격 플라즈마 유닛, 또는 이들의 조합을 이용하여 생성된다.
일부 실시형태들에서, ALE 를 위한 식각 가스는 플루오로카본, 다른 할로겐 함유 가스, 및 탄화수소 가스로 이루어지는 군으로부터 선택된 적어도 하나의 가스이다.
일부 실시형태들에서, 비활성 가스는 캐리어 가스 라인을 통해 흐르는 캐리어 가스, 반응물 가스 라인을 통해 흐르는 반응물 가스, 또는 캐리어 가스 및 반응물 가스 양자 모두로서 연속적으로 공급된다. 비활성 가스가 연속적으로 흐르므로, 이것은 퍼지 가스로서 작용할 수 있다.
본 발명의 양태들 및 관련 기술에 비해 달성되는 이점들을 요약하는 목적으로, 본 발명의 특정 목적들 및 이점들이 본 개시에서 설명된다. 물론, 모든 그러한 목적들 또는 이점들이 반드시 본 발명의 임의의 특정 실시형태에 따라 달성되는 것은 아닐 수도 있다는 것이 이해되야 한다. 따라서, 예를 들어, 당업자는, 여기에 교시되거나 또는 시사될 수도 있는 바처럼 다른 목적들 또는 이점들을 반드시 달성할 필요 없이 여기에 교시된 바처럼 하나의 이점 또는 이점들의 군을 달성하거나 또는 최적화하는 방식으로 본 발명이 구체화되거나 또는 수행될 수도 있다는 것을 인식할 것이다.
본 발명의 추가 양태들, 특징들 및 이점들은 다음의 상세한 설명으로부터 분명해질 것이다.
이제, 본 발명의 이들 및 다른 특징들이 본 발명을 한정하는 것이 아니라 본 발명을 예시하도록 의도된 바람직한 실시형태들의 도면들을 참조하여 설명될 것이다. 그 도면들은 예시 목적들을 위해 대폭 단순화되고 반드시 스케일대로인 것은 아니다.
도 1은 본 발명의 실시형태에서 사용가능한 반도체 기판을 식각하기 위한 PEALE (plasma-enhanced atomic layer etching) 장치의 개략도이다.
도 2는 본 발명의 실시형태에 따른 하나의 사이클에서 PEALE 의 개략적인 프로세스 시퀀스를 나타내고, 여기서 열에 예시된 스텝은 온 (ON) 상태를 나타내는 반면, 열에 예시된 무 스텝은 오프 (OFF) 상태를 나타내고, 각 열의 폭은 각 프로세스의 지속시간을 나타내지 않는다.
도 3은 비교 실시형태에 따른 비순환 식각 프로세스의 개략적인 프로세스 시퀀스를 도시하고, 여기서 열에 예시된 스텝은 온 상태를 나타내는 반면, 열에 예시된 무 스텝은 오프 상태를 나타내고, 각 열의 폭은 각 프로세스의 지속시간을 나타내지 않는다.
도 4는 본 발명의 실시형태에 따른 사이클당 식각 레이트 (EPC) (nm/cycle) 및 사이클당 식각 가스 피드 시간 (초) 사이의 관계를 보여주는 그래프이다.
도 5는 본 발명의 실시형태에 따른 사이클당 식각 레이트 (EPC) (nm/cycle) 및 사이클당 퍼지 시간 (초) 사이의 관계를 보여주는 그래프이다.
본 개시에서, “가스” 는 기화된 고체 및/또는 액체를 포함할 수도 있고 단일 가스 또는 가스들의 혼합물에 의해 구성될 수도 있다. 본 개시에서, 샤워헤드를 통해 반응 챔버에 도입된 프로세스 가스는 식각 가스 및 첨가 가스로 구성 (comprised of) 되거나, 본질적으로 이루어지거나 (consist essentially of), 또는 이루어질 (consist of) 수도 있다. 첨가 가스는 통상적으로, RF 전력이 반응물 가스에 인가될 때 식각 가스와 반응하기 위한 반응물 가스를 포함한다. 반응물 가스는, 반응물 가스와 혼합된 가스로서 또는 반응물 가스와 따로 반응물 챔버에 도입된 희석 가스로 희석될 수도 있다. 식각 가스는 영족 가스 (noble gas) 등의 캐리어 가스와 함께 도입될 수 있다. 또한, 프로세스 가스외의 가스, 즉 샤워헤드를 통과하지 않고서 도입된 가스는, 예를 들어, 반응 공간을 실링하는데 사용될 수도 있고, 이는 영족 가스와 같은 시일 가스를 포함한다. 일부 실시형태들에서, 용어 "식각 가스" 는 일반적으로, 기판 상의 층을 식각하는 식각 반응에 참여하는 적어도 하나의 가스상 또는 기화된 화합물을 지칭하고, 특히 비여기된 상태에서 층에 화학흡착되고 활성화될 때 층을 식각하는 적어도 하나의 화합물을 지칭하는 반면, 용어 "반응물 가스" 는 식각 가스의 활성화에 기여하거나 또는 식각 가스에 의한 식각 반응을 촉매하는 적어도 하나의 가스상 또는 기화된 화합물을 지칭한다. 용어 "식각 가스" 는, 맥락에 따라, 캐리어 가스 없이 활성 가스, 또는 활성 가스 및 캐리어 가스의 혼합물을 지칭한다. 유사하게, 용어 "반응물 가스" 는, 맥락에 따라, 희석 가스 없이 반응물 가스, 또는 희석 가스로 희석된 반응물 가스를 지칭한다. 용어 "캐리어 가스" 는 혼합 상태에서 반응 공간에 식각 가스를 나르고 식각 가스를 포함하는 혼합 가스로서 반응 공간에 진입하는 비여기 상태의 비활성 또는 불활성 가스를 지칭한다. 비활성 및 식각 가스는 반응 공간의 상류 (upstream) 의 어느 곳이든, 예를 들어, (a) 식각 가스 라인에 제공되는 질량 흐름 제어기의 상류에 식각 가스 라인으로서, 비활성 가스가 식각 가스 라인을 통해 흐르는 캐리어 가스 또는 퍼지 가스로서 제공되는, 상기 식각 가스 라인에서, (b) 식각 가스 라인에 제공되는 질량 흐름 제어기의 하류에 하지만 모든 또는 주된 프로세스 가스들이 모이는 가스 매니폴드의 상류에 식각 가스 라인으로서, 비활성 가스가 식각 가스의 일부로서 (캐리어 가스 또는 퍼지 가스로서) 제공되는, 상기 식각 가스 라인에서, 및/또는 (c) 모든 또는 주된 프로세스 가스들이 모이는 가스 매니폴드로서, 비활성 가스가 가스 매니폴드의 상류에 반응물 가스 또는 퍼지 가스로서 반응물 가스 라인에서 흐르는, 상기 가스 매니폴드에서 혼합 가스로서 모일 수 있다. 위에서, 통상적으로, (a) 는 드물다. 따라서, 비활성 가스는 캐리어 가스 (식각 가스의 일부) 및/또는 반응물 가스의 적어도 일부의 역할을 할 수 있고, 위의 가스들은 또한 퍼지 가스의 역할을 할 수 있다.
일부 실시형태들에서, "막" 은 실질적으로 핀홀들 없이 두께 방향에 수직한 방향으로 연속적으로 연장되어 전체 타겟 또는 관련 표면 (concerned surface) 을 커버하는 층, 또는 간단히 타겟 또는 관련 표면을 커버하는 층을 지칭한다. 일부 실시형태들에서, "층" 은, 표면 상에 형성된 특정 두께를 갖는 구조물 또는 막 또는 막이 아닌 구조물의 동의어를 지칭한다. 막 또는 층은, 특정 특성들을 갖는 별개 (discrete) 단일 막 또는 층, 또는 다수의 막들 또는 층들에 의해 구성될 수도 있고, 인접하는 막들 또는 층들 사이의 경계 (boundary) 는 명확 (clear) 하거나 또는 그렇지 않을 수도 있고, 물리적, 화학적 및/또는 임의의 다른 특성들, 형성 프로세스들 또는 시퀀스, 및/또는 인접하는 막들 또는 층들의 기능들 또는 목적들에 기초하여 확립될 수도 있다.
또한, 본 개시에서, 변수의 임의의 2개 수들은 변수의 작업가능한 범위를 구성할 수 있는데, 그 작업가능한 범위는 일상적인 작업 (routine work) 에 기초하여 결정될 수 있기 때문이고, 나타낸 임의의 범위들은 종점 (endpoint) 들을 포함하거나 또는 제외할 수도 있다. 또한, 표시된 변수들의 임의의 값들은 ("약" 으로 표시되든지 또는 그렇지 않든지에 상관 없이) 정확한 값들 또는 근사 값들을 지칭할 수도 있고 등가물 (equivalent) 들을 포함할 수도 있고, 일부의 실시형태들에서는, 평균, 중간 (median), 대표, 과반 (majority) 등을 지칭할 수도 있다. 또한, 용어들 “의해 구성되는” 및 “갖는” 은, 일부 실시형태들에서, “통상적으로 또는 대략적으로 포함하는”, “포함하는”, “로 본질적으로 이루어지는”, 또는 “로 이루어지는”을 독립적으로 지칭한다. 또한, 관사 "a" 또는 "an" 는 하나의 종을 지칭하거나 또는 다수의 종들을 포함하는 속 (genus) 을 지칭한다. 본 개시에서, 임의의 정의된 의미들은 일부 실시형태들에서 보통 및 관습적인 의미들을 반드시 제외하는 것은 아니다.
본 개시에서 조건들 및/또는 구조들이 명시되지 않는 경우에, 당업자는, 일상적인 실험의 문제로서, 본 개시의 관점에서, 그러한 조건들 및/또는 구조들을 손쉽게 제공할 수 있다. 모든 개시된 실시형태들에서, 실시형태에 사용된 임의의 요소는 그와 동등한 임의의 요소로 치환될 수 있고, 의도된 목적들을 위해 여기에서 명시적으로, 필요적으로, 또는 내재적으로 개시된 것들을 포함한다. 또한, 본 발명은 장치 및 방법들에 동일하게 적용될 수 있다.
그 실시형태들은 바람직한 실시형태들을 참조하여 설명될 것이다. 하지만, 본 발명은 그 바람직한 실시형태들에 한정되지 않는다.
앞서 논의된 문제들 중의 적어도 하나를 해결하는 일부 실시형태들은, 적어도 하나의 식각 사이클을 포함하는 원자층 식각 (ALE) 프로세스에 의해 반응 공간에서 전극들 사이에 배치된 기판 상의 층을 식각하는 방법을 제공하고, 여기서 식각 사이클은: (i) 적어도 식각 가스를 위한 캐리어 가스로서 흐르는 비활성 가스를 반응 공간내에 연속적으로 제공하는 단계; (ii) 반응 공간에서 기판의 표면 상에 식각 가스를 비여기 상태에서 화학흡착하기 위해 반응 공간의 상류에 연속적인 비활성 가스 흐름으로 식각 가스의 펄스를 제공하는 단계; 및 (iii) 반응 공간에서 비활성 가스의 반응성 종을 생성하고 반응성 종과 기판의 식각 가스 화학흡착된 표면을 접촉시켜 기판 상의 층이 식각되도록 하기 위하여 전극들 사이에 RF 전력 방전의 펄스를 제공하는 단계를 포함한다. 위에서, 용어 "연속적으로" 는, 실시형태에 따라, 공간에서 중단 없이 (예를 들어, 기판에 대한 중단되지 않은 공급), 흐름에서 중단 없이 (예를 들어, 중단되지 않은 유입), 및/또는 일정한 레이트에서를 지칭한다 (그 용어는 전술된 것 모두를 동시에 만족시킬 필요는 없다). 일부 실시형태들에서, "연속적" 흐름은 일정한 유량을 갖는다 (다르게는, 흐름이 "연속적" 이라 하더라도, 그의 유량은 시간에 따라 변화될 수도 있다). 본 개시에서, "화학흡착" 은 화학적 포화 흡착을 지칭한다. 화학흡착은 자기 제한 흡착 반응 프로세스이므로, 성막되는 식각 가스 분자들의 양은 반응 표면 사이트들의 수에 의해 결정되고, 포화 후의 전구체 노출과 관계 없고, 식각 가스의 공급은, 그에 의해 반응 표면 사이트들이 사이클마다 포화되도록 한다.
일부 실시형태들에서, 캐리어 가스는 또한 반응물 가스의 역할을 한다 (예를 들어, ALE 에 사용된 비활성 가스는 캐리어 가스로 이루어진다). 일부 실시형태들에서, 그 방법은, 반응 공간 내에 캐리어 가스로부터 분리하여 반응물 가스를 제공하는 단계를 더 포함하고, 일부 실시형태들에서, 반응물 가스는 ALE 프로세스 동안 연속적으로 반응물 공간에서 연속적으로 흐르는 비활성 가스를 포함한다.
일부 실시형태들에서, 식각 가스의 펄스 및 RF 전력 방전의 펄스는 오버랩되지 않는다. 일부 실시형태들에서, 반응 공간으로부터 과잉 식각 가스를 제거하기 위하여 식각 가스의 펄스와 RF 전력 방전의 펄스 사이에 퍼징 기간이 취해지고, 반응 공간으로부터 반응 생성물들을 제거하기 위하여 RF 전력 방전의 펄스 후에 퍼징 기간이 취해진다.
일부 실시형태들에서, 식각 사이클은, 식각될 목표 두께에 따라, 다수회 (예를 들어, 50 회 내지 5,000 회, 통상적으로 200 회 내지 2,000 회) 반복되고, 층의 표면 상의 원자 층으로서 화학흡측된 식각 가스가 ALE 사이클 당 식각할 수 있는 정도로 층이 식각된다. 일부 실시형태들에서, 기판의 층이 실리콘 산화물 막과 같은 실리콘 함유 유전 층일 때, 사이클당 식각 레이트 (EPC) 는, 상단 층 또는 블랭킷 층 (blanket layer) 이 식각되는 경우 측정했을 때, 적어도 0.003 nm/cycle (통상적으로 0.005 nm 내지 0.05 nm/cycle) 이고, 분당 식각 레이트는 적어도 0.10 nm/min (통상적으로 0.15 nm/min 내지 1.5 nm/min) 이다.
일부 실시형태들에서, 식각 가스는 C2F6, C4F8, C3F8, C5F8 등의 적어도 하나의 할로겐 함유 가스 및/또는 헥산 등의 적어도 하나의 탄화수소 가스이다. 일부 실시형태들에서, 할로겐 함유 가스 외의 다른 가스는 ALE 프로세스 전체에 걸쳐 식각 가스로서 흐르지 않는다.
일부 실시형태들에서, 비활성 가스는 ALE 프로세스 동안 연속적으로 반응 공간에서 캐리어 가스로서 흐른다. 대안적으로 또는 추가적으로, 비활성 가스는 ALE 프로세스 동안 연속적으로 반응 공간에서 반응물 가스로서 흐른다. 비활성 가스가 캐리어 가스를 구성하며 또한 캐리어 가스의 역할을 하고, 반응물 가스가 비활성 가스를 포함하는 경우, 반응물 가스의 비활성 가스는 반응 공간에서 펄스로 흐를 수 있다. 비활성 가스외의 반응물 가스는 반응 공간에서 펄스로 흐를 수도 있다. 반응 공간의 상류에 (예를 들어, 샤워헤드 또는 샤워헤드의 상류에 배치되는 다수의 가스들이 모이는 가스 매니폴드의 상류에) 식각 가스와 혼합되는 캐리어 가스, 반응물 가스 또는 임의의 다른 연속적으로 흐르는 가스는 대략적으로, 가스가 혼합 상태에서 식각 가스와 함께 반응 공간내에 진입하므로 캐리어 가스로서, 그리고 또한, 연속적 흐름이 반응 공간 및 기판 표면으로부터 원하지 않은 식각 가스 및 반응 생성물을 퍼징할 수 있으므로 퍼지 가스로서 지칭될 수도 있다.
일부 실시형태들에서, 비활성 가스는 영족 가스 (이를테면 He, Ne, Ar, Kr, 및/또는 Xe, 바람직하게는 Ar 및/또는 He), 질소 가스, 또는 영족 가스 및 질소 가스의 조합으로 이루어지는 군으로부터 선택된다.
일부 실시형태들에서, 반응물 가스는 비활성 가스 또는 비활성 가스 및 산화 가스 (예를 들어, O2 가스) 및 환원 가스 (예를 들어, H2 가스) 로 이루어지는 군으로부터 선택된 적어도 하나의 추가 가스로 이루어진다. 일부 실시형태들에서, ALE 에 사용되는 비활성 가스는 캐리어 가스 라인을 통해 흐르는 캐리어 가스로 이루어지거나, 또는 캐리어 가스 라인을 통해 연속적으로 흐르는 캐리어 가스 및 캐리어 가스 라인과 분리된 반응물 가스 라인을 통해 연속적으로 흐르는 반응물 가스의 적어도 부분으로 이루어진다.
일부 실시형태들에서, 기판의 층은 리세스 패턴을 갖는다. 원자층 증착 (ALD) 과 마찬가지로, 식각의 등각성 (conformality) 또는 방향성은 일반적으로 ALE 프로세스에서 매우 높다. 하지만, 일부 실시형태들에서, 기판의 층은 비활성 가스를 반응 공간에 1,000 sccm 초과 (예를 들어, 2,000 sccm, 3,000 sccm, 4,000 sccm, 5,000 sccm, 6,000 sccm, 7,000 sccm, 또는 이들 사이의 임의의 값들) 의 유량으로 공급함으로써 이방적으로 식각되고, 캐리어 가스의 유량은 특정 RF 전력, 압력 등을 이용한 조건들 하에서 500 sccm 초과 (예를 들어, 1,000 sccm, 1,500 sccm, 2,000 sccm, 또는 이들 사이의 임의의 값들) 이다. 측벽에서의 식각된 두께를 상면에서의 식각된 두께로 나누는 것에 의해 계산되는 백분율인 식각된 표면들의 등각성이 30% 이하일 때 식각은 "이방성" 이다. 등각성이 20% 이하, 10% 이하, 또는 5% 이하이면, 식각은 매우 이방성이다. 일부 실시형태들에서, 식각의 등각성 또는 방향성은 질소 함유 가스, 산화 가스, 및/또는 환원 가스를 반응물 가스에 포함시키는 것에 의해 조절될 수 있다. 일부 실시형태들에서, ALE 프로세스는 0℃ 내지 200℃, 바람직하게는 약 50℃ 내지 약 100℃ 의 온도에서 수행된다.
일부 실시형태들에서, 반응 공간은 막 형성 또는 건식 식각을 위한 반응 챔버에 형성된다. ALE 프로세스는, 디바이스의 제작이 연속적으로 그리고 효율적으로 수행될 수 있도록 막 형성 또는 건식 식각에 사용되는 동일한 반응 챔버를 이용하여 수행될 수 있다. 대안적으로, ALE 프로세스는 클러스터 장치의 공통 웨이퍼 핸들링 챔버에 부착된 챔버에서 수행될 수 있다.
식각될 유전 막은, SiO, SiC, SiCN, SiN 등에 의해 구성되는 실리콘 함유 절연 막; Al, Ti 등에 의해 구성되는 금속 함유 산화물 막; 질화물 막; 또는 금속 막으로 만들어진 저-k (low-k) 막을 포함하지만 이에 한정되지는 않는다. 일부 실시형태들에서, 유전 막이, 플라즈마 강화 CVD, 열 CVD, 순환 CVD, 플라즈마 강화 ALD, 열 ALD, 라디칼 강화 ALD 또는 임의의 다른 박막 성막 방법에 의해, 측벽들 및 바닥 표면들을 포함하는 트렌치들 또는 비아들, 및/또는 평탄 표면들에 형성된다. 통상적으로, 유전 막의 두께는, 약 50 nm 내지 약 500 nm의 범위이다 (원하는 막 두께는 막의 용도 및 목적 등에 따라 적절한 것으로 여겨지는 것으로서 선택될 수 있다). 유전 막이 이중 패터닝에 사용될 수도 있다.
도 1은, 바람직하게는 이하에 설명된 시퀀스들을 수행하도록 프로그램된 제어들과 함께, 본 발명의 일부 실시형태들에서 사용가능한, PEALE 장치의 개략도이다. 이 장치는 또한, ALD 또는 CVD 에 의한 막 성막에 사용될 수 있다. 이 도면에서, 반응 챔버 (3) 의 내부 (11) 에서 서로 대향하고 평행한 한 쌍의 도전성 평판 전극들 (4, 2) 을 제공하고, HRF 전력 (13.56 MHz 또는 27 MHz) (5) 및 5MHz 이하의 LRF 전력 (400 kHz~500 kHz)) (50) 을 일측에 인가하고, 타측에 대해 전기 접지 (12) 하는 것에 의해, 플라즈마가 전극들 사이에서 여기된다. 온도 조절기가 하부 스테이지 (2) (하부 전극) 에 제공되고, 그 위에 배치된 기판 (1) 의 온도는 주어진 온도에서 일정하게 유지된다. 하부 전극 (2) 은 서셉터의 역할을 하고, 상부 전극 (4) 은 샤워 플레이트의 역할도 하고, 샤워 플레이트는 서셉터 (2) 상에 배치된 기판 (1) 의 전체 표면에 걸쳐 균일하게 가스를 공급하도록 만들어진다.
식각 가스 및 퍼지 가스는 질량 흐름 제어기 (23), 펄스 흐름 제어 밸브 (31), 가스 매니폴드 (30), 및 샤워 플레이트 (4) 를 통해 반응 챔버 (3) 내에 도입된다. 질량 흐름 제어기 (23) 가 제공되는 가스 라인은 식각 가스 라인이고, 질량 흐름 제어기 (21) 가 제공되는 가스 라인은 질소 가스 라인이고, 질량 흐름 제어기 (22) 가 제공되는 가스 라인은 영족 가스 라인이고, 식각 가스 라인, 질소 가스 라인, 및 영족 가스 라인은 또한 총괄적으로 식각 가스 라인들로서 지칭될 수도 있다. 영족 가스는 질량 흐름 제어기 (22) 를 갖는 영족 가스 라인을 통해 흐르고 질량 흐름 제어기 (23) 의 하류에 하지만 가스 매니폴드 (30) 의 상류에 식각 가스와 혼합되는 비활성 캐리어 가스의 역할을 한다. 다르게는 또는 대안적으로, 질소 가스는 질량 흐름 제어기 (21) 를 갖는 질소 가스 라인을 통해 흐르고 비활성 캐리어 가스로서 식각 가스에 첨가된다. 반응물 가스는 질량 흐름 제어기 (25) 가 제공되는 질소 가스 라인을 통해 흐르는 질소 가스, 질량 흐름 제어기 (26) 가 제공되는 영족 가스 라인을 통해 흐르는 영족 가스, 질량 흐름 제어기 (27) 가 제공되는 산화 가스 라인을 통해 흐르는 산화 가스, 및/또는 질량 흐름 제어기 (28) 가 제공되는 수소 가스 라인을 통해 흐르는 수소 가스에 의해 구성되고, 질소 가스 라인, 영족 가스 라인, 산화 가스 라인, 및 수소 가스 라인은 또한 총괄적으로 반응물 가스 라인들로서 지칭될 수도 있다. 질량 흐름 제어기 (26) 가 제공되는 영족 가스 라인을 통해 흐르는 영족 가스, 및/또는 질량 흐름 제어기 (25) 가 제공되는 질소 가스 라인을 통해 흐르는 질소 가스는, 샤워 플레이트 (4) 의 상류에 가스 매니폴드 (30) 에서 식각 가스와 혼합되는 비활성 반응물 가스의 역할을 한다. 위에 기재된 비활성 가스들은 반응 공간내에 연속적으로 유입되므로, 그것들은 또한 퍼지 가스로서 작용할 수 있다. 연속적인 비활성 가스가 흐름에 따라, 비활성 캐리어 가스 흐름은 비활성 반응물 가스 흐름보다 더 효과적이고, 따라서 일부 실시형태들에서, 비활성 반응물 가스 흐름이 제거될 수 있다.
추가적으로, 반응 챔버 (3) 에서, 배기 파이프 (6) 가 제공되고, 이를 통해 반응 챔버 (3) 의 내부 (11) 에 있는 가스가 배기된다. 추가적으로, 반응 챔버 (3) 에는 반응 챔버 (3) 의 내부 (11) 속으로 시일 가스를 도입하기 위하여 시일 가스 흐름 제어기 (24) 가 제공된다 (반응 챔버의 내부에서 반응 구역 및 이송 구역을 분리시키기 위한 분리 플레이트가 이 도면에서 생략되어 있다).
당업자는, 그 장치가, 본원의 다른 곳에 기재된 성막 및 식각 프로세스들이 수행되게 하도록 프로그램되거나 또는 다른 방법으로 구성된 하나 이상의 제어기(들) (미도시) 를 포함한다는 것을 인식할 것이다. 제어기(들) 은 반응기의 다양한 전력 소스들, 가열 시스템들, 펌프들, 로보틱스 및 가스 흐름 제어기들 또는 밸브들과 연통되는데, 이는 당업자에 의해 인식될 것이다.
일부 실시형태들에서, 프로세스 시퀀스는 도 2 에 예시된 바처럼 설정될 수도 있다. 도 2는 본 발명의 실시형태에 따른 하나의 사이클에서 PEALE 의 개략적인 프로세스 시퀀스를 나타내고, 여기서 열에 예시된 스텝은 온 (ON) 상태를 나타내는 반면, 열에 예시된 무 스텝은 오프 (OFF) 상태를 나타내고, 각 열의 폭은 각 프로세스의 지속시간을 나타내지 않는다. 이 실시형태에서, PEALE 의 하나의 사이클은, 반응 공간에 RF 전력을 인가하지 않고서 식각 가스를 나르는 캐리어 가스를 통해 식각 가스가 반응 공간에 피드되고, 또한, 반응물 가스가 반응 공간에 피드됨으로써, 자기 제한 흡착 을 통해 기판의 표면 상에 식각 가스를 화학흡착시키는 “피드” (Feed); 식각 가스가 반응 공간에 피드되지 않는 한편, RF 전력을 인가함이 없이, 캐리어 가스 및 반응물 가스가 연속적으로 반응 공간에 피드됨으로써, 비화학흡착된 식각 가스 및 과잉 가스를 기판의 표면으로부터 제거하는 "퍼지 1"; RF 전력이 반응 공간에 인가되는 한편, 식각 가스를 피드함이 없이, 캐리어 가스 및 반응물 가스가 연속적으로 반응 공간에 피드됨으로써, 반응물 가스와 플라즈마 반응을 통해 식각 가스가 화학흡착된 층을 식각하는 "RF"; 및 식각 가스를 피드함이 없이 그리고 RF 전력을 반응 공간에 인가함이 없이, 캐리어 가스 및 반응물 가스가 반응 공간에 연속적으로 피드됨으로써, 반응 생성물 및 과잉 가스를 기판의 표면으로부터 제거하는 "퍼지 2" 로 이루어진다. 캐리어 가스는 반응물 가스에 의해 구성될 수 있다. 식각 가스가 단속적으로 또는 펄스로 주입되는 일정한 스트림으로서 반응 공간내에 캐리어 가스의 연속적인 흐름이 진입됨에 기인하여, 층의 표면으로부터 신속하게 과잉 가스 및 반응 생성물들을 효과적으로 제거하기 위하여 퍼징이 수행됨으로써, 다수의 ALE 사이클들을 효과적으로 계속할 수 있다. 부수적으로, 본 실시형태에서, 피드 단계, 퍼지 1 단계, RF 단계, 및 퍼지 2 단계의 지속시간들은 0.3 초, 1초, 1초, 및 0.2 초이고 따라서, 한 사이클의 전체 지속시간은 2.5 초이다.
도 3은 비교 실시형태에 따른 비순환 식각 프로세스의 개략적인 프로세스 시퀀스를 도시하고, 여기서 열에 예시된 스텝은 온 상태를 나타내는 반면, 열에 예시된 무 스텝은 오프 상태를 나타내고, 각 열의 폭은 각 프로세스의 지속시간을 나타내지 않는다. 이 비교 실시형태에서, 비순환 식각 프로세스는 "가스 세팅", "안정화", "식각" 및 "오프" 로 이루어진다. 도 3에 예시된 시퀀스는 원자 층 식각이 아니다. 즉, 식각은, 층의 표면에 도달하기 전에 반응 공간에서 생성되고 다음으로 층의 표면에 도달함으로써, 표면을 식각하는 활성 종을 이용하여 수행된다. 따라서, 식각 단계에서, 식각 가스는 RF 전력이 인가되는 동안 피드되고, 비순환 식각이 수행되고, 식각 단계의 지속시간은 ALE 프로세스와 비교할 때 길다 (예를 들어, 10 내지 30 초이다). 비순환 식각 프로세스의 식각 레이트가 매우 높지만, 활성 종들은 층의 표면 상으로 떨어지므로, 기판 상에 형성된 패턴의 리세스들의 측벽들이 현저히 식각되지 않는다, 즉 식각은 통상적으로 이방성이고, 미세, 협소한 패턴들을 형성하는 것이 어렵다.
일부 실시형태들에서, PEALE 는 아래의 표 1에 나타낸 조건들하에서 행해질 수도 있다.
Figure 112016004232934-pat00001
ALE 프로세스에서, 한번에 하나의 식각 가스 층을 이용하여 식각이 일어나므로 식각 레이트는 낮고, 식각 가스가 일반적으로 비방향성으로 화학흡착되므로 등각성은 일반적으로 높다. 하지만, (i) 반응 공간에 질소 가스보다는 비활성 가스로서 특히 영족 가스를, (ii) 증가된 유량 (예를 들어, 3,000 sccm 이상, 여기서 캐리어 가스의 유량이 500 sccm 를 넘는다) 으로 (iii) 특정 RF 전력 (예를 들어, 250 W 이상), 및 (iv) 특정 압력 (예를 들어, 300 Pa 이상) 을 갖는 조건들 하에서 공급하는 것에 의해 (여기서 조건 (i) 그리고 조건들 (ii) 내지 (iv) 중 적어도 하나가 채용된다), 기판의 층이 효과적으로 이방적으로 식각될 수 있다. 놀랍게도 등각 식각으로부터 이방성 식각으로의 변화가 위에 기재된 조건들 하에서 임계적인 방식으로 일어난다. 이론에 의해 제한됨이 없이, 설명된 조건들하에서, 플라즈마가 강화되고 여기된 종이 먼 거리를 이동함으로써, 리세스들에 있는 측벽들보다 수평 표면 상의 반응성을 증가시키고 효과적으로 이방성 식각을 수행한다.
본 발명은 아래의 작업 예들을 참조하여 더 설명된다. 하지만, 그 예들은 본 발명을 한정하도록 의도되지 않는다. 예들에서 조건들 및/또는 구조들이 명시되지 않는 경우에, 당업자는, 일상적인 실험의 문제로서, 본 개시를 고려하여, 그러한 조건들 및/또는 구조들을 손쉽게 제공할 수 있다. 또한, 특정 예들에 적용되는 수치들은 일부 실시형태들에서 적어도 ±50% 의 범위 만큼 수정될 수 있고, 수치들은 근사치이다.
실시예
실시예 1 - 12 및 비교예 1 - 3
약 50 nm의 개구 폭 및 약 2의 종횡비를 갖는 패터닝된 포토레지스트를 갖는 300-mm 기판 상에 PEALD 에 의해 실리콘 산화물 막이 형성되었다. 실시예 1-12 및 비교예 3 에서, PEALE 는 도 1에 예시된 PEALE 장치를 이용하여 아래의 표 2에 나타낸 조건들 하에서 실리콘 산화물 막 상에 식각 가스로서 C2F6 또는 C4F8 를 이용하여 수행되었다. PEALE 의 각각의 사이클에 사용된 시퀀스는 도 2 및 아래의 표 3에 나타나 있다. Ar 가스가 단지 식각 가스 라인들의 질량 흐름 제어기 (22) 를 통해 흐르는 캐리어 가스로서 피드되었고 또한 반응물 가스의 역할을 했다. 질소 가스, 산소 가스 및 수소 가스는 반응물 가스 라인들의 질량 흐름 제어기들 (25, 27, 및 28) 을 통해 흐르는 반응물 가스들로서 각각 피드되었고, 질소 가스는 캐리어 가스로서 피드되었다.
Figure 112016004232934-pat00002
Figure 112016004232934-pat00003
비교예 1 및 2 에서, 비순환 식각이 도 1에 예시된 PEALE 장치를 이용하여 아래에 나타낸 조건들 하에서 실리콘 산화물 막 상에서 수행되었다. 비순환 식각에 사용된 시퀀스는 도 3 및 아래의 표 4에 나타나 있다.
Figure 112016004232934-pat00004
그 결과들은 아래의 표 5에 나타나 있다. 식각된 표면들의 등각성은, 측벽 또는 바닥에서의 식각된 두께를 상면에서 식각된 두께로 나누는 것에 의해 계산되는 백분율이다.
Figure 112016004232934-pat00005
도 5에 나타낸 바처럼, Ar 이 다른 가스들 없이 캐리어 가스 및 반응물 가스로서 사용되었을 때 (실시예 1, 11, 및 12), 식각 레이트가 최고였고 (각각, EPC=0.04, 0.03, 및 0.05), N2 가 다른 가스들 없이 캐리어 가스 및 반응물 가스로서 사용되었을 때 (실시예 5 및 9), 식각 레이트는 다른 가스들이 반응물 가스로서 사용되었을 때보다 더 높았다 (각각, EPC=0.03 및 0.03). O2 또는 H2 가 캐리어 가스로서 첨가되었고 (실시예 2, 3, 및 4), 식각 레이트가 낮아졌다 (EPC=0.02); 하지만, H2 가 Ar 인 캐리어 가스에 첨가되었을 때 (실시예 4), 식각 등각성이 바닥 및 측벽에서 층을 더 식각하도록 변화되었다 (등각성=105/115). N2 가 다른 가스들 없이 캐리어 가스로서 100℃ 및 200℃ 의 온도에서 사용되었을 때 유사한 현상이 관찰되었다 (실시예 9 및 10) (각각, 등각성=105/115 및 100/119).
식각 온도는 식각 레이트에 크게 영향을 미쳤다. 온도가 50℃ (실시예 2), 100℃ (실시예 6), 200℃ (실시예 7), 및 250℃ (실시예 8) 이었을 때 캐리어 가스가 Ar 이었을 때 그리고 반응물 가스가 O2 이었을 때, 식각 레이트 (EPC) 는 각각 0.02, 0.03, 0.02, 및 0.01 이었으며, 이는 온도가 250℃ 이었을 때, 식각 레이트가 현저히 낮아졌음을 나타낸다. 또한, 온도가 260℃ 이었을 때 (비교예 3), ALE 가 일어나지 않았다, 즉 식각 레이트가 제로였다. 유사하게, 온도가 50℃ (실시예 5), 100℃ (실시예 9), 및 200℃ (실시예 10) 이었을 때 캐리어 가스가 다른 가스들 없이 N2 이었을 때, 식각 레이트 (EPC) 가 각각 0.03, 0.03, 및 0.005 이었으며, 이는 온도가 200℃ 이었을 때, 식각 레이트가 현저히 낮아졌음을 나타낸다. 식각 온도가 높을 때, 식각 가스의 흡착은 충분히 진행되지 않고, 온도가 250℃ 를 초과할 때, ALE 프로세스가 수행되지 않을 수도 있다.
비순환 식각이 수행되었을 때 (비교예 1 및 2), 식각 등각성은 제로였으며, 이는 식각이 명확하게 이방성이라는 것을 나타낸다. 또한, 식각이 순환적으로 수행되지 않았으므로, 식각 두께의 미세 조정을 행하는 것이 매우 곤란하다. 대조적으로, ALE 프로세스는 일반적으로 등각 식각 (등방성 식각; 등각성이 80% 이상) 을 수행하고, 식각 두께의 미세 조정을 달성할 수 있으며, 이는 ALE 프로세스가 고 정밀도로 미세 패턴들을 형성하는데 적합하다는 것을 나타낸다. 그러나, ALE 프로세스가 수행되었지만, 식각 등방성은 특정 조건들을 이용하여 조절될 수 있고, 캐리어 가스가 높은 유량 (실시예 11 에서 6 slm) 에서 피드되었을 때, RF 전력이 높았을 때 (실시예 13 에서 500 W), 압력이 높았을 때 (실시예 14 에서 500 Pa), 그리고 RF 전력 및 압력이 높았을 때 (실시예 15 에서 500 W 및 400 Pa), 이방성 식각이 매우 효과적으로 수행되었다 (등각성: 실시예 11 에서 3/120, 실시예 13 에서 9/98, 실시예 14 에서 8/95, 및 실시예 15 에서 10/105).
실시예 13
식각 가스의 피드 시간이 변화된 것을 제외하고는, 위의 실시예 5에 따라 ALE 프로세스가 수행되었다. 도 4는 사이클당 식각 레이트 (EPC) (nm/cycle) 와 사이클당 식각 가스 피드 시간 (초) 사이의 관계를 보여주는 그래프이다. 도 4에 나타낸 바처럼, 피드 시간이 0.2 초에 도달한 후에, EPC 는 변화되지 않았으며, 이는 자기 제한 흡착 반응 프로세스가 수행되었음을 나타낸다.
실시예 14
식각 가스의 피드 시의 퍼지 시간이 변화된 것을 제외하고는, 위의 실시예 5에 따라 ALE 프로세스가 수행되었다. 도 5는 사이클당 식각 레이트 (EPC) (nm/cycle) 와 사이클당 퍼지 시간 (초) 사이의 관계를 보여주는 그래프이다. 도 5에 나타낸 바처럼, 퍼지 시간이 0.5 초에 도달한 후에, EPC 는 변화되지 않았으며, 이는 식각 가스의 화학흡착이 수행되었음을 나타낸다.
당업자는, 본 발명의 사상으로부터 이탈함이 없이 수많은 그리고 다양한 변경들이 이루어질 수 있다는 것을 이해할 것이다. 그러므로, 본 발명의 형태들은 단지 예시적일 뿐이고 본 발명의 범위를 제한하도록 의도된 것이 아니라는 것이 분명히 이해되야 한다.

Claims (18)

  1. 적어도 하나의 식각 사이클을 포함하는 원자층 식각 (ALE) 프로세스에 의해 반응 공간에서 전극들 사이에 배치된 기판 상의 층을 식각하는 방법으로서, 상기 식각 사이클은
    상기 반응 공간내에 적어도 식각 가스를 위한 캐리어 가스로서 흐르는 비활성 가스를 연속적으로 제공하는 단계;
    상기 반응 공간에서 상기 기판의 표면 상에 식각 가스를 비여기 상태에서 화학흡착하기 위해 상기 반응 공간의 상류에 연속적인 비활성 가스 흐름으로 상기 식각 가스의 펄스를 제공하는 단계;
    상기 반응 공간에서 상기 비활성 가스로부터 유도된 반응성 종을 생성하고 상기 반응성 종과 상기 기판의 식각 가스 화학흡착된 표면을 접촉시켜 상기 기판 상의 층이 식각되도록 하기 위하여 상기 전극들 사이에 RF 전력 방전의 펄스를 제공하는 단계; 및
    상기 반응 공간 내에 상기 캐리어 가스 외의 반응물 가스를 제공하는 단계를 포함하며,
    상기 반응물 가스는 상기 ALE 프로세스 동안 상기 반응 공간에 연속적으로 흐르는, 기판 상의 층을 식각하는 방법.
  2. 제 1 항에 있어서,
    상기 식각 가스는 할로겐 함유 가스 및/또는 탄화수소 가스인, 기판 상의 층을 식각하는 방법.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 적어도 하나의 식각 사이클을 포함하는 원자층 식각 (ALE) 프로세스에 의해 반응 공간에서 전극들 사이에 배치된 기판 상의 층을 식각하는 방법으로서, 상기 식각 사이클은
    상기 반응 공간내에 적어도 식각 가스를 위한 캐리어 가스로서 흐르는 비활성 가스를 연속적으로 제공하는 단계;
    상기 반응 공간에서 상기 기판의 표면 상에 식각 가스를 비여기 상태에서 화학흡착하기 위해 상기 반응 공간의 상류에 연속적인 비활성 가스 흐름으로 상기 식각 가스의 펄스를 제공하는 단계;
    상기 반응 공간에서 상기 비활성 가스로부터 유도된 반응성 종을 생성하고 상기 반응성 종과 상기 기판의 식각 가스 화학흡착된 표면을 접촉시켜 상기 기판 상의 층이 식각되도록 하기 위하여 상기 전극들 사이에 RF 전력 방전의 펄스를 제공하는 단계; 및
    상기 반응 공간 내에 상기 캐리어 가스 외의 반응물 가스를 제공하는 단계를 포함하며,
    상기 캐리어 가스는 질량 흐름 제어기를 통해 그리고 다음으로 상기 반응 공간의 상류에 배치된 가스 매니폴드를 통해 흐르고, 상기 식각 가스는 질량 흐름 제어기를 통해 흐르고 상기 캐리어 가스를 위한 상기 질량 흐름 제어기의 하류에 그리고 상기 가스 매니폴드의 상류에 상기 캐리어 가스 흐름으로 모이고, 상기 반응물 가스는 질량 흐름 제어기를 통해 흐르고 다음으로 상기 가스 매니폴드에서 상기 캐리어 가스와 모이는, 기판 상의 층을 식각하는 방법.
  7. 제 1 항에 있어서,
    상기 비활성 가스는 영족 가스 및 질소 가스로 이루어지는 군으로부터 선택되는, 기판 상의 층을 식각하는 방법.
  8. 제 1 항에 있어서,
    상기 반응물 가스는, 산화 가스 및 환원 가스로 이루어지는 군으로부터 선택된 적어도 하나의 가스를 포함하는, 기판 상의 층을 식각하는 방법.
  9. 제 1 항에 있어서,
    상기 ALE 프로세스는 0℃ 내지 200℃ 의 온도에서 수행되는, 기판 상의 층을 식각하는 방법.
  10. 제 1 항에 있어서,
    상기 식각 가스의 펄스 및 상기 RF 전력 방전의 펄스는 오버랩되지 않는, 기판 상의 층을 식각하는 방법.
  11. 제 10 항에 있어서,
    상기 반응 공간으로부터 과잉 식각 가스를 제거하기 위하여 상기 식각 가스의 펄스와 상기 RF 전력 방전의 펄스 사이에 퍼징 기간이 취해지고, 상기 반응 공간으로부터 반응 생성물들을 제거하기 위하여 상기 RF 전력 방전의 펄스 후에 퍼징 기간이 취해지는, 기판 상의 층을 식각하는 방법.
  12. 제 1 항에 있어서,
    할로겐 함유 가스 외의 다른 가스는 상기 ALE 프로세스 전체에 걸쳐 상기 식각 가스로서 흐르지 않는, 기판 상의 층을 식각하는 방법.
  13. 제 1 항에 있어서,
    상기 기판의 층은 리세스 패턴을 갖는, 기판 상의 층을 식각하는 방법.
  14. 제 1 항에 있어서,
    상기 비활성 가스는 영족 가스이고, 상기 기판의 층은 상기 반응 공간에 상기 비활성 가스를 공급하는 것에 의해 이방적으로 식각되는, 기판 상의 층을 식각하는 방법.
  15. 제 14 항에 있어서,
    하기 조건들 중의 적어도 하나가 상기 ALE 프로세스 동안 채용되는, 기판 상의 층을 식각하는 방법.
    (i) 상기 비활성 가스의 유량은 3,000 sccm 이상이고, (ii) RF 전력은 250 W 이상이고, (iii) 압력은 300 Pa 이상이다.
  16. 제 1 항에 있어서,
    상기 식각 사이클은 다수회 반복되는, 기판 상의 층을 식각하는 방법.
  17. 제 1 항에 있어서,
    상기 반응 공간은 막 형성 또는 건식 식각을 위한 반응 챔버에 형성되는, 기판 상의 층을 식각하는 방법.
  18. 제 1 항에 있어서,
    상기 기판의 층은 실리콘 함유 유전 층이고, 식각 레이트는 0.15 nm/min 내지 1.5 nm/min 인, 기판 상의 층을 식각하는 방법.
KR1020160004759A 2015-01-16 2016-01-14 플라즈마 강화 원자 층 식각의 방법 KR102605757B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/598,532 2015-01-16
US14/598,532 US9396956B1 (en) 2015-01-16 2015-01-16 Method of plasma-enhanced atomic layer etching

Publications (2)

Publication Number Publication Date
KR20160088818A KR20160088818A (ko) 2016-07-26
KR102605757B1 true KR102605757B1 (ko) 2023-11-23

Family

ID=56381718

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160004759A KR102605757B1 (ko) 2015-01-16 2016-01-14 플라즈마 강화 원자 층 식각의 방법

Country Status (5)

Country Link
US (1) US9396956B1 (ko)
JP (1) JP6653577B2 (ko)
KR (1) KR102605757B1 (ko)
CN (1) CN105810580B (ko)
TW (1) TWI689012B (ko)

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN116779435A (zh) 2016-12-09 2023-09-19 Asm Ip 控股有限公司 热原子层蚀刻工艺
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP7131916B2 (ja) * 2017-03-31 2022-09-06 芝浦メカトロニクス株式会社 プラズマ処理装置
CN108690965B (zh) 2017-03-31 2020-06-30 芝浦机械电子装置株式会社 等离子体处理装置
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI757545B (zh) 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109559995A (zh) * 2017-09-27 2019-04-02 东莞新科技术研究开发有限公司 金属焊点表面的刻蚀方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10541144B2 (en) 2017-12-18 2020-01-21 Lam Research Corporation Self-assembled monolayers as an etchant in atomic layer etching
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019235196A1 (ja) * 2018-06-08 2019-12-12 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
EP4005082A4 (en) 2019-07-29 2023-08-09 AES Global Holdings, Pte. Ltd. MULTIPLEXED POWER GENERATOR OUTPUT WITH CHANNEL OFFSETS FOR PULSED CONTROL OF MULTIPLE LOADS
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210078264A (ko) 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
JP7394665B2 (ja) * 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080105649A1 (en) 2006-11-02 2008-05-08 Chandrachood Madhavi R Etching of nano-imprint templates using an etch reactor
US20130168354A1 (en) * 2011-12-28 2013-07-04 Keren Jacobs Kanarik Mixed mode pulsing etching in plasma processing systems
JP5826746B2 (ja) 2009-12-15 2015-12-02 ユニバーシティー オブ ヒューストン システム パルスプラズマを用いた原子層エッチング

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5826746B2 (ja) * 1975-05-20 1983-06-04 住友化学工業株式会社 シツフエンキカゴウブツノセイゾウホウ
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
TW200427858A (en) 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US7494882B2 (en) 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008020267A2 (en) 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
JP2008198629A (ja) * 2007-02-08 2008-08-28 Mitsubishi Electric Corp 表面処理方法および太陽電池セル
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP2012195513A (ja) * 2011-03-17 2012-10-11 Tokyo Electron Ltd プラズマ処理装置
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080105649A1 (en) 2006-11-02 2008-05-08 Chandrachood Madhavi R Etching of nano-imprint templates using an etch reactor
JP5826746B2 (ja) 2009-12-15 2015-12-02 ユニバーシティー オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
US20130168354A1 (en) * 2011-12-28 2013-07-04 Keren Jacobs Kanarik Mixed mode pulsing etching in plasma processing systems

Also Published As

Publication number Publication date
TWI689012B (zh) 2020-03-21
TW201637095A (zh) 2016-10-16
KR20160088818A (ko) 2016-07-26
US20160211147A1 (en) 2016-07-21
CN105810580B (zh) 2020-08-25
CN105810580A (zh) 2016-07-27
US9396956B1 (en) 2016-07-19
JP6653577B2 (ja) 2020-02-26
JP2016134623A (ja) 2016-07-25

Similar Documents

Publication Publication Date Title
KR102605757B1 (ko) 플라즈마 강화 원자 층 식각의 방법
KR102546804B1 (ko) 에천트 막을 이용한 순환적 건식 식각 방법
CN108987271B (zh) 使用氢等离子体进行原子层蚀刻的方法
US9627221B1 (en) Continuous process incorporating atomic layer etching
CN111048400B (zh) 通过循环cvd形成保形碳化硅膜的方法
US9735024B2 (en) Method of atomic layer etching using functional group-containing fluorocarbon
TWI618144B (zh) 氮化鈦之選擇性移除
US10465294B2 (en) Oxide and metal removal
JP6367658B2 (ja) プラズマアシストプロセスにより酸化膜を生成する方法
US8722546B2 (en) Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
TWI520212B (zh) 選擇性氮化鈦蝕刻
JP2021019198A (ja) トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
TWM577172U (zh) 改良的鍺蝕刻系統
TW201725281A (zh) 以peald於溝槽中沉積介電膜的方法
KR20240095117A (ko) 피처리체를 처리하는 방법
KR20170129234A (ko) 결함 평탄화
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统
CN116411255A (zh) 形成碳氧化硅层的方法和系统以及使用其形成的结构

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant