JP6653577B2 - プラスマエンハンスト原子層エッチングの方法 - Google Patents

プラスマエンハンスト原子層エッチングの方法 Download PDF

Info

Publication number
JP6653577B2
JP6653577B2 JP2016001928A JP2016001928A JP6653577B2 JP 6653577 B2 JP6653577 B2 JP 6653577B2 JP 2016001928 A JP2016001928 A JP 2016001928A JP 2016001928 A JP2016001928 A JP 2016001928A JP 6653577 B2 JP6653577 B2 JP 6653577B2
Authority
JP
Japan
Prior art keywords
gas
etching
reaction
substrate
reaction space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016001928A
Other languages
English (en)
Other versions
JP2016134623A (ja
Inventor
篤毅 深澤
篤毅 深澤
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ., エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2016134623A publication Critical patent/JP2016134623A/ja
Application granted granted Critical
Publication of JP6653577B2 publication Critical patent/JP6653577B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

本発明は、原子層エッチング(atomic layer etching(ALE))の方法に関するものであり、特に、プラスマエンハンスト原子層エッチング(plasma−enhanced ALE(PEALE))に関するものである。
半導体デバイスの超小型化及び複雑な構造を伴う各種の処理に対処するために、処理の精度は、ダブルパターニングのような新しい技術によって際立って改善されてきている。しかし、励起反応種を用いた連続的なエッチングのような従来のエッチング技術は、微細な、狭い凹凸パターンを形成することが困難であり、形状欠陥を生じる場合がある。よって、原子層レベルのエッチングを行うことができ、例えばダブルパターニング処理に適したエッチング技術の開発は重要となっている。しかし、低いエッチング速度、エッチングの方向性に亘る低い制御性能などは、改善される必要がある。
関連する分野に含まれる課題及び解決手段の説明は、単に本発明の文脈を提供する目的で本開示に含まれているものであり、説明のいずれか又は全てが、本発明がなされたときに既知であることを認めるものとして受け取られるべきではない。
一部の実施形態は、以下の構成の1以上によって特徴付けられる原子層レベルのエッチング(原子層エッチング(atomic layer etching)、ALEともいう)の方法を提供する:(a)エッチングガスは、不活性ガスと共にマスフローコントローラを通じて反応空間に供給され、エッチングガス及び不活性ガスは、マスフローコントローラの下流で合流し、不活性ガスは、連続的に供給されるが、エッチングガスは、パルス状で供給され、これにより、パージの効率が向上する、(b)エッチングにプラズマが用いられ、不活性ガス又は窒素ガスは、プラズマを生成するために反応空間に実質的な反応ガスとして供給され、エッチング速度は、追加の反応ガスとして酸素ガスのような酸化ガス又は水素ガスのような還元ガスを選択的に加えることにより制御される、(c)処理温度は、0℃から250℃で制御される、(d)エッチングの方向性は、反応ガスの種類及びエッチング条件を選択することにより異方性エッチング又は等方性エッチングのいずれかを行うように制御される。
一部の実施形態では、ALEは、化学気相成長(CVD)、原子層堆積(ALD)などによる膜の堆積用の反応チャンバとしても使用される反応チャンバ内、又はドライエッチング用の反応チャンバとしても使用される反応チャンバ内で行われることができ、エッチングを行うために別途のチャンバを必要としない。それに代えて、ALEは、クラスタ装置に搭載された複数のチャンバのうちの1つとしてウェーハハンドリングチャンバに取り付けられた反応チャンバで行われることができる。一部の実施形態では、ALEは、Oアッシング、異方性エッチングなどの任意の組み合わせに用いられる同一のチャンバでもある同一の反応チャンバ内で行われる異方性又は等方性ALEのいずれかである。一部の実施形態では、ALEは、クラスタ装置の複数の反応チャンバの1つで行われる異方性又は等方性ALEのいずれかであり、クラスタ装置の他の反応チャンバは、Oアッシング、異方性エッチングなどの任意の組み合わせのためのものである。
一部の実施形態では、ALE用のプラズマは、導電結合された電極、リモートプラズマユニット又はこれらの組み合わせを用いて生成される。
一部の実施形態では、ALE用のエッチングガスは、フッ化炭素、他のハロゲン含有ガス、及び炭化水素ガスからなる群から選択される1以上のガスである。
一部の実施形態では、不活性ガスは、キャリアガスラインを通じて流れるキャリアガス、反応ガスラインを通じて流れる反応ガス、又はキャリアガス及び反応ガスの両方として連続的に供給される。不活性ガスが連続的に流れるため、不活性ガスは、パージガスとして機能することができる。
本発明の態様及び関連分野に対して達成された利点を要約する目的のために、本発明の特定の目的及び利点が本開示に記載されている。もちろん、全てのこのような目的又は利点は本発明の任意の特定の実施形態に従って達成され得ることを必ずしも必要としないことは理解される。したがって、例えば、当業者は、本明細書に教示又は示唆され得るような他の目的又は利点を必ずしも達成しなくても、本明細書に教示されている1つの利点又は1群の利点を達成又は最適化するように本発明が具現化され得るか又は実施され得ることを認識するであろう。
本発明のさらなる態様、特徴及び利点は以下の詳細な説明から明らかになるであろう。
本発明のこれら及び他の特徴を好ましい実施形態の図面を参照して記載するが、それらは本発明を例示するためであり、本発明を限定するものではない。図面は、説明の都合上、非常に簡略化されており、必ずしも縮尺通りではない。
図1は、本発明の実施形態で使用可能な半導体基板をエッチングするためのPEALE(plasma−enhanced atomic layer etching)装置の概略図である。 図2は、本発明の実施形態に係る1つのサイクルにおけるPEALEの概略的な処理シーケンスを示し、一つの段で示されるステップは、ON状態を表す一方で、段で示されないステップは、OFF状態を表し、各段の幅は、各処理の期間を示すものではない。 図3は、比較のための実施形態に係る非サイクルのエッチング処理の概略的な処理シーケンスを示し、一つの段で示されるステップは、ON状態を表す一方で、段で示されないステップは、OFF状態を表し、各段の幅は、各処理の期間を示すものではない。 図4は、本発明の実施形態に係る、サイクル当たりのエッチング速度(etching rate per cycle(EPC))(nm/cycle)と、サイクル当たりのエッチングガス供給時間(秒)との関係を示すグラフである。 図5は、本発明の実施形態に係る、サイクル当たりのエッチング速度(etching rate per cycle(EPC))(nm/cycle)と、サイクル当たりのパージガス時間(秒)との関係を示すグラフである。
本開示において、「ガス」は、蒸発した固体及び/又は液体を含んでもよく、単一のガス又はガスの混合物により構成されてもよい。本開示では、シャワーヘッドを通じて反応チャンバへ導入されるプロセスガスは、エッチングガス及び添加ガスを含むか、実質的にエッチングガス及び添加ガスからなるか、又はエッチングガス及び添加ガスからなってもよい。添加ガスは、典型的には、RFパワーが反応ガスに加えられるときに、エッチングガスト反応するための反応ガスを含む。反応ガスは、混合ガスとして反応ガスと共に、又は反応ガスとは別に反応チャンバに導入される希釈ガスで希釈されてもよい。エッチングガスは、希ガスのようなキャリアガスと共に導入されうる。また、処理ガス以外のガス、つまり、シャワーヘッドを通過せずに導入されるガスは、例えば、希ガスのようなシールガスを含む、反応空間のシールのために用いられてもよい。一部の実施形態では、用語「エッチングガス」は、一般的には、基板上の層をエッチングするエッチング反応に関与する少なくとも1つのガス状又は気体状化合物を指し、特に、非励起状態で層に化学吸着し、活性化されたときに層をエッチングする少なくとも1つの化合物を指し、用語「反応ガス」は、エッチングガスの活性化に寄与する、又はエッチングガスによるエッチング反応に触媒作用を及ぼす少なくとも1つのガス状又は気体状化合物を指す。用語「エッチングガス」は、文脈に応じて、キャリアガスではない活性ガス、又は活性ガスとキャリアガスとの混合物を指す。同様に、用語「反応ガス」は、文脈に応じて、希釈ガスではない反応ガス、又は希釈ガスで希釈される反応ガスを指す。用語「キャリアガス」は、エッチングガスを混合状態で反応空間へ運び、エッチングガスを含む混合ガスとして反応空間に入る非励起状態の不活性又は非反応性ガスを指す。不活性ガス及びエッチングガスは、反応空間の上流のいずれかで混合ガスとして合流されることができ、例えば、(a)エッチングガスラインに設けられるマスフローコントローラの上流のエッチングガスライン、ここで、不活性ガスは、エッチングガスラインを通じて流れるキャリアガス又は不活性ガスとして提供される、(b)エッチングガスラインに設けられるマスフローコントローラの下流であるが、全ての又は主な処理ガスが合流するガスマニホールドの上流のエッチングガスライン、ここで、不活性ガスは、エッチングガスの一部として(キャリアガス又はパージガスとして)提供される、及び/又は(c)全ての又は主要な処理ガスが合流するガスマニホールド、ここで、不活性ガスは、ガスマニホールドの下流で反応ガス又はパージガスとして反応ガスラインに流れる、のいずれかで混合ガスとして合流されることができる。上記では、典型的には、(a)は稀である。よって、不活性ガスは、(エッチングガスの一部としての)キャリアガス及び/又は反応ガスの少なくとも一部として機能することができ、上記のガスは、パージガスとしても機能することができる。
一部の実施形態では、「膜」は、ターゲットとなる表面もしくは対象となる表面全体を覆うためにピンホールを有さずに実質的に厚さ方向に垂直な方向に連続して延びる層、又はターゲットとなる表面もしくは対象となる表面を単に覆う層を指す。一部の実施形態では、「層」は、表面上に形成される特定の厚さを有する構造又は膜の同義語又は非膜構造を指す。膜又は層は、特定の性質を有する別個の単一の膜もしくは層又は複数の膜もしくは層により構成されてもよく、隣接する膜又は層の間の境界は明確であってもよく、又は明確でなくてもよく、物理的、化学的及び/もしくは任意の他の特性、形成プロセスもしくは順序、ならびに/又は隣接する膜もしくは層の機能もしくは目的に基づいて規定されてもよい。
更に、本開示において、任意の2つの数の変数は、その変数の実行可能な範囲を構成でき、実行可能な範囲は通常作業に基づいて決定でき、示された任意の範囲はエンドポイントを含んでいてもよく、又は除外していてもよい。更に、示された変数の任意の値(それらが「約」と共に示されているか否かに関わらず)は、正確な値又はおおよその値を指し、同値を含んでもよく、一部の実施形態において、平均値、中央値、代表値、多数値などを指してもよい。また、用語「構成される」及び「有する」は、独立して、一部の実施形態における「典型的に又は広義に備える」、「備える」、「実質的に〜からなる」、又は「からなる」を指す。更に、「一つ」の物品は、一つの種類又は複数の種類を含む属を指す。本開示において、任意の定義された意味は、一部の実施形態において、通常及び慣例の意味を必ずしも除外しているわけではない。
条件及び/又は構造が特定されていない本開示において、当業者は、通常の実験として、本開示を考慮してそのような条件及び/又は構造を容易に得ることができる。開示された実施形態の全てにおいて、一実施形態において使用されている任意の要素は、意図される目的のために本明細書に明確、必然的又は本質的に開示されている要素を含む、要素と等価の任意の要素と置き換えられてもよい。更に、本発明は装置及び方法に同様に適用されてもよい。
実施形態は、好ましい実施形態に対して説明される。しかし、本発明は、好ましい実施形態に限定されない。
前述された課題の少なくとも1つを解決する一部の実施形態は、少なくとも1つのエッチングサイクルを備える原子層エッチング(atomic layer etching(ALE))により反応空間内の電極間に配置される基板上の層をエッチングするための方法を提供し、エッチングサイクルは、(i)少なくともエッチングガスのためのキャリアガスとして不活性ガス流を前記反応空間に連続的に提供する工程と、(ii)前記反応空間の上流の連続的な前記不活性ガス流にエッチングガスのパルスを提供し、前記反応空間内の前記基板の表面に前記エッチングガスを非励起状態で化学吸着する工程と、(iii)前記反応空間内に前記不活性ガスの反応種を生成し、かつ前記エッチングガスが化学吸着された前記基板の表面を前記反応種と接触させるために、前記電極間にRFパワー放電のパルスを提供し、前記基板上の層をエッチングする工程と、を備える。上記では、用語「連続的に」は、実施形態に応じて、空間を遮断しない(例えば、基板に亘る供給を遮断しない)、流れを遮断しない(例えば、流入を遮断しない)、及び/又は一定の速度で、ということを指す(当該用語は、前記の全てを同時に満たす必要はない)。一部の実施形態では、「連続的な」流れは、一定の流量を有する(それに代えて、流れが「連続的」であったとしても、その流量は時間と共に変化してもよい)。本開示では、「化学吸着」は、化学飽和吸着を指す。化学吸着が自己制限反応処理であるため、堆積されるエッチングガス分子の量は、反応表面サイトの数によって決定され、飽和後の前駆体露出とは別であり、エッチングガスの供給は、反応表面サイトがサイクルごとに飽和されてなされる。
一部の実施形態では、キャリアガスは、反応ガスとしても機能する(例えば、ALEのために用いられる不活性ガスは、キャリアガスからなる)。一部の実施形態では、本方法は、キャリアガスとは別の反応ガスを反応空間へ提供する工程を更に備え、一部の実施形態では、反応ガスは、ALE処理時に連続的に、反応空間に連続的に流れる不活性ガスを含む。
一部の実施形態では、エッチングガスのパルス及びRFパワー放電のパルスは、重複しない。一部の実施形態では、反応空間から余剰なエッチングガスを除去するために、エッチングガスのパルスとRFパワー放電のパルスとの間にパージ期間が取られ、反応空間から余剰な反応生成物を除去するために、RFパワー放電のパルスの後にパージ期間が取られる。
一部の実施形態では、エッチングサイクルは、エッチングされる目標厚さに応じて複数回(例えば、50回から5000回、典型的には200回から2000回)繰り返され、ここで、層は、層の表面上の原子層として吸着されたエッチングガスがALEサイクルごとにエッチングできる程度にエッチングされる。一部の実施形態では、基板の層が、シリコン酸化膜のようなシリコン含有誘電体層であるとき、サイクル当たりのエッチング速度(EPC)は、少なくとも0.003nm/cycle(典型的には、0.005nmから0.05nm/cycle)であり、分あたりのエッチング速度は、上層又はブランケット層がエッチングされたときに測定すると、少なくとも0.01nm/min(典型的には、0.15nm/minから1.5nm/min)である。
一部の実施形態では、エッチングガスは、C、C、C、Cのような1以上のハロゲン含有ガス及びヘキサンのような1以上の炭化水素ガスである。一部の実施形態では、ALE処理を通じて流れるエッチングガスとしてハロゲン含有ガス以外のガスは流れない。
一部の実施形態では、不活性ガスは、ALE処理時に連続的に反応空間にキャリアガスとして流れる。それに代えて又はそれに加えて、不活性ガスは、ALE処理時に連続的に反応空間に反応ガスとして流れる。不活性ガスがキャリアガスとしても構成及び機能し、かつ反応ガスが不活性ガスを含む場合、反応ガス中の不活性ガスは、パルス状で反応空間に流れることができる。不活性ガス以外の反応ガスは、パルス状で反応空間に流れてもよい。キャリアガス、反応ガス、又は反応空間の上流(例えば、シャワーヘッドの上流又はシャワーヘッドの上流に配置されて複数のガスが合流するガスマニホールド)でエッチングガスと混合される任意の他の連続的に流れるガスは、混合された状態でエッチングガスと共にガスが反応空間に入るため、広義にキャリアガスと呼ばれてもよく、また、連続的な流れが反応空間及び基板表面から不要なエッチングガスをパージすることができるため、パージガスとも呼ばれる。
一部の実施形態では、不活性ガスは、希ガス(例えば、He、Ne、Ar、Kr及び/又はXe、好ましくはAr及び/又はHe)、窒素ガス又は希ガスと窒素ガスとの混合物からなる群から選択される。
一部の実施形態では、エッチングガスは、不活性ガス、又は不活性ガス及び1以上の追加ガスからなり、1以上の追加ガスは、酸化ガス(例えば、Oガス)及び還元ガス(例えば、Hガス)からなる群から選択される。一部の実施形態では、ALE処理に用いられる不活性ガスは、キャリアガスラインを通じて流れるキャリアガスからなる、又はキャリアガスラインを通じて連続的に流れるキャリアガス及びキャリアガスとは別の反応ガスラインを通じて連続的に流れる反応ガスの少なくとも一部からなる。
一部の実施形態では、基板の層は、凹部パターンを有する。原子層堆積(atomic layer deposition(ALD))と同様に、エッチングのコンフォーマリティ(conformality)又は方向性(directionality)は、ALE処理では一般的に非常に高い。しかし、一部の実施形態では、基板の層は、1000sccm超の流量(例えば、2000sccm、3000sccm、4000sccm、5000sccm、6000sccm、7000sccm又はそれらの値の間の任意の値)で不活性ガスを反応空間に供給することにより異方性エッチングされ、キャリアガスの流量は、特定のRFパワー、圧力などを用いる条件で、500sccm超(例えば、1000sccm、1500sccm、2000sccm又はそれらの値の間の任意の値)である。側壁のエッチング厚さを、上面のエッチング厚さで割ることによって算出されるパーセンテージである、エッチング後の表面のコンフォーマリティが30%以下のときに、エッチングは、「異方性」である。コンフォーマリティが20%以下、10%以下又は5%以下である場合、エッチングは、異方性が高い。一部の実施形態では、エッチングのコンフォーマリティ又は方向性は、反応ガスに窒素含有ガス、酸化ガス及び/又は還元ガスを含むことにより調整されうる。一部の実施形態では、ALE処理は、0℃から200℃、好ましくは約50℃から約100℃の温度で行われる。
一部の実施形態では、反応空間は、膜形成用又はドライエッチング用の反応チャンバ内に形成される。ALE処理は、膜形成用又はドライエッチング用に用いられる同一の反応チャンバを用いて行われることができ、デバイスの製造は、連続的かつ効率的に行われうる。それに代えて、ALE処理は、クラスタ装置の共通ウェーハハンドリングチャンバに取り付けられたチャンバ内で行われうる。
エッチングされる誘電体膜は、SiO、SiC、SiCN、SiNなどで構成されるシリコン含有絶縁膜、Al、Tiなどで構成される金属含有酸化膜、窒化膜又は金属膜からなるlow−k膜を含むが、これらに限定されない。一部の実施形態では、誘電体膜は、プラズマエンハンストCVD、熱CVD、循環CVD、プラズマエンハンストALD、熱ALD、ラジカルエンハンストALD又は任意の他の薄膜堆積方法によって、側壁及び底面及び/又は平坦面を含むトレンチ又はビアに形成される。典型的には、誘電体膜の厚さは、約50nmから約500nmの範囲内にある(所望の膜厚は、膜の用途及び目的に応じて適切に選択されうる)。誘電体膜は、ダブルパターニングに用いられてもよい。
図1は、本発明の一部の実施形態で使用可能な、望ましくは以下に説明されるようなシーケンスを行うようにプログラムされた制御装置と共に、PEALE装置の概略図である。本装置は、ALD又はCVDにより膜の堆積にも使用されうる。この図では、反応チャンバ3の内部に2つの電極が互いに平行かつ対向している一対の導電性平板電極4を設け、HRFパワー(13.56MHz又は27MHz)5及び5MHz以下(400kHz〜500kHz)のLRFパワー50を一方の電極に印加し、他方の電極を電気的なグランド12に接続することにより、プラズマは、2つの電極間で励起される。温度レギュレータが下部ステージ2(下部電極)に設けられ、下部ステージ2に配置される基板1の温度は、所与の温度で一定に維持される。下部電極2は、サセプタとして機能し、上部電極4は、サセプタ2に配置される基板1の表面全体に亘って均一にガスを供給するシャワーヘッドとしても機能する。
エッチングガス及びパージガスは、マスフローコントローラ23、パルスフローコントロールバルブ31、ガスマニホールド30及びシャワープレート4を通じて反応チャンバ3に導入される。マスフローコントローラ23が設けられるガスラインは、エッチングガスラインであり、マスフローコントローラ21が設けられるガスラインは、窒素ガスラインであり、マスフローコントローラ22が設けられるガスラインは、希ガスラインであり、エッチングガスライン、窒素ガスライン及び希ガスラインは、全体としてエッチングガスラインとも呼ばれる。希ガスは、マスフローコントローラ22と共に希ガスラインを通じて流れ、マスフローコントローラ23の下流であるが、ガスマニホールド30の上流でエッチングガスと混合された不活性キャリアガスとして機能する。それに代えて又は追加して、窒素ガスは、マスフローコントローラ21と共に窒素ガスラインを通じて流れ、不活性キャリアガスとしてエッチングガスに加えられる。反応ガスは、マスフローコントローラ25が設けられる窒素ガスラインを通じて流れる窒素ガス、マスフローコントローラ26が設けられる希ガスラインを通じて流れる希ガス、マスフローコントローラ27が設けられる酸化ガスラインを通じて流れる酸化ガス、及び/又はマスフローコントローラ28が設けられる水素ガスラインを通じて流れる水素ガスによって構成され、窒素ガスライン、希ガスライン、酸素ガスライン及び水素ガスラインは、全体として反応ガスラインとも呼ばれる。マスフローコントローラ26が設けられる希ガスラインを通じて流れる希ガス、及び/又はマスフローコントローラ25が設けられる窒素ガスラインを通じて流れる窒素ガスは、不活性反応ガスとして機能し、不活性反応ガスは、シャワープレート4の上流のガスマニホールド30でエッチングガスと混合される。上述された不活性ガスが反応空間に連続的に流れるため、これらは、パージガスとしても機能することができる。連続的に不活性ガスが流れるため、不活性キャリアガス流は、不活性反応ガス流よりも効果的であり、よって、一部の実施形態では、不活性反応ガス流は、省略されることができる。
また、反応チャンバ3では、排気管6が設けられ、反応チャンバ3の内部11のガスが排出される。また、反応チャンバ3には、反応チャンバ3の内部11にシールガスを導入するためにシールガスフローコントローラ24が設けられる(反応チャンバの内部において反応ゾーンと搬送ゾーンとを隔てるためのセパレーションプレートがこの図からは省略されている)。
当業者は、前記装置が、本明細書の他の箇所で説明される堆積処理及びエッチング処理を行わせるようにプログラム又は構成される1以上のコントローラ(図示せず)を含むことを理解するであろう。当業者によって理解されるように、コントローラは、リアクタの各種電源、加熱システム、ポンプ、ロボット及びガスフローコントローラ又はバルブと接続される。
一部の実施形態では、処理シーケンスは、図2に示されるように設定されてもよい。図2は、本発明の実施形態に係る1つのサイクルにおけるPEALEの概略的な処理シーケンスを示し、一つの段で示されるステップは、ON状態を表す一方で、段で示されないステップは、OFF状態を表し、各段の幅は、各処理の期間を示すものではない。この実施形態では、PEALEの1つのサイクルは、エッチングガスが、反応空間へRFパワーを印加せずにエッチングガスを運ぶキャリアガスを介して反応空間へ供給され、また、反応ガスが反応空間へ供給されることにより、エッチングガスを自己制限吸着によって基板の表面に化学吸着する「供給」と、エッチングガスが反応空間へ供給されない一方で、RFパワーを印加せずにキャリアガス及び反応ガスが反応空間へ連続的に供給されることにより、基板の表面から化学吸着されなかったエッチングガス及び余剰なガスを除去する「パージ1」と、RFパワーが反応空間に供給される一方で、エッチングガスを供給せずに、キャリアガス及び反応ガスが反応空間へ連続的に供給されることにより、エッチングガスが化学吸着される層を、反応ガスとのプラズマ反応によってエッチングする「RF」と、エッチングガスを供給せず、反応空間にRFパワーを印加せずに、キャリアガス及び反応ガスが反応空間に連続的に供給されることにより、反応生成物及び余剰なガスを基板の表面から除去する「パージ2」と、からなる。キャリアガスは、反応ガスによって構成されうる。反応空間にキャリアガスの連続的な流れを、エッチングガスが間欠的に又はパルス状に注入される一定の流れとして注入することによって、パージは、層の表面から迅速に余剰なガス及び反応生成物を効率良く除去するために行われることができ、それにより、複数のALEサイクルを効率良く継続することができる。この実施形態では、供給ステップ、パージ1ステップ及びパージ2ステップの期間は、0.3秒、1秒、1秒及び0.2秒であり、よって、1つのサイクルの総期間は、2.5秒である。
図3は、比較のための実施形態に係る非サイクルのエッチング処理の概略的な処理シーケンスを示し、一つの段で示されるステップは、ON状態を表す一方で、段で示されないステップは、OFF状態を表し、各段の幅は、各処理の期間を示すものではない。比較のための実施形態では、非サイクルのエッチング処理は、「ガスを設定」、「安定化」、「エッチング」及び「オフ」からなる。図3に示されるシーケンスは、原子層エッチングではない。すなわち、エッチングは、活性種を用いて行われ、活性種は、層の表面に到達する前に反応空間内で生成され、その後、層の表面に到達し、それにより、表面をエッチングする。よって、エッチングステップでは、エッチングガスは、RFパワーが印加される間に供給され、非サイクルのエッチングは、エッチングステップがALE処理と比べて長く(例えば、10から30秒)行われる。活性種が層の表面に落ちるため、非サイクルのエッチング処理のエッチング速度は、非常に早くなり、基板に形成されるパターンの凹部の側壁は、それほどエッチングされない、つまり、エッチングは、典型的な異方性であり、微細な狭いパターンを形成することが困難である。
一部の実施形態では、PEALEは、以下の表1に示される条件で行われてもよい。
Figure 0006653577
ALE処理では、一度に一つのエッチングガス層を用いてエッチングが行われるため、エッチング速度は、低くなり、エッチングガスが、通常、方向性を有さずに化学吸着されるため、コンフォーマリティは、一般的に高くなる。しかし、(i)窒素ガスではなく、不活性ガスとして特に希ガスを反応空間に供給すること、(ii)増加した流量(例えば、3000sccm以上、ここで、キャリアガスの流量は500sccm超)、(iii)特定のRFパワー(例えば、250W以上)の条件、(iv)特定の圧力(例えば、300Pa以上)、条件(i)及び条件(ii)から(iv)の少なくとも1つが採用され、基板の層は、効果的に異方性エッチングされることができる。コンフォーマルエッチングから異方性エッチングへの変更が、上述された条件で決定的に生じることは驚くべきことである。理論に制限されるものではないが、前述された条件では、プラズマが強化され、かつ励起種が長距離を移動することにより、凹部の側壁よりも水平表面での反応性を増大させ、異方性エッチングを効果的に行っている。
本発明は、以下の実施例を参照して更に説明される。しかし、実施例は、本発明を限定するものではない。条件及び/又は構造が特定されていない実施例では、当業者は、通常の実験として、本開示を考慮して、このような条件及び/又は構造を明示的に提供することができる。また、特定の実施例に適用される数値は、一部の実施形態では、少なくとも±50%の範囲で変更されることができ、数値はおおよそである。
実施例1−12及び比較例1−3
シリコン酸化膜は、約2のアスペクト比及び約50nmの開口幅を有するパターニングされた表面を有する300mm基板上にPEALDによって形成された。実施例1−12及び比較例1−3では、PEALEは、図1に示されたPEALE装置を用いて以下の表2に示される条件でシリコン酸化膜上にエッチングガスとしてC又はCを用いて行われた。PEALEの各サイクルにおいて使用されたシーケンスは、図2及び以下の表3に示される。Arガスは、エッチングガスラインのマスフローコントローラ22を通じて流れ、反応ガスとしても機能するキャリアガスとしてのみ供給された。窒素ガス、酸素ガス及び水素ガスは、反応ガスラインのマスフローコントローラ25、27及び28をそれぞれ通じて流れる反応ガスとして供給され、窒素ガスは、キャリアガスとして供給された。
Figure 0006653577
Figure 0006653577
比較例1及び2では、非サイクルエッチングは、図1に示されるPEALE装置を用いて以下に示される条件でシリコン酸化膜上で行われた。非サイクルエッチングで使用されるシーケンスは、図3及び以下の表4に示される。
Figure 0006653577
結果は、以下の表5に示される。エッチングされた表面のコンフォーマリティは、側壁又は底部のエッチングされた厚さを上面のエッチングされた厚さで割ることによりパーセンテージで算出される。
Figure 0006653577
表5に示されるように、他のガスが用いられず、Arがキャリアガス及び反応ガスとして用いられたとき(実施例1、11及び12)、エッチング速度は、最も高くなり(それぞれ、EPC=0.04、0.03及び0.05)、他のガスが用いられず、Nがキャリアガス及び反応ガスとして用いられたとき(実施例5及び9)、エッチング速度は、反応ガスとして他のガスが用いられたときよりも高くなった(それぞれ、EPC=0.03及び0.03)。O及びHがキャリアガスに加えられたとき(実施例2、3及び4)、エッチング速度は、低くなる(EPC=0.02)が、ArであるキャリアガスにHが加えられたとき(実施例4)、エッチングコンフォーマリティは、底部及び側壁で層をよりエッチングするように変化された(コンフォーマリティ=105/115)。他のガスが用いられず、キャリアガスとしてNが用いられ、温度が100℃及び200℃(実施例9及び10)のときに、同様の現象が観察された(それぞれ、コンフォーマリティ=105/115及び100/119)。
エッチング温度は、エッチング速度に大きく影響を与えた。温度が50℃(実施例2)、100℃(実施例6)、200℃(実施例7)及び250℃(実施例8)であり、キャリアガスがArであり、反応ガスがOであるときに、エッチング速度(EPC)は、それぞれ、0.02、0.03、0.02及び0.01であり、温度が250℃のときに、エッチング速度が非常に低くなることを示している。更に、温度が260℃(比較例3)のとき、ALEが生じなかった、すなわち、エッチング速度がゼロであった。同様に、温度が50℃(実施例5)、100℃(実施例9)及び200℃(実施例10)であり、キャリアガスがNであり、他のガスがないときに、エッチング速度(EPC)は、それぞれ、0.03、0.03及び0.005であり、エッチング温度が200℃のときに、エッチング速度が非常に低くなることを示している。エッチング温度が高いとき、エッチングガスの吸着は、十分に進まず、温度が250℃を超えると、ALE処理が行われなくなる。
非サイクルエッチングが行われるとき(比較例1及び2)、エッチングコンフォーマリティは、ゼロであり、エッチングが明確に異方性になったことを示している。更に、エッチングが周期的に行われないため、エッチング厚さの正確な調整を行うことが非常に困難になる。一方、ALE処理は、一般的には、コンフォーマルなエッチング(等方性エッチング、コンフォーマリティが80%未満にならない)を行い、エッチング厚さの正確な調整を実現することができ、ALE処理が高い精度でのマイクロパターンの形成に適していることを示している。しかし、ALE処理が行われるが、エッチングコンフォーマリティは、特定の条件によって調整されることができ、キャリアガスが高い流量で供給されるとき(実施例11の6slm)、RFパワーが高いとき(実施例13の500W)、圧力が高いとき(実施例14の500Pa)、及びRFパワー及び圧力の両方が高いとき(実施例15の500W及び400Pa)、異方性エッチングは、非常に効率良く行われた(コンフォーマリティ:実施例11の3/120、実施例13の9/98、実施例14の8/95、及び実施例15の10/105)。
実施例13
エッチングガスの供給時間が変更された点以外は、上記の実施例5に基づいてALE処理を行った。図4は、本発明の実施形態に係る、サイクル当たりのエッチング速度(EPC)(nm/cycle)と、サイクル当たりのエッチングガス供給時間(秒)との関係を示すグラフである。図4に示されるように、供給時間が0.2秒に到達した後に、EPCは変化せず、自己制限吸着反応処理が行われた。
実施例14
エッチングガスの供給時のパージ時間が変更された点以外は、上記の実施例5に基づいてALE処理を行った。図5は、本発明の実施形態に係る、サイクル当たりのエッチング速度(EPC)(nm/cycle)と、サイクル当たりのパージガス時間(秒)との関係を示すグラフである。図5に示されるように、パージガスが0.5秒に到達した後に、EPCは変化せず、エッチングガスの吸着が行われたことを示している。
多数かつ様々な変更が本発明の趣旨から逸脱しない範囲でなされることが当業者によって理解されるであろう。よって、本発明の形態は、例示的なものであり、本発明の範囲を制限することを意図するものではないことが明確に理解されるべきである。

Claims (18)

  1. 反応空間内の電極間に配置された基板上の層を、少なくとも1つのエッチングサイクルを含む原子層エッチング(ALE)処理によってエッチングする方法であって、前記エッチングサイクルは、
    少なくともエッチングガスのためのキャリアガスとして不活性ガス流を前記反応空間に連続的に提供する工程と、
    前記反応空間の上流の連続的な前記不活性ガス流にエッチングガスのパルスを提供し、前記反応空間内の前記基板の表面に前記エッチングガスを非励起状態で化学吸着する工程と、
    前記反応空間内に不活性ガスの反応種を生成し、かつ前記エッチングガスが化学吸着された前記基板の表面を前記反応種と接触させるために、前記電極間にRFパワー放電のパルスを提供し、前記基板上の層をエッチングする工程と、
    を備える方法。
  2. 前記エッチングガスは、ハロゲン含有ガス及び/又は炭化水素ガスである請求項1に記載の方法。
  3. 前記キャリアガスは、前記反応空間内の前記基板の表面に前記エッチングガスを非励起状態で化学吸着する工程において前記エッチングガスを前記基板の表面に化学吸着させるとともに、前記基板上の層をエッチングする工程において前記エッチングガスが化学吸着された層をプラズマ反応によってエッチングする反応ガスとしても機能する請求項1に記載の方法。
  4. 前記キャリアガス以外の、前記反応空間内の前記基板の表面に前記エッチングガスを非励起状態で化学吸着する工程において前記エッチングガスを前記基板の表面に化学吸着させるとともに、前記基板上の層をエッチングする工程において前記エッチングガスが化学吸着された層をプラズマ反応によってエッチングする反応ガスを前記反応空間に提供する工程を更に備える請求項1に記載の方法。
  5. 前記反応ガスは、ALE処理時に連続的に前記反応空間内に連続的に流れる、前記エッチングガスを前記基板の表面に化学吸着させるとともに、前記エッチングガスが化学吸着された層をプラズマ反応によってエッチングする不活性ガスを含む請求項4に記載の方法。
  6. 前記キャリアガスは、マスフローコントローラを通じて流れ、その後、前記反応空間の上流に配置されるガスマニホールドを通じて流れ、前記エッチングガスは、マスフローコントローラを通じて流れ、前記キャリアガス用のマスフローコントローラの下流かつ前記ガスマニホールドの上流で前記キャリアガス流と合流され、各反応ガスは、マスフローコントローラを通じて流れ、その後、前記ガスマニホールドにおいて前記キャリアガスと共に前記エッチングガスと合流される、請求項4に記載の方法。
  7. 前記不活性ガスは、希ガス及び窒素ガスからなる群から選択される請求項1に記載の方法。
  8. 前記反応ガスは、酸化ガス及び還元ガスからなる群から選択される少なくとも1つのガスを含む請求項4に記載の方法。
  9. 前記ALE処理は、0℃から200℃の温度で行われる請求項1に記載の方法。
  10. 前記エッチングガスのパルス及び前記RFパワー放電のパルスは、重複しない請求項1に記載の方法。
  11. 前記反応空間から余剰なエッチングガスを除去するために、前記エッチングガスのパルスと前記RFパワー放電のパルスとの間にパージ期間が取られ、前記反応空間から余剰な反応生成物を除去するために、前記RFパワー放電のパルスの後にパージ期間が取られる、請求項10に記載の方法。
  12. 前記ALE処理を通じて、前記エッチングガスとしてハロゲン含有ガス以外のガスが流れない請求項1に記載の方法。
  13. 前記基板の層は、凹部パターンを有する請求項1に記載の方法。
  14. 前記不活性ガスは、希ガスであり、前記基板の層は、前記反応空間に前記不活性ガスを供給することにより異方性エッチングされる請求項1に記載の方法。
  15. 前記ALE処理時に、(i)前記不活性ガスの流量が3000sccm以上である、(ii)RFパワーが250W以上である、(iii)圧力が300Pa以上である、の条件の少なくとも1つを採用する請求項14に記載の方法。
  16. 前記エッチングサイクルは、複数回繰り返される請求項1に記載の方法。
  17. 前記反応空間は、膜形成又はドライエッチング用の反応チャンバ内に形成される請求項1に記載の方法。
  18. 前記基板の層は、シリコン含有誘電層であり、エッチング速度は、0.01nm/min以上である請求項1に記載の方法。
JP2016001928A 2015-01-16 2016-01-07 プラスマエンハンスト原子層エッチングの方法 Active JP6653577B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/598,532 2015-01-16
US14/598,532 US9396956B1 (en) 2015-01-16 2015-01-16 Method of plasma-enhanced atomic layer etching

Publications (2)

Publication Number Publication Date
JP2016134623A JP2016134623A (ja) 2016-07-25
JP6653577B2 true JP6653577B2 (ja) 2020-02-26

Family

ID=56381718

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016001928A Active JP6653577B2 (ja) 2015-01-16 2016-01-07 プラスマエンハンスト原子層エッチングの方法

Country Status (5)

Country Link
US (1) US9396956B1 (ja)
JP (1) JP6653577B2 (ja)
KR (1) KR102605757B1 (ja)
CN (1) CN105810580B (ja)
TW (1) TWI689012B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11239056B2 (en) 2019-07-29 2022-02-01 Advanced Energy Industries, Inc. Multiplexed power generator output with channel offsets for pulsed driving of multiple loads

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN110050331B (zh) 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN108690965B (zh) 2017-03-31 2020-06-30 芝浦机械电子装置株式会社 等离子体处理装置
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
JP7131916B2 (ja) * 2017-03-31 2022-09-06 芝浦メカトロニクス株式会社 プラズマ処理装置
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
TWI757545B (zh) 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109559995A (zh) * 2017-09-27 2019-04-02 东莞新科技术研究开发有限公司 金属焊点表面的刻蚀方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10541144B2 (en) * 2017-12-18 2020-01-21 Lam Research Corporation Self-assembled monolayers as an etchant in atomic layer etching
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
WO2019235196A1 (ja) * 2018-06-08 2019-12-12 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210078264A (ko) 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
JP7394665B2 (ja) * 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5826746B2 (ja) * 1975-05-20 1983-06-04 住友化学工業株式会社 シツフエンキカゴウブツノセイゾウホウ
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
TW200427858A (en) 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US7494882B2 (en) 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20110027999A1 (en) 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
JP2008198629A (ja) * 2007-02-08 2008-08-28 Mitsubishi Electric Corp 表面処理方法および太陽電池セル
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP2012195513A (ja) * 2011-03-17 2012-10-11 Tokyo Electron Ltd プラズマ処理装置
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11239056B2 (en) 2019-07-29 2022-02-01 Advanced Energy Industries, Inc. Multiplexed power generator output with channel offsets for pulsed driving of multiple loads

Also Published As

Publication number Publication date
TWI689012B (zh) 2020-03-21
KR20160088818A (ko) 2016-07-26
JP2016134623A (ja) 2016-07-25
US20160211147A1 (en) 2016-07-21
CN105810580B (zh) 2020-08-25
TW201637095A (zh) 2016-10-16
KR102605757B1 (ko) 2023-11-23
CN105810580A (zh) 2016-07-27
US9396956B1 (en) 2016-07-19

Similar Documents

Publication Publication Date Title
JP6653577B2 (ja) プラスマエンハンスト原子層エッチングの方法
US10504742B2 (en) Method of atomic layer etching using hydrogen plasma
KR102546804B1 (ko) 에천트 막을 이용한 순환적 건식 식각 방법
US9627221B1 (en) Continuous process incorporating atomic layer etching
US9735024B2 (en) Method of atomic layer etching using functional group-containing fluorocarbon
TWI618144B (zh) 氮化鈦之選擇性移除
JP6367658B2 (ja) プラズマアシストプロセスにより酸化膜を生成する方法
CN106449472B (zh) 氧化物蚀刻选择性系统
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
US8722546B2 (en) Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
TWI605514B (zh) 用於選擇性移除鎢之乾蝕刻
US9309598B2 (en) Oxide and metal removal
TWM577172U (zh) 改良的鍺蝕刻系統
JP2017078223A (ja) Pealdによりトレンチに誘電体膜を堆積する方法
TW201631660A (zh) 氮化鈦移除
KR20150048646A (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
TW201430946A (zh) 選擇性氮化鈦蝕刻
US10892198B2 (en) Systems and methods for improved performance in semiconductor processing
CN111819669B (zh) 形成气隙的系统及方法
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180927

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200128

R150 Certificate of patent or registration of utility model

Ref document number: 6653577

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250