JP6367658B2 - プラズマアシストプロセスにより酸化膜を生成する方法 - Google Patents

プラズマアシストプロセスにより酸化膜を生成する方法 Download PDF

Info

Publication number
JP6367658B2
JP6367658B2 JP2014188835A JP2014188835A JP6367658B2 JP 6367658 B2 JP6367658 B2 JP 6367658B2 JP 2014188835 A JP2014188835 A JP 2014188835A JP 2014188835 A JP2014188835 A JP 2014188835A JP 6367658 B2 JP6367658 B2 JP 6367658B2
Authority
JP
Japan
Prior art keywords
plasma
precursor
substrate
reaction space
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014188835A
Other languages
English (en)
Other versions
JP2015061075A (ja
Inventor
竜 中野
竜 中野
井上 尚樹
尚樹 井上
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ., エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2015061075A publication Critical patent/JP2015061075A/ja
Application granted granted Critical
Publication of JP6367658B2 publication Critical patent/JP6367658B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

本発明は、概して、プラズマエンハンスト原子層堆積(PEALD)などのプラズマアシストプロセスによって金属またはシリコンの酸化膜を生成する方法に関する。
PEALDプロセスにおいて、用途の種類に応じて、ホウケイ酸ガラス(BSG)/リンケイ酸ガラス(PSG)のプロセスにおける下層の酸化および自己ドーピングなどの解決すべき課題が存在している。従来、これらの問題はRFパワーを下げることによって処理されている。
例えば、図1aに示すように、高いRFパワーが印加される場合、ドーパント(ホウ素)2のSi基板3への侵入がイオン衝撃効果に起因してBSG膜1の堆積の間に起こるので、固体拡散(SSD)のためのBSG/PSGプロセスにおいて低いRFパワーが必要とされる。すなわち、BSG/PSG膜の一部は、ドーパントの拡散が堆積工程の間および後に所望されない領域から除去され、次いで不純物の拡散が基板内の特定の領域のみに制限され得るように基板の熱処理が行われるが、高いRFパワーが印加されるときに拡散が堆積の間に起こる場合、不純物の望ましくない拡散が基板の別の領域において生じる。
さらに、図1bに示すように、高いRFパワーが印加される場合、下層7が、膜堆積の間、酸素プラズマ、イオン衝撃、およびスパッタリングの効果に起因して酸化されるので、下層7の酸化が望ましくないSiOプロセスにおいて低いRFパワーが必要とされる。すなわち、SiO膜5がPEALDによって下層7(この下層7にW、TiNもしくは他の材料が含まれるか、またはこの下層7はSiN膜である)に堆積される場合、下層7を構成する材料はSiO膜5の堆積のために使用される酸化ガスを含むプラズマによってその上部6において酸化されるので、所望のデバイス特性を得ることができない。
さらに、図1cに示すように、膜堆積プロセスの間に高いRFパワーが印加される場合、フォトレジスト寸法は後退し、酸素プラズマ、イオン衝撃、およびスパッタリングの効果に起因して減少するので、フォトレジスト上の膜堆積のプロセスにおいて低いRFパワーが必要とされる。すなわち、酸化物9がダブルパターニングプロセスにおいて基板11上に形成されるパターン化されたフォトレジスト10にPEALDによって堆積される場合、下部のフォトレジスト10の一部12は、SiO膜9の堆積に使用される酸化ガスを含むプラズマによって酸化され、浸食され、フォトレジスト10の所望の寸法を得ることができない。
関連分野に関係する問題および解決策の上記のいずれかの説明は、本発明についての関連性を提供する目的のためだけに本開示に含まれ、それらの説明のいずれかまたは全ては本発明がなされた時点で知られていたことを認めるものと解釈されるべきではない。
一部の実施形態において、使用される前駆体がプラズマでない酸素に対して反応しないという事実にも関わらず、酸素は基板上に酸化膜を堆積するための酸素供給ガスとして使用されず、代わりに、二酸化炭素が酸素供給ガスとして使用される。一部の実施形態において、Cおよび/またはN(xおよびyは整数である)が酸素供給ガスとして使用される。一部の実施形態において、任意の組み合せで酸素、C、およびNの混合物が使用されてもよい。前駆体として、プラズマでない酸素、C、およびNのいずれかに反応する、SiHなどの化学物質は使用されない。好ましくは、有機アミノシランが前駆体として使用されてもよい。
従って、Cおよび/またはNが等価の堆積条件下で酸素の代わりに使用される場合、酸素の使用と比較して、下層の酸化が効果的に阻害され得る。Cおよび/またはNが酸素の代わりに使用されるPEALDにおいて、前駆体を供給した後のパージの継続時間が、吸収していない前駆体を基板の表面から除去するのに短すぎるまたは不十分である場合でさえも、粒子の生成が効果的に阻害され得る。前駆体を供給した後のパージの継続時間が、吸収していない前駆体を基板の表面から除去するのに短すぎるまたは不十分であるプロセスは、疑似ALD(pseudo−ALD)(または表面反応制御CVD)と称される。さらに、Cおよび/またはNの使用は、酸素の使用と比較してプラズマの点火に実質的に影響を及ぼさず、酸素が使用されていない場合でさえも、プラズマの点火は印加されるRFパワーを増加させずに安定化され得る。さらに、一部の実施形態に従って得られた酸化膜はモノシランを使用して得られたSiNなどの窒化膜と高い親和性を有する。二酸化炭素プラズマを使用して酸化膜だけでなく、窒化膜もまた形成される場合、酸素とモノシランとの反応によるラインの目詰まりおよび粒子の生成を回避することができる。なぜなら、酸化膜のダストフリー堆積または実質的なダストフリー堆積(例えば、基板上の粒子の数は約10個以下である)を実施でき、酸化物/窒化物/酸化物の層構造を有する膜コンデンサを生成する場合、PEALDにおけるパージまたは真空化の継続時間が短縮され得るので、スループットを増加させることができる。
本発明の態様および関連分野に対して達成された利点を要約する目的のために、本発明の特定の目的および利点が本開示に記載されている。もちろん、全てのこのような目的または利点は本発明の任意の特定の実施形態に従って達成され得ることを必ずしも必要としないことは理解される。したがって、例えば、当業者は、本明細書に教示または示唆され得るような他の目的または利点を必ずしも達成しなくても、本明細書に教示されている1つの利点または1群の利点を達成または最適化するように本発明が具現化され得るかまたは実施され得ることを認識するであろう。
本発明のさらなる態様、特徴および利点は以下の詳細な説明から明らかになるであろう。
ここで、本発明のこれらおよび他の特徴を好ましい実施形態の図面を参照して記載するが、それらは本発明を例示するためであり、本発明を限定するものではない。図面は例示目的のために非常に簡略化しており、必ずしも縮尺通りではない。
図1aは、ホウケイ酸ガラス(BSG)/リンケイ酸ガラス(PSG)についてのプロセスを示す、部分的に製造された集積回路の概略断面図である。 図1bは、SiOプロセスを示す、部分的に製造された集積回路の概略断面図である。 図1cは、フォトレジスト上に膜を堆積するプロセスを示す、部分的に製造された集積回路の概略断面図である。 図2は、比較例に係る酸素プラズマ(O)および本発明の一実施形態に係る二酸化炭素プラズマ(CO)を使用した酸化度(Si基板における生成したSiO層の厚さ[nm]として表した)とプラズマ曝露時間[秒]との関係を示すグラフである。 図3は、酸素プラズマ(O)および二酸化炭素プラズマ(CO)を使用した場合の10W、15W、20Wおよび25Wの印加したRFパワーにおけるプラズマ点火チャートを示し、各チャートは、圧力[Pa]である垂直軸(行はそれぞれ222、250、300、400、500、600、700および800Paに対応する)および電極間の間隔[mm]である水平軸(列はそれぞれ9.5、11、12、13、14、15および16mm)により規定される行列により形成され、各々のセルは、点火失敗なしを最も明るく表し、50回の点火失敗の発生を最も暗く表したグレースケールを使用した50回の試行回数ごとの点火失敗の数を示す。 図4は、本発明の実施形態に有用な、酸化膜を堆積させるためのPEALD装置の概略図である。
本開示において、「ガス」は、蒸発した固体および/または液体を含んでもよく、単一のガスまたはガスの混合物により構成されてもよい。同様に、「一つ」の物品は、一つの種類または複数の種類を含む属を指す。本開示において、シャワーヘッドを介して反応チャンバに導入されるプロセスガスは、金属またはシリコン含有前駆体および反応ガスから構成されてもよく、それらから実質的になってもよく、またはそれらからなってもよい。反応ガスは、RFパワーがその反応ガスに印加されると前駆体を酸化するガスを含む。前駆体および反応ガスは反応空間に別々に導入されてもよい。前駆体は希ガスなどの搬送ガスと共に導入されてもよい。プロセスガス以外のガス、すなわちシャワーヘッドを介する通過によらないで導入されるガスは、例えば、反応空間をシールするために使用されてもよく、それらのガスは希ガスなどのシールガスを含む。一部の実施形態において、「膜」は、ターゲットとなる表面もしくは対象となる表面全体を覆うためにピンホールを有さずに実質的に厚さ方向に垂直な方向に連続して延びる層、またはターゲットとなる表面もしくは対象となる表面を単に覆う層を指す。一部の実施形態において、「層」は、表面上に形成される特定の厚さを有する構造または膜の同義語または非膜構造を指す。膜または層は、特定の性質を有する別個の単一の膜もしくは層または複数の膜もしくは層により構成されてもよく、隣接する膜または層の間の境界は明確であってもよく、または明確でなくてもよく、物理的、化学的および/もしくは任意の他の特性、形成プロセスもしくは順序、ならびに/または隣接する膜もしくは層の機能もしくは目的に基づいて規定されてもよい。さらに、本開示において、任意の2つの数の変数は、その変数の実行可能な範囲を構成でき、実行可能な範囲は通常作業に基づいて決定でき、示された任意の範囲はエンドポイントを含んでいてもよく、または除外していてもよい。さらに、示された変数の任意の値(それらが「約」と共に示されているか否かに関わらず)は、正確な値またはおおよその値を指し、同値を含んでもよく、一部の実施形態において、平均値、中央値、代表値、多数値などを指してもよい。
条件および/または構造が特定されていない本開示において、当業者は、通常の実験として、本開示を考慮してそのような条件および/または構造を容易に得ることができる。
開示された実施形態の全てにおいて、一実施形態において使用されている任意の要素は、意図される目的のために本明細書に明確、必然的または本質的に開示されている要素を含む、要素と等価の任意の要素と置き換えられてもよい。さらに、本発明は装置および方法に同様に適用されてもよい。
本開示において、任意の定義された意味は、一部の実施形態において、通常および慣例の意味を必ずしも除外しているわけではない。
一部の実施形態において、プラズマアシストプロセスによって酸化膜を生成する方法は、(i)プラズマでない酸素、C、およびN(xおよびyは整数である)のどれに対しても反応しない前駆体を、基板が配置される反応空間に供給するステップと、(ii)反応空間において前駆体をCおよび/またはNのプラズマに曝露するステップと、(iii)前駆体およびプラズマを使用して基板上に酸化膜を生成するステップとを含む。本開示において、「酸化膜」とは、M−O結合(Mは金属またはシリコンである)によって特徴付けられ、主にまたは大部分はM−O結合によって構成され、Mi−O膜に分類され、および/またはMi−O結合によって実質的に構成される主要骨格を有する膜を指す。有機アミノシランなどの炭化水素を有する前駆体が使用される場合、酸化膜は前駆体由来の炭素を含有し得る。一部の実施形態において、酸化膜は微量元素としてC、Hおよび/またはNを含有し得る。
前駆体として、モノシランなどのシラン化合物は除外される。なぜならそれらはプラズマではない場合でも酸素に対して反応性があるからである。一部の実施形態において、前駆体は、酸素、C、およびNに対して非反応性であり、「非反応性」という用語は、前駆体および酸素、C、またはNがプラズマの非存在下で400℃以下の温度および10torr以下の圧力を有する雰囲気の反応空間に同時に導入される条件下の反応の結果として基板上に生成される膜の体積または粒子が検出されないことを指す。一部の実施形態において、前駆体は、Si、TiまたはGeを含有し、酸化膜は実質的にSiO、TiO、またはGeOにより構成される。他の実施形態において、前駆体は、As、Ga、Sb、In、AlまたはZrを含有する。当業者は本開示に基づいた慣例の実験により酸化膜の種類に応じて適切な前駆体を選択できる。
例えば、SiO膜に関して、ビス(ジエチルアミノ)シラン(BDEASまたはSAM24)、テトラキス(ジメチルアミノ)シラン(4DMAS)、トリス(ジメチルアミノ)シラン(3DMAS)、ビス(ジメチルアミノ)シラン(2DMAS)、テトラキス(エチルメチルアミノ)シラン(4EMAS)、トリス(エチルメチルアミノ)シラン(3EMAS)、ビス(第三級ブチルアミノ)シラン(BTBAS)、およびビス(エチルメチルアミノ)シラン(BEMAS)を個々に、または2つもしくはそれ以上の任意の組み合わせで含む、有機アミノシランが使用されてもよい。例えば、AsO膜に関して、トリエトキシアルシンおよびトリエチルアルセネートが個々に、または任意の組み合わせで使用されてもよい。例えば、SbO膜に関して、Sb(i−O−Cおよびトリエトキシアンチモンが個々に、または任意の組み合わせで使用されてもよい。例えば、InO膜に関して、(CHInおよび(CInが個々に、または任意の組み合わせで使用されてもよい。例えば、GaO膜に関して、Ga(OCHおよびGa(OCが個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。例えば、TiO膜に関して、チタンイソプロポキシドまたはチタンテトライソプロポキシド(TTiP)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(1−メトキシ−2−メチル−2−プロパノレート)チタン(Ti(MMP)4)、チタン−テトラ−ブトキシド(TTB)、およびテトラキス(エチルメチルアミノ)チタン(TEMAT)が個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。例えば、GeO膜に関して、テトラエチルオキシゲルマン(TEOG)、テトラメチルオキシゲルマン(TMOG)、テトラエチルゲルマン(TEG)、テトラメチルゲルマン(TMG)、テトラキス(ジメチルアミノ)ゲルマニウム(TDMAGe)、ゲルマニウムテトライソプロポキシド、およびゲルマニウムテトライソブトキシドが個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。一部の実施形態において、前駆体は前述の化合物のいずれかから本質的になる。「から本質的になる」という用語は、法律および規則により許容される範囲で使用される。
一部の実施形態において、希ガスを含むまたは含まないCおよび/またはNを使用してプラズマが生成される。Cとして、CO、CO、CO、C、COおよびCが個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。Nとして、NO、NO、NO、N、NおよびNが個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。一部の実施形態において、Cおよび/またはNのプラズマはCOプラズマである。一部の実施形態において、ステップ(ii)において、酸素プラズマがさらに、Cおよび/またはNのプラズマが十分な酸化力を提供されていないので、所望の特性を有する酸化膜が得られない場合、またはCおよび/もしくはNのプラズマが酸化膜における不純物の濃度を増加させる場合、ステップ(ii)の開始を除いて反応空間に加えられる。ステップ(ii)の開始において、Cおよび/またはNのプラズマは、下層の酸化を阻害するように酸素プラズマなしで使用され、酸化膜が下層の接触面に形成され、酸化問題(酸化膜自体が下層の酸化をブロックするバリア層として機能する)を軽減するのに十分に厚くなった後(例えば、RFパワーに応じて約0.5nm〜約2.0nmの厚さ)、酸素プラズマが部分的または完全にCおよび/またはNのプラズマの代わりに加えられる。一部の実施形態において、酸化膜は、酸素のプラズマなしにCおよび/またはNのプラズマを使用して形成される下部の酸化層、ならびに酸素とCおよび/またはNの混合プラズマを使用して形成される上部の酸化層から構成される(Cおよび/またはNの酸素の流量比は0/100超〜約100/0の範囲であり得る)。一部の実施形態において、ステップ(ii)において、酸素プラズマはステップ(ii)の最初から終わりまで反応空間において使用されない。
一部の実施形態において、プラズマアシストプロセスは、プラズマエンハンスト原子層堆積(PEALD)であり、ステップ(i)は(ia)基板上で前駆体を吸収するために前駆体を反応空間に供給し、続いて吸収されていない前駆体を基板から取り除くためにパージするステップを含み、ステップ(ii)は(iia)吸収された前駆体に対して表面反応を引き起こすように吸収された前駆体をプラズマに曝露し、続いて反応していない成分を基板から取り除くためにパージするステップを含み、ステップ(ia)および(iia)は1回のサイクルからなり、ステップ(iii)において酸化膜を生成するために反復される。例えば、上記の方法は、酸素が酸化ガスとして通常使用されている図1a〜1cに記載されているプロセスにおける問題を効果的に解決できるので、一部の実施形態において、上記の方法は図1a〜1cに記載されているプロセスを改変するために適用される。当業者は本開示に基づいた慣例の実験によりこのような改変されたプロセスを実施できる。
一部の実施形態において、酸化膜は以下の表1に示した条件下でPEALDによって形成されてもよいが、その条件は酸化膜の種類に応じて変更してもよい。ALDは自己限定性吸着反応プロセスであるので、堆積した前駆体分子の量は反応表面サイトの数によって決定され、飽和後の前駆体曝露とは関係なく、前駆体の供給は反応表面サイトが飽和されるようにサイクルごとになされる。
上記において、キャリアガスおよび補助ガスを連続的に供給することによって、別のパージガスは必要とされない。なぜならこれらのガスの連続供給はパージの機構として機能するからである。反応ガスは前駆体に反応しないので、連続的に供給されてもよく、それにより反応ガスの連続供給もまた、パージの機構として機能する。
一部の実施形態において、PEALDによる酸化膜の厚さは約1nm〜約350nmの範囲である(所望の膜厚さは膜の用途および目的などに応じて適切とみなされるように選択されてもよい)。
キャリアガス、シールガス、パージガスまたはプロセス調整ガス(補助ガス)として、Ar、He、Ne、KrおよびXeなどの希ガスが、個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。一部の実施形態において、ステップ(ia)におけるパージは吸収されていない前駆体のほとんどを除去するのに不十分であり、パージ時間は約0.2秒〜約3秒、典型的には約0.3秒〜約1.0秒の範囲であってもよい。パージ時間がALDにおいて短縮される場合、CVDのようなプロセスは基板の表面上および表面付近で行われるので、このプロセスは疑似ALDまたはCVDアシストALDと称される。疑似ALDはプロセス時間を短縮させ、生産性を増加させ、制御パラメータのマージンを広げるが、膜のコンフォーマリティ(conformality)は純粋なALDによるものほど良好ではない場合がある。このプロセスは反応チャンバの内壁におけるプレコートの形成に適用されてもよい。プレコート(例えば、約20nm〜約200nmの厚さを有する)は、疑似ALDが実施される場合、プラズマ反応を安定にするのに効果的である。さらに、驚くべきことに、一部の実施形態の疑似ALDにおいて、パージ時間が顕著に短縮されているので、吸収されていない前駆体の反応がガス相におけるプラズマによって行われるという事実にも関わらず、基板上の粒子の数は増加しない。
一部の実施形態において、プラズマはRFパワーを反応空間に印加することによって反応空間内に生成される(in−situプラズマ)。一部の実施形態において、Cおよび/またはNはステップ(i)〜(iii)の間中ずっと反応空間に連続して供給され、RFパワーが印加される場合、反応ガスは励起される。本開示において、「連続して」とは、一部の実施形態において、真空を中断しないこと、タイムラインを中断しないこと、処理条件を変更しないこと、すぐ後に次のステップに進むこと、または2つの構造の間に別の物理的もしくは化学的境界が存在しないことを指す。
あるいは、Cおよび/またはNは前駆体のパルスと重ならないパルスで供給されてもよい。一部の実施形態において、リモートプラズマユニットが、反応ガスを励起し、プラズマを反応空間に供給するために使用されてもよい。
一部の実施形態において、プラズマアシストプロセスは、プラズマエンハンスト化学気相成長(PECVD)であり、ステップ(i)は(ib)前駆体を反応空間に供給するステップを含み、ステップ(ii)は(iib)前駆体の反応成分が基板上に堆積する前に反応空間において反応を引き起こすように前駆体をプラズマに曝露するステップを含む。上記において、PECVDにおいて酸素の代わりに反応ガスとしてCおよび/またはNを使用する場合、驚くべきことに、基板上の粒子の数は顕著に減少し得るので、PEALDとPECVDの混合プロセスは、粒子の数を増加させずに効果的かつ連続して実施することができ、PECVDの使用を発展させる。
一部の実施形態において、酸化膜は以下の表2に示した条件下でPECVDによって形成されてもよいが、その条件は酸化膜の種類に応じて変更してもよい。
一部の実施形態において、PECVDによる酸化膜の厚さは約5nm〜約1000nmの範囲である(所望の膜厚さは膜の用途および目的などに応じて適切であるとみなされるように選択されてもよい)。キャリアガス、シールガス、パージガス、またはプロセス調整ガスとして、Ar、He、Ne、KrおよびXeなどの希ガスが、個々に、または2つもしくはそれ以上の任意の組み合わせで使用されてもよい。
一部の実施形態において、プラズマはRFパワーを反応空間に印加することによって反応空間内に生成される(in−situプラズマ)。一部の実施形態において、リモートプラズマユニットが、反応ガスを励起し、プラズマを反応空間に供給するために使用されてもよい。
図4は、望ましくは、本発明の一部の実施形態において有用である、以下に記載した手順を実施するようにプログラムされた制御装置と組み合わされたPEALD装置の概略図である。この図において、平行な一対の導電性平板電極44、42が与えられ、それらは反応チャンバ43の内部51において互いに対向し、HRFパワー(13.56MHzまたは27MHz)45および必要に応じて5MHz以下のLRFパワー(400kHz〜500kHz)90が片側に印加され、他方の側に電気的なグラウンド52が接地され、プラズマが電極間で励起される。温度調節装置が下側ステージ42(下側電極)に与えられ、その上に配置される基板41の温度は所与の温度にて一定に維持される。上側電極44はシャワープレートと同様に機能し、反応ガスおよび希ガスは、ガスフロー制御装置63、パルスフロー制御バルブ71〜73、およびシャワープレートを介して反応チャンバ43内に導入される。この実施形態において、前駆体はヒーターを備えたボトル74内に液体として保存される。希ガスは、(a)バルブ72および73が閉鎖されるとバルブ71を介して、または(b)バルブ71が閉鎖されるとバルブ72、ボトル74、およびバルブ73を介して、反応チャンバ43内に連続して流れることができる。(b)において、希ガスは前駆体を保持し、前駆体と一緒に反応チャンバ43内に流れる。(a)において、希ガスのみが反応チャンバ43内に流れる。バルブの操作と共に上記の機構によって、希ガスは、前駆体の供給をパルスしながら連続して供給され得る。あるいは、反応チャンバ43において、排気パイプ46が設けられ、その排気パイプ46を介して、反応チャンバ43の内部51内のガスが排気される。あるいは、シールガスを反応チャンバ43の内部51内に導入するためにシールガスフロー制御装置64が反応チャンバに設けられる(反応チャンバの内部の反応領域と搬送領域を分離するための分離プレートはこの図から省略されている)。PECVDに関して、パルス機構を使用せずに同じ装置が使用されてもよい。
当業者は、装置が、本明細書のいずれかに記載している、制御される堆積およびリアクタクリーニングプロセスを行うようにプログラムまたは構成されている1つまたは複数の制御装置(図示せず)を備えることは理解するであろう。制御装置は、様々な電源、加熱システム、ポンプ、ロボットおよびガスフロー制御装置またはリアクタのバルブと通信することも同様に当業者により理解されるであろう。
参照例1および2
酸素プラズマ(参照例1)および二酸化炭素プラズマ(参照例2)によって引き起こされる酸化度を評価するために、前駆体を供給せず(酸化膜を堆積させず)に剥き出しのSi基板を酸素プラズマおよび二酸化炭素プラズマのそれぞれに曝露し、各プラズマによる酸化度を、プラズマによる剥き出しのSi基板の表面の酸化によって生成されたSiOの厚さに基づいて加速度的に評価した。酸化膜の堆積において、少なくとも堆積プロセスの開始において、参照例1および2に観察されるものと同様の現象が発生すると考えられる。
剥き出しのSi基板を図4に示した装置にロードし、以下の表3に示した条件下でプラズマに曝露した。
プラズマに曝露したSi基板の組成を深さ方向において分析し、酸素が検出された部分の深さ(nm)をSiO層の厚さとして測定した。その結果を図2に示す。図2に示されるように、COを使用した場合のSiO層の厚さは、Oを使用した場合のSiO層の厚さより、10秒の曝露時間にて約8%薄く((1.41−1.3)/1.41)、100秒の曝露時間にて約27%((2.05−1.49)/2.05)薄かった。酸化ガスとしてCOを使用することによって、Oの使用と比較して、下層の酸化度は顕著に減少し得る。
実施例1および2ならびに比較例1および2
図4に示した装置を使用して、酸化膜を以下の表4に示した条件下でPEALDによって基板上に堆積させた。比較例1および2において酸化ガスとしてOを使用したのに対して、実施例1および2において酸化ガスとしてCOを使用し、比較例1および実施例1において、前駆体パージ時間は0.8秒であったのに対して、比較例2および実施例2において前駆体パージ時間は0.1秒であった。
各々の基板を、平均膜厚、成長速度、膜厚均一性、および検出された粒子の数に関して分析した。結果を表4に示す。比較例1および実施例1において、前駆体パージ時間は0.8秒であり、これは吸収されていない前駆体を基板の表面から除去するのに十分であると考えられるのに対して、比較例2および実施例2において、前駆体パージ時間は0.1秒であり、これは吸収されていない前駆体を基板の表面から除去するのに不十分であると考えられる。比較例2において、吸収されていない前駆体を完全に除去せずにプラズマが酸素雰囲気中で生成されたので、吸収されず、除去されていない前駆体はガス相において酸素プラズマと反応し、それにより数千個の粒子のうちの数十個が堆積した。一方、実施例2において、プラズマが二酸化炭素雰囲気中で生成された場合、粒子の数は驚くべきことに10未満であった。PEALDにおいて二酸化炭素プラズマを使用することによって、ダストフリー堆積が実施され得ることが確認される。
実施例3および比較例3
図4に示した装置を使用して以下の表5に示した条件下でPECVD(前駆体をプラズマに曝露した)によって酸化膜を基板に堆積させた。比較例3においてOを酸化ガスとして使用したのに対して、実施例3においてCOを酸化ガスとして使用した。
各々の基板を、平均膜厚、膜厚均一性、および検出された粒子の数に関して分析した。結果を表5に示す。比較例3において、プラズマが酸素雰囲気中で生成されたので、前駆体はガス相において酸素プラズマと反応し、それにより数千個の粒子のうちの数十個が堆積した。一方、実施例2と同様に実施例3において、プラズマが二酸化炭素雰囲気中で生成された場合、粒子の数は驚くべきことに10未満であった。また、PECVDにおいて二酸化炭素プラズマを使用することによって、ダストフリー堆積が実施され得ることが確認される。
実施例4
ボトルを50℃に加熱した(それにより前駆体の供給は増加する)ことを除いて、実施例2と同じ条件下でPEALDによって酸化膜を基板上に堆積させた。結果として、成長速度は0.132nm/サイクルに増加した。しかしながら、検出された粒子の数は10未満であり、短いパージ時間(0.1秒)を維持しながら供給が増加した場合でさえも、検出された粒子の数は極めて低いままであり得ることが示される。
参照例3および4
酸素(参照例3)および二酸化炭素(参照例4)を使用してプラズマ点火範囲を評価するために、10W、15W、20W、および25WのRFパワーがそれぞれの行列に印加された場合、圧力[Pa]である垂直軸(行はそれぞれ222、250、300、400、500、600、700、および800Paに対応する)および電極間の間隔[mm]である水平軸(列はそれぞれ9.5、11、12、13、14、15、および16mmに対応する)によって各々定義される複数の行列に基づいて、図4に示した装置を使用して点火状態を検査した。結果を図3に示す。各行列における各セルは、点火失敗なしを最も明るく表し、50回の点火失敗の発生を最も暗く表したグレースケールを使用した50回の試行回数ごとの点火失敗の数を示す。図3に示したように、酸素と二酸化炭素との間で点火性に顕著な相違は存在せず、二酸化炭素は酸素を使用したプラズマの点火と同様にプラズマを点火できることを示す。
複数のおよび様々な変更が本発明の精神から逸脱せずになされ得ることは当業者により理解されるであろう。したがって、本発明の形態は例示のみであり、本発明の範囲を限定することを意図するわけではないことが明確に理解されるべきである。

Claims (9)

  1. プラズマアシストプロセスによって酸化膜を生成する方法であって、
    (i)プラズマでない酸素、C、およびN(xおよびyは自然数である)のどれに対しても反応しない前駆体を、基板が配置される反応空間に供給するステップと、
    (ii)前記反応空間において前記前駆体をCおよび/またはNのプラズマに曝露するステップと、
    (iii)前記前駆体および前記プラズマを使用して前記基板上に酸化膜を生成するステップと
    を含
    前記プラズマアシストプロセスは、プラズマエンハンスト原子層堆積(PEALD)であり、
    ステップ(i)は(ia)前記基板上で前記前駆体を吸着するために前記前駆体を前記反応空間に供給し、続いて吸着されていない前駆体を前記基板から取り除くためにパージするステップを含み、
    ステップ(ii)は(iia)吸着された前駆体に対して表面反応を引き起こすように前記吸着された前駆体をプラズマに曝露し、続いて反応していない成分を前記基板から取り除くためにパージするステップを含み、
    ステップ(ia)におけるパージのステップを、吸着されていない前駆体がすべて除去される前に停止する、方法。
  2. 前記前駆体が、Si、Ti、またはGeを含有し、前記酸化膜が、SiO、TiO、またはGeOによって実質的に構成される、請求項1に記載の方法。
  3. 前記前駆体が、有機アミノシランである、請求項2に記載の方法。
  4. 前記Cおよび/またはNのプラズマが、COプラズマである、請求項1に記載の方法。
  5. テップ(ia)および(iia)は、それぞれ1回のサイクルからなり、ステップ(iii)酸化膜を生成するために反復される、請求項1に記載の方法。
  6. 前記プラズマが、RFパワーを前記反応空間に印加することによって前記反応空間において生成される、請求項に記載の方法。
  7. および/またはNが、ステップ(i)から(iii)の間を通して前記反応空間に連続して供給される、請求項に記載の方法。
  8. ステップ(ii)において、酸素プラズマが前記反応空間にさらに加えられる、請求項1に記載の方法。
  9. ステップ(ii)において、酸素プラズマが前記反応空間において使用されない、請求項1に記載の方法。
JP2014188835A 2013-09-19 2014-09-17 プラズマアシストプロセスにより酸化膜を生成する方法 Active JP6367658B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/031,982 2013-09-19
US14/031,982 US9284642B2 (en) 2013-09-19 2013-09-19 Method for forming oxide film by plasma-assisted processing

Publications (2)

Publication Number Publication Date
JP2015061075A JP2015061075A (ja) 2015-03-30
JP6367658B2 true JP6367658B2 (ja) 2018-08-01

Family

ID=52668190

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014188835A Active JP6367658B2 (ja) 2013-09-19 2014-09-17 プラズマアシストプロセスにより酸化膜を生成する方法

Country Status (4)

Country Link
US (1) US9284642B2 (ja)
JP (1) JP6367658B2 (ja)
KR (1) KR102324575B1 (ja)
TW (1) TWI661077B (ja)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6361722B2 (ja) * 2015-12-08 2018-07-25 日亜化学工業株式会社 発光装置の製造方法
US9893239B2 (en) 2015-12-08 2018-02-13 Nichia Corporation Method of manufacturing light emitting device
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10262865B2 (en) 2017-04-14 2019-04-16 Asm Ip Holding B.V. Methods for manufacturing semiconductor devices
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09148322A (ja) * 1995-11-22 1997-06-06 Sharp Corp シリコン酸化膜の成膜方法及びプラズマcvd成膜装置
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP2002164342A (ja) * 2000-07-21 2002-06-07 Canon Sales Co Inc 半導体装置及びその製造方法
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
FR2904328B1 (fr) * 2006-07-27 2008-10-24 St Microelectronics Sa Depot par adsorption sous un champ electrique
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US9337054B2 (en) * 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US20110183079A1 (en) * 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5789149B2 (ja) * 2011-07-21 2015-10-07 Jswアフティ株式会社 原子層成長方法及び原子層成長装置
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
WO2014015237A1 (en) * 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications

Also Published As

Publication number Publication date
TWI661077B (zh) 2019-06-01
KR20150032634A (ko) 2015-03-27
US9284642B2 (en) 2016-03-15
US20150079311A1 (en) 2015-03-19
JP2015061075A (ja) 2015-03-30
KR102324575B1 (ko) 2021-11-10
TW201518535A (zh) 2015-05-16

Similar Documents

Publication Publication Date Title
JP6367658B2 (ja) プラズマアシストプロセスにより酸化膜を生成する方法
CN108728824B (zh) 使用斜降流量的反应气体进行等离子体辅助循环沉积的方法
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
US10655221B2 (en) Method for depositing oxide film by thermal ALD and PEALD
US9607837B1 (en) Method for forming silicon oxide cap layer for solid state diffusion process
US9909214B2 (en) Method for depositing dielectric film in trenches by PEALD
US9627221B1 (en) Continuous process incorporating atomic layer etching
US9455138B1 (en) Method for forming dielectric film in trenches by PEALD using H-containing gas
US10513775B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6653577B2 (ja) プラスマエンハンスト原子層エッチングの方法
US10179947B2 (en) Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9478414B2 (en) Method for hydrophobization of surface of silicon-containing film by ALD
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US8784951B2 (en) Method for forming insulation film using non-halide precursor having four or more silicons
US20130330933A1 (en) Method for Forming Silicon-Containing Dielectric Film by Cyclic Deposition with Side Wall Coverage Control
JP5651451B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2017033979A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6523080B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
US11072859B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170627

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180531

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180612

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180705

R150 Certificate of patent or registration of utility model

Ref document number: 6367658

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250