KR102324575B1 - 플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법 - Google Patents

플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법 Download PDF

Info

Publication number
KR102324575B1
KR102324575B1 KR1020140122903A KR20140122903A KR102324575B1 KR 102324575 B1 KR102324575 B1 KR 102324575B1 KR 1020140122903 A KR1020140122903 A KR 1020140122903A KR 20140122903 A KR20140122903 A KR 20140122903A KR 102324575 B1 KR102324575 B1 KR 102324575B1
Authority
KR
South Korea
Prior art keywords
plasma
precursor
oxide film
substrate
reaction space
Prior art date
Application number
KR1020140122903A
Other languages
English (en)
Other versions
KR20150032634A (ko
Inventor
류 나카노
나오키 이노우에
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20150032634A publication Critical patent/KR20150032634A/ko
Application granted granted Critical
Publication of KR102324575B1 publication Critical patent/KR102324575B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법은, (i) 기판이 배치된 반응 공간에 플라즈마 없이 산소, CxOy, 및 NxOy (x 및 y 는 정수) 중 어느 것에 대해서도 반응성이 없는 전구체를 공급하는 단계; (ii) 상기 반응 공간에서 CxOy 및/또는 NxOy 의 플라즈마에 상기 전구체를 노출시키는 단계; 및 (iii) 상기 전구체및 상기 플라즈마를 사용하여 상기 기판에 산화물 막을 형성하는 단계를 포함한다.

Description

플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법{METHOD FOR FORMING OXIDE FILM BY PLASMA-ASSISTED PROCESSING}
본 발명은 일반적으로, 플라즈마 강화 원자층 증착 (PEALD) 과 같은 플라즈마 이용 (plasma-assisted) 프로세싱에 의해 금속 또는 실리콘의 산화물 막을 형성하는 방법에 관한 것이다.
PEALD 프로세스에서, 응용의 타입에 따라, 보론-실리케이트 유리 (BSG)/포스포-실리케이트 유리 (PSG) 의 프로세스에서 오토 도핑 (auto-doping) 및 하지 층 (underlying layer) 의 산화와 같은 해결해야 할 문제들이 있다. 종래에, 이들 문제들은 RF 전력을 낮춤으로써 다루어졌다.
예를 들어, 고상 확산 (SSD) 을 위한 BSG/PSG 프로세스에서 낮은 RF 전력이 요구되는데, 왜냐하면 도 1a에 도시된 바처럼, 높은 RF 전력이 인가될 때, Si 기판 (3) 으로의 도펀트 (보론) (2) 의 침투가 이온 충격 효과에 기인하여 BSG 막 (1) 의 성막 동안에 일어나기 때문이다. 즉, BSG/PSG 막의 일부가, 성막 단계 동안 그리고 후에 도펀트의 확산이 요망되지 않는 영역으로부터 제거되고, 다음으로 불순물의 확산이 기판에서 특정 영역에만 국한될 수 있도록 기판의 열 처리가 수행되더라도, 높은 RF 전력이 인가될 때 성막 동안 확산이 일어나면 불순물의 원하지 않는 확산이 기판의 또 다른 영역에서 발생할 것이다.
또한, 하지 층 (7) 의 산화가 바람직하지 않는 SiO 프로세스에서 낮은 RF 전력이 요구되는데, 왜냐하면, 높은 RF 전력이 인가될 때 도 1b에 예시된 바처럼, 하지 층 (7) 이, 막 성막 동안 산소 플라즈마, 이온 충격, 및 스퍼터링의 효과들에 기인하여 산화되기 때문이다. 즉, SiO 막 (5) 이, W, TiN, 또는 다른 재료들이 포함되거나 또는 SiN 막인 하지 층 (7) 상에 PEALD에 의해 성막될 때, 하지 층 (7) 을 구성하는 재료는 그의 상부 부분 (6) 에서, SiO 막 (5) 의 성막에 사용되는 산화 가스를 포함하는 플라즈마에 의해 산화되고, 그에 의해 원하는 디바이스 특성이 획득될 수 없다.
또한, 낮은 RF 전력이 포토레지스트 상의 막 성막의 프로세스에서 요구되는데, 왜냐하면, 높은 RF 전력이 막 성막 프로세스 동안 인가될 때 도 1c에 예시된 바처럼, 포토레지스트 치수들은 산소 플라즈마, 이온 충격 및 스퍼터링의 효과에 기인하여 방해 (set back) 되고 감소되기 때문이다. 즉, 산화물 (9) 이 이중 패터닝 프로세스에서 기판 (11) 상에 형성된 패터닝된 포토레지스트 (10) 상에 PEALD 에 의해 성막될 때, 하지 포토레지스트 (10) 의 일부 (12) 는 SiO 막 (9) 의 성막에 사용되는 산화 가스를 포함하는 플라즈마에 의해 산화 및 침식되고, 포토레지스트 (10) 의 원하는 치수들이 획득될 수 없다.
관련 기술에 수반된 문제 및 해법들에 대한 임의의 논의는 오로지 본 발명을 위한 맥락을 제공하는 목적을 위해서만 포함되고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려져 있었다라는 것을 인정하는 것으로 받아들여져서는 안된다.
일부 실시형태들에서, 사용될 전구체는 플라즈마 없이 산소에 대해 비반응성이라는 사실에도 불구하고, 산소는 기판 상에 산화물 막을 성막하기 위한 산소 공급 가스로서 사용될 수 없고, 대신에, 이산화탄소가 산소 공급 가스로서 사용된다. 일부 실시형태들에서, CxOy 및/또는 NxOy (x 및 y 는 정수임) 이 산소 공급 가스로서 사용된다. 일부 실시형태들에서, 산소, CxOy, 및 NxOy 를 임의로 조합한 혼합물이 사용될 수 있다. 전구체로서, 플라즈마 없이 산소, CxOy, 및 NxOy 의 어느 것에 대해 반응성인 SiH4 등의 화학물질은 사용되지 않는다. 바람직하게는, 유기아미노실란이 전구체로서 사용될 수 있다.
따라서, 산소의 사용과 비교하여, CxOy 및/또는 NxOy 이 동등한 성막 조건들하에서 산소 대신에 사용될 때, 하지 층의 산화는 효과적으로 억제될 수 있다. PEALD 에서, CxOy 및/또는 NxOy 이 산소 대신에 사용되는 경우, 심지어 전구체를 피딩 (feeding) 한 후 퍼징하는 지속시간이 기판의 표면으로부터 비흡착 전구체를 제거하는데 실질적으로 부족하거나 또는 불충분할 때에도, 입자들의 생성은 효과적으로 억제될 수 있다. 전구체를 피딩한 후 퍼징하는 지속시간이 기판의 표면으로부터 비흡착 전구체를 제거하는데 실질적으로 부족하거나 또는 불충분한 프로세스는 의사-ALD (pseudo-ALD) (또는 표면 반응 제어 CVD) 로도 지칭된다. 또한, CxOy 및/또는 NxOy 의 사용이 산소의 사용과 비교하여 플라즈마의 점화에 실질적으로 영향을 미치지 않고, 여기서 산소가 사용되지 않은 경우에도, 인가될 RF 전력을 증가시키지 않고서 플라즈마의 점화가 안정화될 수 있다. 또한, 일부 실시형태들에 따라 획득된 산화물 막은 모노실란을 사용하여 획득된 SiN 등의 질화물 막과의 높은 친화도를 갖는다. 산화물 막뿐만 아니라 질화물 막이 이산화 탄소 플라즈마를 사용하여 형성되는 경우에, 산소와 모노실란 사이의 반응에 의한 입자들의 생성 및 라인들의 클로깅 (clogging) 이 회피될 수 있고, 산화물 막의 띠끌이 없는 성막 또는 실질적으로 띠끌이 없는 성막 (예를 들어, 입자들의 수는 기판 상에 약 10 이하이다) 이 수행될 수 있고, 산화물/질화물/산화물의 층 구조를 갖는 막 커패시터를 형성할 때에, PEALD 에서 퍼징 또는 진공화의 지속기간이 단축됨으로써, 스루풋을 증가시킬 수 있다.
본 발명의 양태들 및 관련 기술에 비해 달성되는 이점들을 요약하는 목적으로, 본 발명의 특정 목적들 및 이점들이 본 개시에서 설명된다. 물론, 모든 그러한 목적들 또는 이점들이 반드시 본 발명의 임의의 특정 실시형태에 따라 달성되는 것은 아닐 수도 있다는 것이 이해되야 한다. 따라서, 예를 들어, 당업자는, 본 발명이 여기에 교시되거나 또는 시사될 수도 있는 바처럼 반드시 다른 목적들 또는 이점들을 달성하는 것은 아닌 여기에 교시된 바처럼 하나의 이점 또는 이점들의 군을 달성하거나 또는 최적화하는 방식으로 구체화되거나 또는 수행될 수도 있다는 것을 인식할 것이다.
본 발명의 추가 양태들, 특징들 및 이점들은 다음의 상세한 설명으로부터 분명해질 것이다.
본 발명의 이들 및 다른 특징들이 이제 본 발명을 제한하는 것이 아닌 본 발명을 예시하도록 의도된 바람직한 실시형태들의 도면들을 참조하여 설명될 것이다. 그 도면들은 예시적인 목적들으로 대폭 단순화되고 반드시 스케일대로인 것은 아니다.
도 1a는 보로-실리케이트 유리 (BSG)/포스포-실리케이트 유리 (PSG) 를 위한 프로세스를 나타내는, 부분 제작된 집적 회로의 개략 단면도이다.
도 1b는 SiO 프로세스를 보여주는, 부분 제작된 집적 회로의 개략 단면도이다.
도 1c는 포토레지스트 상에 막을 성막하는 프로세스를 보여주는, 부분 제작된 집적 회로의 개략 단면도이다.
도 2는, 비교예에 따른 산소 플라즈마 (O2) 및 본 발명의 실시형태에 따른 이산화 탄소 플라즈마 (CO2) 를 사용한 플라즈마 노출 시간 [초] 과 산화도 (Si 기판에서 생성된 SiO 층의 두께 [nm] 로 표현됨) 사이의 관계를 보여주는 그래프이다.
도 3은, 산소 플라즈마 (O2) 및 이산화 탄소 플라즈마 (CO2) 를 사용할 때 10 W, 15 W, 20 W, 및 25 W 의 인가된 RF 전력에서의 플라즈마 점화 차트를 나타내고, 여기서 각 차트는 압력 [Pa] 인 수직축 (행들은 222, 250, 300, 400, 500, 600, 700, 및 800 Pa 에 각각 대응한다) 및 전극들 사이의 갭 [mm] 인 수평축 (열들은 9.5, 11, 12, 13, 14, 15, 및 16 mm 에 각각 대응된다) 에 의해 정의되는 매트릭스에 의해 형성되고, 각 셀은 점화 실패 없음을 나타내는 가장 밝은 것으로부터 점화 실패 50 회 발생을 나타내는 가장 어두운 것까지의 그레이 스케일을 사용하여 50회 시도 횟수 당 점화 실패의 횟수를 보여준다.
도 4는 본 발명의 실시형태에서 사용가능한, 산화물 막을 성막하기 위한 PEALD 장치의 개략도이다.
본 개시에서, “가스” 는 증기화된 고체 및/또는 액체를 포함할 수도 있고 단일 가스 또는 가스들의 혼합물에 의해 구성될 수도 있다. 마찬가지로, 관사 "a" 또는 "an" 는 하나의 종을 지칭하거나 또는 다수의 종들을 포함하는 속을 지칭한다. 본 개시에서, 샤워헤드를 통해 반응 챔버에 도입된 프로세스 가스는 금속- 또는 실리콘- 함유 전구체 및 반응물 가스로 구성 (comprised of) 되거나, 본질적으로 이루어지거나 (consist essentially of), 또는 그로 이루어질 (consist of) 수도 있다. 반응물 가스는, RF 전력이 반응물 가스에 인가될 때 전구체를 산화시키기 위한 가스를 포함한다. 전구체 및 반응물 가스는 반응 공간에 따로 도입될 수 있다. 전구체는 희가스와 같은 캐리어 가스와 도입될 수 있다. 프로세스 가스외의 가스, 즉 샤워헤드를 통과하지 않고서 도입된 가스는, 예를 들어, 반응 공간을 실링하는데 사용될 수도 있고, 이는 희가스와 같은 시일 가스를 포함한다. 일부 실시형태들에서, "막" 은 실질적으로 핀홀들 없이 두께 방향에 수직한 방향으로 연속적으로 연장되어 전체 타겟 또는 관련 표면 (concerned surface) 을 커버하는 층, 또는 간단히 타겟 또는 관련 표면을 커버하는 층을 지칭한다. 일부 실시형태들에서, "층" 은, 표면 상에 형성된 특정 두께를 갖는 구조물 또는 막 또는 막이 아닌 구조물의 동의어를 지칭한다. 막 또는 층은, 특정 특성들을 갖는 별개 (discrete) 단일 막 또는 층, 또는 다수의 막들 또는 층들에 의해 구성될 수도 있고, 인접하는 막들 또는 층들 사이의 경계는 명확 (clear) 하거나 또는 그렇지 않을 수도 있고, 물리적, 화학적 및/또는 임의의 다른 특성들, 형성 프로세스들 또는 시퀀스, 및/또는 인접 막들 또는 층들의 기능들 또는 목적들에 기초하여 확립될 수도 있다. 또한, 본 개시에서, 변수의 임의의 2개 수들은 변수의 작업가능한 범위를 구성할 수 있는데, 그 작업가능한 범위는 일상적인 작업 (routine work) 에 기초하여 결정될 수 있기 때문이고, 나타낸 임의의 범위들은 종점 (endpoint) 들을 포함하거나 또는 제외할 수도 있다. 또한, 표시된 변수들의 임의의 값들은 ("약" 표시되든지 또는 그렇지 않든지에 상관 없이) 정확한 값들 또는 근사 값들을 지칭할 수도 있고 등가물 (equivalent) 들을 포함하고, 일부의 실시형태들에서는, 평균, 중간 (median), 대표, 다수 (majority) 등을 지칭할 수도 있다.
본 개시에서 조건들 및/또는 구조들이 명시되지 않는 경우에, 당업자는, 일상적인 실험의 문제로서, 본 개시를 고려하여, 그러한 조건들 및/또는 구조들을 손쉽게 제공할 수 있다.
모든 개시된 실시형태들에서, 실시형태에 사용된 임의의 요소는 그와 동등한 임의의 요소로 치환될 수 있고, 의도된 목적들을 위해 여기에서 명시적으로, 필요적으로, 또는 내재적으로 개시된 것들을 포함한다. 또한, 본 발명은 장치 및 방법들에 동일하게 적용될 수 있다.
본 개시에서, 임의의 정의된 의미들은 일부 실시형태들에서 보통 및 관습적인 의미들을 반드시 제외하는 것은 아니다.
일부 실시형태들에서, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법은, (i) 기판이 배치된 반응 공간에 플라즈마 없이 산소, CxOy, 및 NxOy (x 및 y 는 정수) 중 어느 것에 대해서도 반응성이 없는 전구체를 공급하는 단계; (ii) 상기 반응 공간에서 CxOy 및/또는 NxOy 의 플라즈마에 전구체를 노출시키는 단계; 및 (iii) 전구체와 플라즈마를 사용하여 기판에 산화물 막을 형성하는 단계를 포함한다. 본 개시에서, "산화물 막" 은, M-O 결합 (M은 금속 또는 실리콘) 에 의해 특성화되거나, 주로 또는 우세하게 M-O 결합에 의해 구성되거나, Mi-O 막으로 분류되거나 및/또는 Mi-O 결합에 의해 실질적으로 구성되는 주 골격을 갖는 막을 지칭할 수도 있다. 유기아미노실란과 같은 탄화수소를 갖는 전구체가 사용될 때, 산화물 막은 전구체로부터 도출된 탄소들을 포함할 수도 있다. 일부 실시형태들에서, 산화물 막은 소량 원소 (minor element) 로서 C, H, 및/또는 N 를 포함할 수도 있다.
전구체로서, 모노실란 등의 실란 화합물은, 플라즈마 없이도 산소에 반응성이 있으므로, 제외된다. 일부 실시형태들에서, 전구체는 산소, CxOy, 및 NxOy에 대해 비반응성이고, 용어 "비반응성" 은, 플라즈마의 부재에서 400℃ 이하의 온도 및 10 torr 이하의 압력을 갖는 분위기에서 반응 공간에 전구체 및 산소, CxOy, 또는 NxOy 이 동시에 도입되는 조건들하의 반응의 결과로서 기판 상에 생성되는 막 체적 또는 입자들이 검출되는 않는 것을 지칭한다. 일부 실시형태들에서, 전구체는, Si, Ti, 또는 Ge 를 포함하고 산화물 막은 실질적으로 SiO, TiO, 또는 GeO 에 의해 구성된다. 다른 실시형태들에서, 전구체는 As, Ga, Sb, In, Al, 또는 Zr 를 포함한다. 당업자는, 본 개시에 기초한 통상적인 실험을 통해 산화물 막의 타입에 따라 적합한 전구체를 선택할 수 있다.
예를 들어, SiO 막에 대해, 유기아미노실란이 사용될 수 있으며, 이는 비스(디에틸아미노)실란 (BDEAS 또는 SAM24), 테트라키스(디메틸아미노)실란 (4DMAS), 트리스(디메틸아미노)실란 (3DMAS), 비스(디메틸아미노)실란 (2DMAS), 테트라키스(에틸메틸아미노)실란 (4EMAS), 트리스(에틸메틸아미노)실란 (3EMAS), 비스(터셔리-부틸아미노)실란 (BTBAS), 및 비스(에틸메틸아미노)실란 (BEMAS) 을 단독으로 또는 2 이상의 임의의 조합으로 포함한다. 예를 들어, AsO 막으로서는, 트리에톡시아르신 및 트리에틸아르시네이트가 단독으로 또는 임의의 조합으로 사용될 수 있다. 예를 들어, SbO 막으로서는, Sb(i-O-C3H7)3 및 안티모니 트리-에톡시드가 단독으로 또는 조합으로 사용될 수 있다. 예를 들어, InO 막으로서는, (CH3)3In 및 (C2H5)3In 가 단독으로 또는 임의의 조합으로 사용될 수 있다. 예를 들어, GaO 막으로서는, Ga(OCH3)3, 및 Ga(OC2H5)3 가 단독으로 또는 2 이상의 임의의 조합으로 사용될 수 있다. 예를 들어, TiO 막으로서는, 티타늄 이소프로폭시드 또는 티타늄 테트라이소프로폭시드 (TTiP), 테트라키스(디메틸아미노) 티타늄 (TDMAT), 테트라키스(1-메톡시-2-메틸-2-프로판올레이트)티타늄 (Ti(MMP)4), 티타늄-테트라-부톡시드 (TTB), 및 테트라키스(에틸메틸아미노)티타늄 (TEMAT) 이 단독으로 또는 2 이상의 임의의 조합으로 사용될 수 있다. 예를 들어, GeO 막으로서는, 테트라에틸옥시게르만 (TEOG), 테트라메틸옥시게르만 (TMOG), 테트라에틸게르만 (TEG), 테트라메틸게르만 (TMG), 테트라키스(디메틸아미노)게르마늄 (TDMAGe), 게르마늄 테트라이소프로폭시드, 및 게르마늄 테트라이소부톡시드가 단독으로 또는 2 이상의 임의의 조합으로 사용될 수 있다. 일부 실시형태들에서, 전구체는 전술한 화합물들 중 어느 것으로 본질적으로 이루어진다. 용어 "으로 본질적으로 이루어지는" 은 법제 (law and regulation) 에 의해 허락되는 전체 범위로 사용된다.
일부 실시형태들에서, 플라즈마는 희가스에 의해 또는 의하지 않고서 CxOy 및/또는 NxOy 를 사용하여 생성된다. CxOy 로서는, CO, C2O, CO2, C3O2, CO3, 및 C5O2 가 단독으로 또는 2 이상의 임의의 조합으로 사용될 수 있다. NxOy로서는, NO, N2O, NO2, N2O3, N2O4, 및 N2O5 가 단독으로 또는 2개 이상의 임의의 조합으로 사용될 수 있다. 일부 실시형태들에서, CxOy 및/또는 NxOy 의 플라즈마는 CO2 플라즈마이다. 일부 실시형태들에서, 단계 (ii) 에서, 산소 플라즈마가 또한, CxOy 및/또는 NxOy 의 플라즈마가 충분한 산화성을 제공하지 않아 원하는 특성을 가진 산화물 막이 획득되지 않는 경우에, 또는 CxOy 및/또는 NxOy 의 플라즈마가 산화물 막에서 불순물의 농도를 증가시키는 경우에, 단계 (ii) 의 시작 동안을 제외하고 반응 공간에 추가된다. 단계 (ii) 의 시작에서, CxOy 및/또는 NxOy 의 플라즈마가 산소 플라즈마 없이 사용되어 하지 층의 산화를 억제하고, 산화물 막이 하지 층의 계면 표면에 형성되고 충분한 두께 (예를 들어, RF 전력에 따라, 약 0.5 nm 내지 약 2.0 nm 의 두께) 가 되어 산화 문제를 완화시킨 (여기서 산화물 막 자체가 하지층의 산화를 막는 배리어 층으로서 기능한다) 후에, 산소 플라즈마가 CxOy 및/또는 NxOy 의 플라즈마에 추가되거나 또는 부분적으로 또는 전체적으로 이를 대체한다. 일부 실시형태들에서, 산화물 막이 산소의 플라즈마 없이 CxOy 및/또는 NxOy 의 플라즈마를 사용하여 형성된 하부 산화물 층, 및 산소 및 CxOy 및/또는 NxOy 의 혼합된 플라즈마 (여기서 산소 대 CxOy 및/또는 NxOy 의 흐름 비는 0/100 를 넘어 약 100/0 까지의 범위에 있을 수도 있다) 를 사용하여 형성된 상부 산화물 층으로 구성된다. 일부 실시형태들에서는, 단계 (ii) 에서, 산소 플라즈마가 단계 (ii) 전체에 걸쳐 반응 공간에 사용되지 않는다.
일부 실시형태들에서, 플라즈마 이용 프로세싱은 플라즈마 강화 원자층 증착 (PEALD) 이고, 여기서 단계 (i) 는 (ia) 반응 공간에 전구체를 공급하여 기판 상에 전구체를 흡착시킨 다음에, 퍼징하여 기판으로부터 비흡착 전구체를 제거하는 단계를 포함하고, 단계 (ii) 는, (iia) 흡착된 전구체를 플라즈마에 노출시켜 흡착된 전구체에 표면 반응을 일으킨 다음에 퍼징하여 기판으로부터 비반응 성분을 제거하는 단계를 포함하고, 단계들 (ia) 및 (iia) 이 하나의 사이클을 구성하고 반복되어 단계 (iii) 에서 산화물 막을 형성한다. 예를 들어, 위의 방법은, 산소가 산화 가스로서 종래에 사용된 도 1a 내지 도 1c에 기재된 프로세스들에서의 문제들을 효과적으로 해결할 수 있고, 따라서 일부 실시형태들에서, 위의 방법이 도 1a 내지 도 1c에 기재된 프로세스들을 수정하기 위하여 적용된다. 당업자는 본 개시에 기초하여 통상적인 실험을 통해 그러한 수정된 프로세스들을 수행할 수 있다.
일부 실시형태들에서, 산화물 막은 아래의 표 1에 나타낸 조건들하에서 PEALD 에 의해 형성될 수 있지만, 그 조건들은 산화물 막의 타입에 따라 달라질 수도 있다. ALD 는 자기 제한 흡착 반응 프로세스이므로, 성막되는 전구체 분자들의 양은 반응 표면 사이트들의 수에 의해 결정되고, 포화 후의 전구체 노출과 관계 없고, 전구체의 공급은, 그에 의해 반응 표면 사이트들이 사이클마다 포화되도록 한다.
Figure 112014087738754-pat00001
위에서, 캐리어 가스 및 보조 가스를 연속적으로 피딩함으로써, 별도의 퍼지 가스는 불필요한데, 왜냐하면 이들 가스들의 연속적인 피드가 퍼징의 메카니즘으로 작용하기 때문이다. 반응물 가스는 연속적으로 피딩될 수 있는데, 왜냐하면 이것은 전구체에 반응하지 않기 때문이고, 따라서 반응물 가스의 연속적인 피드는 또한 퍼징의 메카니즘으로서 기능한다.
일부 실시형태들에서, PEALD 에 의한 산화물 막의 두께는, 약 1 nm 내지 약 350 nm의 범위에 있다 (원하는 막 두께는 막의 용도 및 목적 등에 따라 적절한 것으로 여겨지는 것으로서 선택될 수 있다).
캐리어 가스로서, 시일 가스, 퍼지 가스, 또는 프로세스 조정 가스 (보조 가스), 희가스 이를테면 Ar, He, Ne, Kr, 및 Xe 가 단독으로 또는 2 이상의 임의의 조합으로 사용될 수 있다. 일부 실시형태들에서, 단계 (ia) 에서 퍼징은 비흡착된 전구체의 대부분을 제거하는데 불충분하고, 여기서 퍼지 시간은 약 0.2 초 내지 약 3 초, 통상적으로는 약 0.3 초 내지 약 1.0 초의 범위내일 수도 있다. 퍼지 시간이 ALD에서 단축될 때, CVD-형 프로세스가 기판의 표면 및 부근에서 일어나고, 따라서, 이 프로세스는 유사-ALD 또는 CVD-연관 ALD 로도 지칭된다. 의사-ALD 는 프로세스 시간을 단축시키며, 생산성을 증가시키고 제어 파라미터 마진들을 폭넓게 하지만, 막의 등각성 (conformality) 은 순수한 ALD 에 의한 것만큼 양호하지 않을 수도 있다. 이 프로세스는 반응 챔버의 내부 벽 상에 프리코트 (pre-coat) 의 형성에 적용될 수 있다. (두께가 예를 들어 약 20 nm 내지 약 200 nm 인) 프리코트가 의사-ALD 가 수행될 때 플라즈마 반응을 안정화시킴에 있어서 효과적이다. 또한, 놀랍게도, 일부 실시형태들에서는 의사-ALD 에서, 퍼지 시간이 현저히 단축되고 따라서 비흡착 전구체의 반응이 가스 상태 (gas phase) 에서 플라즈마에 의해 일어난다는 사실에도 불구하고, 기판 상의 입자들의 수는 증가하지 않는다.
일부 실시형태들에서, RF 전력을 반응 공간에 인가함으로써 반응 공간에서 플라즈마 (인시츄 플라즈마) 가 생성된다. 일부 실시형태들에서, CxOy 및/또는 NxOy 가 단계들 (i) 내지 (iii) 전체에 걸쳐 반응 공간에 연속적으로 공급되고, RF 전력이 인가될 때 반응 가스가 여기된다. 본 개시에서, "연속적으로" 는 진공 파괴 없이, 타임라인 (timeline) 으로서 중단 없이, 처리 조건들의 변경 없이, 그 직후에, 다음 단계로서, 또는 일부 실시형태들에서 2개의 구조들 사이의 분리되는 물리적 또는 화학적 경계 없는 것을 지칭한다.
대안적으로, CxOy 및/또는 NxOy 는 전구체의 펄스들에 오버랩하지 않는 펄스들에서 공급될 수 있다. 일부 실시형태들에서, 원격 플라즈마 유닛은 반응물 가스를 여기시키고 반응 공간에 플라즈마를 공급하는데 사용될 수 있다.
일부 실시형태들에서, 플라즈마 이용 프로세싱은 플라즈마 강화 화학 기상 증착 (PECVD) 이고, 여기서 단계 (i) 는 (ib) 반응 공간에서 전구체를 공급하는 단계를 포함하고, 단계 (ii) 는 (iib) 기판 상에 전구체 성막물들의 반응된 성분 전에 반응 공간에서 반응을 일으키도록 플라즈마에 전구체를 노출시키는 단계를 포함한다. 위에서, PECVD 에서 산소 대신에 반응물 가스로서 CxOy 및/또는 NxOy 를 사용할 때, 놀랍게도, 기판 상의 입자들의 수는 현저히 감소될 수 있고, 따라서 PEALD 및 PECVD의 혼합된 프로세스는 입자들의 수를 증가시키지 않고서 효과적으로 그리고 연속적으로 수행될 수 있으며, PECVD 의 사용의 확대를 허용한다.
일부 실시형태들에서, 산화물 막은 아래의 표 2에 나타낸 조건들하에서 PECVD 에 의해 형성될 수 있지만, 그 조건들은 산화물 막의 타입에 따라 달라질 수도 있다.
Figure 112014087738754-pat00002
일부 실시형태들에서,PECVD 에 의한 산화물 막의 두께는, 약 5 nm 내지 약 1000 nm의 범위에 있다 (원하는 막 두께는 막의 용도 및 목적 등에 따라 적절한 것으로 여겨지는 것으로서 선택될 수 있다). 캐리어 가스로서, 시일 가스, 퍼지 가스, 또는 프로세스 조정 가스, 희가스 이를테면 Ar, He, Ne, Kr, 및 Xe 가 단독으로 또는 2 이상의 임의의 조합으로 사용될 수 있다.
일부 실시형태들에서, RF 전력을 반응 공간에 인가함으로써 반응 공간에서 플라즈마 (인시츄 플라즈마) 가 생성된다. 일부 실시형태들에서, 원격 플라즈마 유닛은 반응물 가스를 여기시키고 반응 공간에 플라즈마를 공급하는데 사용될 수 있다.
도 4는, 바람직하게는 이하의 시퀀스들을 수행하도록 프로그램된 제어들과 함께, 본 발명의 일부 실시형태들에서 사용가능한, PEALD 장치의 개략도이다. 동 도에서, 반응 챔버 (43) 의 내부 (51) 에서 서로 대향하고 평행한 한 쌍의 도전성 평판 전극들 (44, 42) 을 제공하고, HRF 전력 (13.56 MHz 또는 27 MHz) (5) (및 필요에 따라 5MHz 이하의 LRF 전력 (400 kHz~500 kHz)) (90) 을 일측에 인가하고, 타측을 전기 접지 (52) 하는 것에 의해, 플라즈마는 전극들 사이에서 여기된다. 온도 조절기가 하부 스테이지 (42) (하부 전극) 에 제공되고, 그 위에 배치된 기판 (41) 의 온도는 주어진 온도에서 일정하게 유지된다. 상부 전극 (44) 은 또한 샤워 플레이트의 역할을 하고, 반응 가스 및 희가스가 가스 흐름 제어기 (63), 펄스 흐름 제어 밸브들 (71-73) 및 샤워 플레이트를 통해 반응 챔버 (43) 내에 도입된다. 이 실시형태에서, 전구체는, 히터가 구비된 보틀 (74) 에 액체로서 저장된다. 희가스는, (a) 밸브들 (72 및 73) 이 닫혀 있을 때 밸브 (71) 를 통해 또는 (b) 밸브 (71) 가 닫혀 있을 때 밸브 (72), 보틀 (74) 및 밸브 (73) 을 통해 반응 챔버 (43) 내로 연속적으로 유입될 수 있다. (b) 에서, 희가스는 전구체를 나르고 전구체와 함께 반응 챔버 (43) 내로 유입된다. (a) 에서, 희가스는 단독으로 반응 챔버 (43) 내로 유입된다. 밸브들의 동작에 의한 위의 메카니즘에 의해, 희가스는, 전구체의 피드를 펄싱하면서 연속적으로 피드될 수 있다. 추가적으로, 반응 챔버 (43) 에서, 배기 파이프 (46) 가 제공되고, 이를 통해 반응 챔버 (43) 의 내부 (51) 에 있는 가스가 배기된다. 추가적으로, 반응 챔버에는 반응 챔버 (43) 의 내부 (51) 로 시일 가스를 도입하기 위하여 시일 가스 흐름 제어기 (64) 가 제공된다 (반응 챔버의 내부에서 반응 구역 및 이송 구역을 분리시키기 위한 분리 플레이트가 동 도로부터 생략되어 있다). PECVD 를 위해, 동일한 장치가 펄싱 메카니즘을 사용하지 않고서 사용될 수 있다.
당업자는, 그 장치가, 본원의 다른 곳에 기재된 성막 및 반응기 세정 프로세스들로 하여금 수행되게 하도록 프로그램되거나 또는 다른 방법으로 구성된 하나 이상의 제어기(들) (미도시) 를 포함한다는 것을 인식할 것이다. 제어기(들) 은 다양한 전력 소스들, 가열 시스템들, 펌프들, 로보틱스 및 가스 흐름 제어기들 또는 반응기의 밸브들과 연통되는데, 이는 당업자에 의해 인식될 것이다.
실시예
참고예 1 및 2
산소 플라즈마 (참고예 1) 및 이산화탄소 플라즈마 (참고예 2) 에 의해 야기된 산화의 정도를 평가하기 위하여, 나 (naked) Si 기판들이, 그 위에 전구체를 공급함이 없이 (산화물 막을 성막함이 없이) 산소 플라즈마 및 이산화탄소 플라즈마에 각각 노출되어, 각 플라즈마에 의한 산화의 정도는 플라즈마에 의한 나 Si 기판의 표면을 산화시키는 것에 의해 생성된 SiO의 두께에 기초하여 가속된 방식으로 평가될 수 있다. 산화물 막을 성막함에 있어서, 적어도 성막 프로세스의 시작에 있어서, 참고예 1 및 2에서 관찰되는 것들과 유사한 현상들이 일어난다고 생각된다.
나 Si 기판은 도 4에 예시된 장치에 로딩되었고 아래의 표 3에 나타낸 조건들하에서 플라즈마에 노출되었다.
Figure 112014087738754-pat00003
플라즈마에 노출된 Si 기판의 조성들은 깊이 방향으로 분석되었고, 산소가 검출된 부분의 깊이 (nm) 는 SiO 층의 두께로서 측정되었다. 그 결과들은 도 2에 나타나 있다. 도 2에 나타낸 바처럼, CO2 가 사용되었을 때, SiO 층의 두께는, O2 가 사용되었을 때의 SiO 층의 두께보다, 10 초의 노출 시간에서 약 8% ((1.41-1.3)/1.41) 만큼 그리고 100 초의 노출 시간에서 약 27% ((2.05-1.49)/2.05) 만큼 더 얇았다. CO2 를 산화 가스로서 사용함으로써, O2의 사용과 비교할 때 하지 층의 산화의 정도는 현저히 감소될 수 있다.
실시예 1 및 2 그리고 비교예 1 및 2
산화물 막들은, 도 4에 예시된 장치를 사용하여 아래의 표 4에 나타낸 조건들 하에서 PEALD 에 의해 기판들 상에 성막되었다. 비교예 1 및 2 에서, O2 가 산화 가스로서 사용된 반면에, 실시예 1 및 2 에서, CO2 가 산화 가스로서 사용되었고, 비교예 1 및 실시예 1 에서, 전구체 퍼지 시간은 0.8 초인 반면에, 비교예 2 및 실시예 2에서, 전구체 퍼지 시간은 0.1 초였다.
Figure 112014087738754-pat00004
각 기판은, 평균 막 두께, 성장 레이트, 막 두께 균일성 및 검출되는 입자들의 수에 관하여 분석되었다. 그 결과들은 표 4에 나타나 있다. 비교예 1 및 실시예 1 에서, 전구체 퍼지 시간은 0.8 초이였고, 이는 기판의 표면으로부터 비흡착된 전구체를 제거하는데 충분한 것으로 고려되는 반면에, 비교예 2 및 실시예 2 에서, 전구체 퍼지 시간은 0.1 초였고, 이는 기판의 표면으로부터 비흡착 전구체를 제거하는데 불충분한 것으로 고려된다. 비교예 2 에서, 비흡착 전구체를 완전히 제거하지 않고서 산소 분위기에서 플라즈마가 생성되었으므로, 비흡착 비제거 전구체는 가스 상태에서 산소 플라즈마와 반응함으로써, 수만개의 입자들을 성막하는 반면에, 실시예 2에서는, 이산화탄소 분위기에서 플라즈마가 생성되었을 때, 입자들의 수는 놀랍게도 10개 미만이었다. PEALD 에서 이산화탄소 플라즈마를 사용함으로써, 띠끌이 없는 성막이 수행될 수 있다는 것이 확인되었다.
실시예 3 및 비교예 3
산화물 막들은, 도 4에 예시된 장치를 사용하여 아래의 표 5에 나타낸 조건들 하에서 PECVD 에 의해 기판들 상에 성막되었다 (전구체가 플라즈마에 노출되었다). 비교예 3에서, O2 가 산화 가스로서 사용된 반면에, 실시예 3 에서는, CO2 가 산화 가스로서 사용되었다.
Figure 112014087738754-pat00005
각 기판은, 평균 막 두께, 막 두께 균일성 및 검출된 입자들의 수에 관하여 분석되었다. 그 결과들은 표 5에 나타나 있다. 비교예 3 에서, 산소 분위기에서 플라즈마가 생성되었으므로, 전구체는 가스 상태에서 산소 플라즈마와 반응함으로써, 수만개의 입자들을 성막하는 반면에, 실시예 2 에서처럼, 실시예 3 에서는, 이산화탄소 분위기에서 플라즈마가 생성되었을 때, 입자들의 수는 놀랍게도 10개 미만이었다. PECVD 에서도 이산화탄소 플라즈마를 사용함으로써, 띠끌이 없는 성막이 수행될 수 있다는 것이 확인되었다.
실시예 4
보틀이 50℃ 로 가열된 것 (그에 의해 전구체의 피드를 증가시킴) 을 제외하고는, 실시예 2와 동일한 조건들하에서 PEALD 에 의해 산화물 막이 기판 상에 성막되었다. 결과적으로, 성장 레이트는 0.132 nm/cycle 로 증가되었다. 하지만, 검출된 입자들의 수는 10개 미만이었고, 이는 피드가 증가되었을 때에도, 짧은 퍼지 시간 (0.1 초) 를 유지하면서, 검출된 입자들의 수는 극히 낮게 남을 수 있다는 것을 나타낸다.
참고예 3 및 4
산소 (참고예 3) 및 이산화탄소 (참고예 4) 를 사용하여 플라즈마 점화 범위를 평가하기 위하여, 점화 상태는, 10 W, 15 w, 20 W, 및 25 W 의 RF 전력이 각각의 매트릭스에 인가될 때 압력 [Pa] 인 수직 축 (행들은 각각 222, 250, 300, 400, 500, 600, 700, 및 800 Pa 에 대응한다) 및 전극들 사이의 갭 [mm] 인 수평 축 (열들은 9.5, 11, 12, 13, 14, 15, 및 16 mm 에 각각 대응한다) 에 의해 각각 정의되는 다수의 매트릭스들에 기초하여 도 4에 예시된 장치를 사용하여 체크되었다. 그 결과들은 도 3에 나타나 있다. 각 매트릭스에서 각 셀은, 점화 실패 없음을 나타내는 가장 밝은 것으로부터 점화 실패 50회 발생을 나타내는 가장 어두운 것까지 그레이 스케일을 사용하여 50 회 시도 당 점화 실패의 수를 나타낸다. 도 3에 나타낸 바처럼, 산소와 이산화 탄소 사이에 점화성에 있어서 현저한 차이는 없고, 이는 이산화 탄소가 산소를 사용하여 플라즈마를 점화하는 것과 유사한 방식으로 플라즈마를 점화할 수 있다는 것을 나타낸다.
당업자는, 본 발명의 사상으로부터 이탈함이 없이 수많은 그리고 다양한 변경들이 이루어질 수 있다는 것을 이해할 것이다. 그러므로, 본 발명의 형태들은 단지 예시적이고 본 발명의 범위를 제한하도록 의도된 것이 아니라는 것이 분명히 이해되야 한다.

Claims (12)

  1. 플라즈마 이용 (plasma-assisted) 프로세싱에 의해 산화물 막을 형성하는 방법으로서,
    (i) 기판이 배치된 반응 공간에 플라즈마 없이 산소, CxOy, 및 NxOy (x 및 y 는 양의 정수) 중 어느 것에 대해서도 반응성이 없는 전구체를 공급하는 단계;
    (ii) 원격 플라즈마가 없는, 상기 반응 공간에서 생성된 CxOy 의 플라즈마에 상기 전구체 및 상기 기판을 노출시키는 단계; 및
    (iii) 상기 전구체 및 상기 플라즈마를 사용하여 상기 기판에 산화물 막을 형성하는 단계로서, 상기 플라즈마 이용 프로세싱은 플라즈마 강화 원자층 증착 (PEALD) 이고, 단계 (i) 는 (ia) 상기 반응 공간에 상기 전구체를 공급하여 상기 기판 상에 상기 전구체를 흡착시킨 다음에, 퍼징하여 상기 기판으로부터 비흡착 전구체를 제거하는 단계를 포함하고, 단계 (ii) 는, (iia) 흡착된 상기 전구체를 상기 플라즈마에 노출시켜 흡착된 상기 전구체에 표면 반응을 일으킨 다음에, 퍼징하여 상기 기판으로부터 비반응 성분을 제거하는 단계를 포함하고, 단계들 (ia) 및 (iia) 이 하나의 사이클을 구성하고 반복되어 단계 (iii) 에서 상기 산화물 막을 형성하고, 단계 (ia) 에서 상기 퍼징은 상기 비흡착, 비제거 전구체가 가스 상태에서 상기 플라즈마와 반응하는 정도까지 상기 비흡착 전구체 전부를 제거하기 전에 중단되는, 상기 기판에 산화물 막을 형성하는 단계를 포함하는, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 전구체는, Si, Ti, 또는 Ge 를 포함하고 상기 산화물 막은 실질적으로 SiO, TiO, 또는 GeO 에 의해 구성되는, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 전구체는 유기아미노실란인, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 플라즈마는 상기 반응 공간에 RF 전력을 인가함으로써 상기 반응 공간에서 생성되는, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
  8. 제 1 항에 있어서,
    CxOy 및/또는 NxOy 는 단계들 (i) 내지 (iii) 전체에 걸쳐 상기 반응 공간에 연속적으로 공급되는, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
  9. 삭제
  10. 삭제
  11. 제 1 항에 있어서,
    단계 (ii) 에서, 산소 플라즈마가 또한 상기 반응 공간에 추가되는, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
  12. 제 1 항에 있어서,
    단계 (ii) 에서, 산소 플라즈마가 상기 반응 공간에서 사용되지 않는, 플라즈마 이용 프로세싱에 의해 산화물 막을 형성하는 방법.
KR1020140122903A 2013-09-19 2014-09-16 플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법 KR102324575B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/031,982 US9284642B2 (en) 2013-09-19 2013-09-19 Method for forming oxide film by plasma-assisted processing
US14/031,982 2013-09-19

Publications (2)

Publication Number Publication Date
KR20150032634A KR20150032634A (ko) 2015-03-27
KR102324575B1 true KR102324575B1 (ko) 2021-11-10

Family

ID=52668190

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140122903A KR102324575B1 (ko) 2013-09-19 2014-09-16 플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법

Country Status (4)

Country Link
US (1) US9284642B2 (ko)
JP (1) JP6367658B2 (ko)
KR (1) KR102324575B1 (ko)
TW (1) TWI661077B (ko)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6361722B2 (ja) * 2015-12-08 2018-07-25 日亜化学工業株式会社 発光装置の製造方法
US9893239B2 (en) 2015-12-08 2018-02-13 Nichia Corporation Method of manufacturing light emitting device
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10262865B2 (en) 2017-04-14 2019-04-16 Asm Ip Holding B.V. Methods for manufacturing semiconductor devices
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110183079A1 (en) * 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09148322A (ja) * 1995-11-22 1997-06-06 Sharp Corp シリコン酸化膜の成膜方法及びプラズマcvd成膜装置
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP2002164342A (ja) * 2000-07-21 2002-06-07 Canon Sales Co Inc 半導体装置及びその製造方法
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
FR2904328B1 (fr) * 2006-07-27 2008-10-24 St Microelectronics Sa Depot par adsorption sous un champ electrique
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP2011511881A (ja) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5789149B2 (ja) * 2011-07-21 2015-10-07 Jswアフティ株式会社 原子層成長方法及び原子層成長装置
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9938303B2 (en) * 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110183079A1 (en) * 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process

Also Published As

Publication number Publication date
US20150079311A1 (en) 2015-03-19
JP6367658B2 (ja) 2018-08-01
US9284642B2 (en) 2016-03-15
KR20150032634A (ko) 2015-03-27
TWI661077B (zh) 2019-06-01
JP2015061075A (ja) 2015-03-30
TW201518535A (zh) 2015-05-16

Similar Documents

Publication Publication Date Title
KR102324575B1 (ko) 플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법
US10655221B2 (en) Method for depositing oxide film by thermal ALD and PEALD
KR102603686B1 (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
US20200251328A1 (en) Method of topology-selective film formation of silicon oxide
US9607837B1 (en) Method for forming silicon oxide cap layer for solid state diffusion process
US10179947B2 (en) Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102590381B1 (ko) 탄화수소-기반 극박막 형성에 의한 층 보호 방법
US11453943B2 (en) Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6484478B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US9909214B2 (en) Method for depositing dielectric film in trenches by PEALD
US9455138B1 (en) Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478414B2 (en) Method for hydrophobization of surface of silicon-containing film by ALD
US8563443B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8784951B2 (en) Method for forming insulation film using non-halide precursor having four or more silicons
US8784950B2 (en) Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
KR20180005630A (ko) 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법
KR102021708B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US20170051405A1 (en) Method for forming sin or sicn film in trenches by peald
KR20160125914A (ko) 플라즈마-강화 원자층 퇴적에 의해 적어도 5 원소들로 구성된 다원소 박막을 형성하는 방법
JP5651451B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2017022276A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN107240563B (zh) 衬底处理装置及半导体器件的制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant