JP2002164342A - 半導体装置及びその製造方法 - Google Patents
半導体装置及びその製造方法Info
- Publication number
- JP2002164342A JP2002164342A JP2001220233A JP2001220233A JP2002164342A JP 2002164342 A JP2002164342 A JP 2002164342A JP 2001220233 A JP2001220233 A JP 2001220233A JP 2001220233 A JP2001220233 A JP 2001220233A JP 2002164342 A JP2002164342 A JP 2002164342A
- Authority
- JP
- Japan
- Prior art keywords
- film
- insulating film
- protective layer
- semiconductor device
- wiring
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Landscapes
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
(57)【要約】
【課題】 塗布絶縁膜とその上面或いは下面を被覆する
保護層とで構成される被覆絶縁膜において、又は塗布絶
縁膜とそれらの上面及び下面を被覆する保護層とで構成
される層間絶縁膜において、被覆絶縁膜又は層間絶縁膜
全体として低誘電率化を図ることができ、水分やリーク
電流等に対するバリア性がより完全で、かつ平坦性に優
れた被覆絶縁膜又は層間絶縁膜を形成することができる
半導体装置及びその製造方法を提供すること。 【解決手段】 塗布絶縁膜26が表面に形成された基板
20を準備する工程と、Si−H結合を有するアルコキ
シ化合物、又はSi−H結合を有するシロキサンの何れ
か一と、O2、N2O、NO2、CO、CO2、又はH2O
の何れか一の酸素含有ガスとからなる第1の成膜ガスを
プラズマ化し、反応させて、上記塗布絶縁膜26を被覆
する保護層27を形成する工程とを有することを特徴と
する半導体装置の製造方法による。
保護層とで構成される被覆絶縁膜において、又は塗布絶
縁膜とそれらの上面及び下面を被覆する保護層とで構成
される層間絶縁膜において、被覆絶縁膜又は層間絶縁膜
全体として低誘電率化を図ることができ、水分やリーク
電流等に対するバリア性がより完全で、かつ平坦性に優
れた被覆絶縁膜又は層間絶縁膜を形成することができる
半導体装置及びその製造方法を提供すること。 【解決手段】 塗布絶縁膜26が表面に形成された基板
20を準備する工程と、Si−H結合を有するアルコキ
シ化合物、又はSi−H結合を有するシロキサンの何れ
か一と、O2、N2O、NO2、CO、CO2、又はH2O
の何れか一の酸素含有ガスとからなる第1の成膜ガスを
プラズマ化し、反応させて、上記塗布絶縁膜26を被覆
する保護層27を形成する工程とを有することを特徴と
する半導体装置の製造方法による。
Description
【0001】
【発明の属する技術分野】本発明は、半導体装置及びそ
の製造方法に関し、より詳しくは、低誘電率を有する塗
布絶縁膜を含む層間絶縁膜を形成する半導体装置及びそ
の製造方法に関する。
の製造方法に関し、より詳しくは、低誘電率を有する塗
布絶縁膜を含む層間絶縁膜を形成する半導体装置及びそ
の製造方法に関する。
【0002】
【従来の技術】近年、半導体集積回路装置の高集積度
化、高密度化及び高速化に伴い、低誘電率を有する層間
絶縁膜を用いた多層配線構造が採用されている。このよ
うな場合、層間絶縁膜として平坦性に優れた、低い比誘
電率を有する塗布絶縁膜が多く用いられている。
化、高密度化及び高速化に伴い、低誘電率を有する層間
絶縁膜を用いた多層配線構造が採用されている。このよ
うな場合、層間絶縁膜として平坦性に優れた、低い比誘
電率を有する塗布絶縁膜が多く用いられている。
【0003】低い比誘電率を有する塗布絶縁膜はシリコ
ン含有無機化合物を含む塗布液又はシリコン含有有機化
合物を含む塗布液を回転塗布法により被成膜面に塗布
し、その後加熱により塗布液中の溶媒を除去して得られ
る。しかしながら、塗布絶縁膜は膜中の水分量が多く、
吸湿性も高い。また、塗布絶縁膜自体の強度も比較的弱
い。
ン含有無機化合物を含む塗布液又はシリコン含有有機化
合物を含む塗布液を回転塗布法により被成膜面に塗布
し、その後加熱により塗布液中の溶媒を除去して得られ
る。しかしながら、塗布絶縁膜は膜中の水分量が多く、
吸湿性も高い。また、塗布絶縁膜自体の強度も比較的弱
い。
【0004】また、塗布絶縁膜はCVD(Chemical Vap
or Deposition)絶縁膜や金属配線層との密着性が悪
く、塗布絶縁膜の剥離が生じる恐れが有る。これらの塗
布絶縁膜の弱点を補強するため、塗布絶縁膜の上下にS
i及びNを含む又はSi及びCを含むキャップ層(上部
保護層)とライナ層(下部保護層)を形成し、上下部保
護層により塗布絶縁膜を包むような構造とすることが多
い。
or Deposition)絶縁膜や金属配線層との密着性が悪
く、塗布絶縁膜の剥離が生じる恐れが有る。これらの塗
布絶縁膜の弱点を補強するため、塗布絶縁膜の上下にS
i及びNを含む又はSi及びCを含むキャップ層(上部
保護層)とライナ層(下部保護層)を形成し、上下部保
護層により塗布絶縁膜を包むような構造とすることが多
い。
【0005】即ち、多層配線を有する半導体装置では、
上部配線と下部配線の間にSi及びNを含む又はSi及
びCを含む下部保護層と塗布絶縁膜とSi及びNを含む
又はSi及びCを含む上部保護層とが順に積層されてな
る層間絶縁膜を形成している。しかしながら、Si及び
Nを含む絶縁膜は比誘電率が高いため、Si及びNを含
む絶縁膜の膜厚を薄くして上下部保護層として用いたと
しても、なお層間絶縁膜全体の誘電率が高くなってしま
う。
上部配線と下部配線の間にSi及びNを含む又はSi及
びCを含む下部保護層と塗布絶縁膜とSi及びNを含む
又はSi及びCを含む上部保護層とが順に積層されてな
る層間絶縁膜を形成している。しかしながら、Si及び
Nを含む絶縁膜は比誘電率が高いため、Si及びNを含
む絶縁膜の膜厚を薄くして上下部保護層として用いたと
しても、なお層間絶縁膜全体の誘電率が高くなってしま
う。
【0006】また、Si及びCを含む上下部保護層は、
Si及びNを含む上下部保護層と比較して比誘電率が5
程度と比較的低いが、リーク電流の増大を十分に抑制す
ることができない。さらに、塗布絶縁膜とSi及びNを
含む又はSi及びCを含む上下部保護層との密着性があ
まりよいとはいえず、水分等に対するバリア性が完全で
はない。
Si及びNを含む上下部保護層と比較して比誘電率が5
程度と比較的低いが、リーク電流の増大を十分に抑制す
ることができない。さらに、塗布絶縁膜とSi及びNを
含む又はSi及びCを含む上下部保護層との密着性があ
まりよいとはいえず、水分等に対するバリア性が完全で
はない。
【0007】一方、塗布絶縁膜の上下部にそれぞれ密着
性を強化するために、比較的低温で成膜可能なプラズマ
励起化学気相成長法(以下、PE−CVD法という。)
を用い、その成膜ガスとして、SiH4/N2O系のガス
やSiH4/O2系のガスやTEOS/O2系のガスを用
いて他の上下部保護層を形成する場合もある。しかしな
がら、他の上下部保護層においては膜自体の機械的強度
や塗布絶縁膜との密着性がまだ十分ではないこと、さら
には強い酸化反応を示すガスを用いていたため、以下の
ような欠点がある。即ち、 (i)塗布絶縁膜と上下部保護層との界面において塗布
絶縁膜の剥離が生じる。
性を強化するために、比較的低温で成膜可能なプラズマ
励起化学気相成長法(以下、PE−CVD法という。)
を用い、その成膜ガスとして、SiH4/N2O系のガス
やSiH4/O2系のガスやTEOS/O2系のガスを用
いて他の上下部保護層を形成する場合もある。しかしな
がら、他の上下部保護層においては膜自体の機械的強度
や塗布絶縁膜との密着性がまだ十分ではないこと、さら
には強い酸化反応を示すガスを用いていたため、以下の
ような欠点がある。即ち、 (i)塗布絶縁膜と上下部保護層との界面において塗布
絶縁膜の剥離が生じる。
【0008】(ii)加工中、特にCMP(Chemical Mec
hanical Polishing)中に(補強用の)骨格の役割を果た
すストッパとしての下部保護層が破壊されて半導体装置
の積層構造の破壊が生じる。 (iii)上部保護層を形成する際に、成膜ガス中に強い
酸化反応を示すガスを用いているため、塗布絶縁膜の酸
化による誘電率の上昇が生じたりする。
hanical Polishing)中に(補強用の)骨格の役割を果た
すストッパとしての下部保護層が破壊されて半導体装置
の積層構造の破壊が生じる。 (iii)上部保護層を形成する際に、成膜ガス中に強い
酸化反応を示すガスを用いているため、塗布絶縁膜の酸
化による誘電率の上昇が生じたりする。
【0009】
【発明が解決しようとする課題】本発明は、係る従来例
の問題点に鑑みて創作されたものであり、塗布絶縁膜と
その上面或いは下面を被覆する保護層とで構成される被
覆絶縁膜において、又は塗布絶縁膜とそれらの上面及び
下面を被覆する保護層とで構成される層間絶縁膜におい
て、被覆絶縁膜又は層間絶縁膜全体として低誘電率化を
図ることができ、水分やリーク電流等に対するバリア性
がより完全で、かつ平坦性に優れた被覆絶縁膜又は層間
絶縁膜を形成することができる半導体装置及びその製造
方法を提供することを目的とする。
の問題点に鑑みて創作されたものであり、塗布絶縁膜と
その上面或いは下面を被覆する保護層とで構成される被
覆絶縁膜において、又は塗布絶縁膜とそれらの上面及び
下面を被覆する保護層とで構成される層間絶縁膜におい
て、被覆絶縁膜又は層間絶縁膜全体として低誘電率化を
図ることができ、水分やリーク電流等に対するバリア性
がより完全で、かつ平坦性に優れた被覆絶縁膜又は層間
絶縁膜を形成することができる半導体装置及びその製造
方法を提供することを目的とする。
【0010】また、本発明の他の目的は、保護層と塗布
絶縁膜との密着性や、保護層自体の機械的強度を向上さ
せることができる半導体装置及びその製造方法を提供す
ることである。
絶縁膜との密着性や、保護層自体の機械的強度を向上さ
せることができる半導体装置及びその製造方法を提供す
ることである。
【0011】
【課題を解決するための手段】上記した課題は、第1の
発明である、シリコン含有無機化合物又はシリコン含有
有機化合物の何れか一を含む塗布液を塗布して塗布絶縁
膜が表面に形成された基板を準備する工程と、Si−H
結合を有するアルコキシ化合物、又はSi−H結合を有
するシロキサンの何れか一と、O2、N2O、NO2、C
O、CO2、又はH2Oの何れか一の酸素含有ガスとから
なる第1の成膜ガスをプラズマ化し、反応させて、前記
塗布絶縁膜を被覆する保護層を形成する工程とを有する
ことを特徴とする半導体装置の製造方法によって解決す
る。
発明である、シリコン含有無機化合物又はシリコン含有
有機化合物の何れか一を含む塗布液を塗布して塗布絶縁
膜が表面に形成された基板を準備する工程と、Si−H
結合を有するアルコキシ化合物、又はSi−H結合を有
するシロキサンの何れか一と、O2、N2O、NO2、C
O、CO2、又はH2Oの何れか一の酸素含有ガスとから
なる第1の成膜ガスをプラズマ化し、反応させて、前記
塗布絶縁膜を被覆する保護層を形成する工程とを有する
ことを特徴とする半導体装置の製造方法によって解決す
る。
【0012】又は、第2の発明である、前記第1の成膜
ガスにN2又はH2の何れか一を添加することを特徴とす
る第1の発明に記載の半導体装置の製造方法によって解
決する。又は、第3の発明である、前記第1の成膜ガス
を構成するSi−H結合を有するアルコキシ化合物は、
トリメトキシシラン(TMS:SiH(OCH3)3)であること
を特徴とする第1の発明又は第2の発明に記載の半導体
装置の製造方法によって解決する。
ガスにN2又はH2の何れか一を添加することを特徴とす
る第1の発明に記載の半導体装置の製造方法によって解
決する。又は、第3の発明である、前記第1の成膜ガス
を構成するSi−H結合を有するアルコキシ化合物は、
トリメトキシシラン(TMS:SiH(OCH3)3)であること
を特徴とする第1の発明又は第2の発明に記載の半導体
装置の製造方法によって解決する。
【0013】又は、第4の発明である、前記第1の成膜
ガスを構成するSi−H結合を有するシロキサンは、テ
トラメチルジシロキサン(TMDSO:(CH3)2HSi-O-Si
H(CH 3)2)であることを特徴とする第1の発明又は第2
の発明に記載の半導体装置の製造方法によって解決す
る。又は、第5の発明である、プラズマ生成手段として
平行平板型の第1の電極及び第2の電極を用い、かつ前
記プラズマ化の際に、前記第1の電極に周波数1MHz
以上の高周波電力を印加し、かつ前記基板を保持する前
記第2の電極に周波数100kHz乃至1MHzの低周
波電力を印加することを特徴とする第1の発明乃至第4
の発明のいずれか一に記載の半導体装置の製造方法によ
って解決する。
ガスを構成するSi−H結合を有するシロキサンは、テ
トラメチルジシロキサン(TMDSO:(CH3)2HSi-O-Si
H(CH 3)2)であることを特徴とする第1の発明又は第2
の発明に記載の半導体装置の製造方法によって解決す
る。又は、第5の発明である、プラズマ生成手段として
平行平板型の第1の電極及び第2の電極を用い、かつ前
記プラズマ化の際に、前記第1の電極に周波数1MHz
以上の高周波電力を印加し、かつ前記基板を保持する前
記第2の電極に周波数100kHz乃至1MHzの低周
波電力を印加することを特徴とする第1の発明乃至第4
の発明のいずれか一に記載の半導体装置の製造方法によ
って解決する。
【0014】又は、第6の発明である、前記塗布絶縁膜
を形成する工程の前に、前記基板は、第1の配線と、前
記第1の配線を被覆する保護層とを有してなり、前記第
1の配線を被覆する保護層は、Si−H結合を有するア
ルコキシ化合物、又はSi−H結合を有するシロキサン
の何れか一と、O2、N2O、NO2、CO、CO2、又は
H2Oの何れか一の酸素含有ガスとからなる第2の成膜
ガスをプラズマ化し、反応させて形成されたシリコン含
有絶縁膜であることを特徴とする第1の発明乃至第5の
発明のいずれか一に記載の半導体装置の製造方法によっ
て解決する。
を形成する工程の前に、前記基板は、第1の配線と、前
記第1の配線を被覆する保護層とを有してなり、前記第
1の配線を被覆する保護層は、Si−H結合を有するア
ルコキシ化合物、又はSi−H結合を有するシロキサン
の何れか一と、O2、N2O、NO2、CO、CO2、又は
H2Oの何れか一の酸素含有ガスとからなる第2の成膜
ガスをプラズマ化し、反応させて形成されたシリコン含
有絶縁膜であることを特徴とする第1の発明乃至第5の
発明のいずれか一に記載の半導体装置の製造方法によっ
て解決する。
【0015】又は、第7の発明である、前記第2の成膜
ガスにN2又はH2の何れか一を添加することを特徴とす
る第6の発明に記載の半導体装置の製造方法によって解
決する。又は、第8の発明である、前記第2の成膜ガス
を構成するSi−H結合を有するアルコキシ化合物は、
トリメトキシシラン(TMS:SiH(OCH3)3)であること
を特徴とする第6の発明又は第7の発明に記載の半導体
装置の製造方法によって解決する。
ガスにN2又はH2の何れか一を添加することを特徴とす
る第6の発明に記載の半導体装置の製造方法によって解
決する。又は、第8の発明である、前記第2の成膜ガス
を構成するSi−H結合を有するアルコキシ化合物は、
トリメトキシシラン(TMS:SiH(OCH3)3)であること
を特徴とする第6の発明又は第7の発明に記載の半導体
装置の製造方法によって解決する。
【0016】又は、第9の発明である、前記第2の成膜
ガスを構成するSi−H結合を有するシロキサンは、テ
トラメチルジシロキサン(TMDSO:(CH3)2HSi-O-Si
H(CH 3)2)であることを特徴とする第6の発明又は第7
の発明に記載の半導体装置の製造方法によって解決す
る。又は、第10の発明である、プラズマ生成手段とし
て平行平板型の第1の電極及び第2の電極を用い、かつ
前記プラズマ化の際に、前記第1の電極に周波数1MH
z以上の高周波電力を印加し、かつ前記基板を保持する
前記第2の電極に周波数100kHz乃至1MHzの低
周波電力を印加することを特徴とする第6の発明乃至第
9の発明のいずれか一に記載の半導体装置の製造方法に
よって解決する。
ガスを構成するSi−H結合を有するシロキサンは、テ
トラメチルジシロキサン(TMDSO:(CH3)2HSi-O-Si
H(CH 3)2)であることを特徴とする第6の発明又は第7
の発明に記載の半導体装置の製造方法によって解決す
る。又は、第10の発明である、プラズマ生成手段とし
て平行平板型の第1の電極及び第2の電極を用い、かつ
前記プラズマ化の際に、前記第1の電極に周波数1MH
z以上の高周波電力を印加し、かつ前記基板を保持する
前記第2の電極に周波数100kHz乃至1MHzの低
周波電力を印加することを特徴とする第6の発明乃至第
9の発明のいずれか一に記載の半導体装置の製造方法に
よって解決する。
【0017】又は、第11の発明である、前記塗布絶縁
膜を被覆する保護層を形成する工程の後に、前記塗布絶
縁膜を被覆する保護層と、前記塗布絶縁膜と、前記第1
の配線を被覆する保護層とに開口部を形成する工程と、
前記開口部を通して前記第1の配線と接続する第2の配
線を形成する工程とを有することを特徴とする第6の発
明乃至第10の発明のいずれか一に記載の半導体装置の
製造方法によって解決する。
膜を被覆する保護層を形成する工程の後に、前記塗布絶
縁膜を被覆する保護層と、前記塗布絶縁膜と、前記第1
の配線を被覆する保護層とに開口部を形成する工程と、
前記開口部を通して前記第1の配線と接続する第2の配
線を形成する工程とを有することを特徴とする第6の発
明乃至第10の発明のいずれか一に記載の半導体装置の
製造方法によって解決する。
【0018】又は、第12の発明である、前記第2の配
線を形成する工程の後に、Si−H結合を有するアルコ
キシ化合物、又はSi−H結合を有するシロキサンの何
れか一と、O2、N2O、NO2、CO、CO2、又はH2
Oの何れか一の酸素含有ガスとからなる第3の成膜ガス
をプラズマ化し、反応させて、前記第2の配線を被覆す
る保護層を形成する工程を有することを特徴とする第1
1の発明に記載の半導体装置の製造方法によって解決す
る。
線を形成する工程の後に、Si−H結合を有するアルコ
キシ化合物、又はSi−H結合を有するシロキサンの何
れか一と、O2、N2O、NO2、CO、CO2、又はH2
Oの何れか一の酸素含有ガスとからなる第3の成膜ガス
をプラズマ化し、反応させて、前記第2の配線を被覆す
る保護層を形成する工程を有することを特徴とする第1
1の発明に記載の半導体装置の製造方法によって解決す
る。
【0019】又は、第13の発明である、前記第3の成
膜ガスにN2又はH2の何れか一を添加することを特徴と
する第12の発明に記載の半導体装置の製造方法によっ
て解決する。又は、第14の発明である、前記第3の成
膜ガスを構成するSi−H結合を有するアルコキシ化合
物は、トリメトキシシラン(TMS:SiH(OCH3)3)であ
ることを特徴とする第12の発明又は第13の発明に記
載の半導体装置の製造方法によって解決する。
膜ガスにN2又はH2の何れか一を添加することを特徴と
する第12の発明に記載の半導体装置の製造方法によっ
て解決する。又は、第14の発明である、前記第3の成
膜ガスを構成するSi−H結合を有するアルコキシ化合
物は、トリメトキシシラン(TMS:SiH(OCH3)3)であ
ることを特徴とする第12の発明又は第13の発明に記
載の半導体装置の製造方法によって解決する。
【0020】又は、第15の発明である、前記第3の成
膜ガスを構成するSi−H結合を有するシロキサンは、
テトラメチルジシロキサン(TMDSO:(CH3)2HSi-O-
SiH(CH3)2)であることを特徴とする第12の発明又は
第13の発明に記載の半導体装置の製造方法によって解
決する。又は、第16の発明である、プラズマ生成手段
として平行平板型の第1の電極及び第2の電極を用い、
かつ前記プラズマ化の際に、前記第1の電極に周波数1
MHz以上の高周波電力を印加を印加し、かつ前記基板
を保持する前記第2の電極に周波数100kHz乃至1
MHzの低周波電力を印加することを特徴とする第12
の発明乃至第15の発明のいずれか一に記載の半導体装
置の製造方法によって解決する。
膜ガスを構成するSi−H結合を有するシロキサンは、
テトラメチルジシロキサン(TMDSO:(CH3)2HSi-O-
SiH(CH3)2)であることを特徴とする第12の発明又は
第13の発明に記載の半導体装置の製造方法によって解
決する。又は、第16の発明である、プラズマ生成手段
として平行平板型の第1の電極及び第2の電極を用い、
かつ前記プラズマ化の際に、前記第1の電極に周波数1
MHz以上の高周波電力を印加を印加し、かつ前記基板
を保持する前記第2の電極に周波数100kHz乃至1
MHzの低周波電力を印加することを特徴とする第12
の発明乃至第15の発明のいずれか一に記載の半導体装
置の製造方法によって解決する。
【0021】又は、第17の発明である、基板上に積層
されたシリコン含有有機物又はシリコン含有無機物のう
ち少なくとも何れか一を含む塗布絶縁膜と、前記塗布絶
縁膜上に積層された保護層とを有してなり、前記保護層
は、赤外線の吸収強度のピークが波数2270乃至23
50cm-1の範囲にあり、膜密度が2.25乃至2.4
0g/cm3の範囲に有り、かつ比誘電率が3.3乃至
4.3の範囲にあるシリコン含有絶縁膜からなることを
特徴とする半導体装置によって解決する。
されたシリコン含有有機物又はシリコン含有無機物のう
ち少なくとも何れか一を含む塗布絶縁膜と、前記塗布絶
縁膜上に積層された保護層とを有してなり、前記保護層
は、赤外線の吸収強度のピークが波数2270乃至23
50cm-1の範囲にあり、膜密度が2.25乃至2.4
0g/cm3の範囲に有り、かつ比誘電率が3.3乃至
4.3の範囲にあるシリコン含有絶縁膜からなることを
特徴とする半導体装置によって解決する。
【0022】又は、第18の発明である、前記基板は、
表面に第1の配線と、該第1の配線と接するように該第
1の配線を被覆する保護層とを有し、前記第1の配線を
被覆する保護層は、赤外線の吸収強度のピークが波数2
270乃至2350cm-1の範囲にあり、膜密度が2.
25乃至2.40g/cm3の範囲に有り、かつ比誘電
率が3.3乃至4.3の範囲にあるシリコン含有絶縁膜
からなることを特徴とする第17の発明に記載の半導体
装置によって解決する。
表面に第1の配線と、該第1の配線と接するように該第
1の配線を被覆する保護層とを有し、前記第1の配線を
被覆する保護層は、赤外線の吸収強度のピークが波数2
270乃至2350cm-1の範囲にあり、膜密度が2.
25乃至2.40g/cm3の範囲に有り、かつ比誘電
率が3.3乃至4.3の範囲にあるシリコン含有絶縁膜
からなることを特徴とする第17の発明に記載の半導体
装置によって解決する。
【0023】又は、第19の発明である、前記第1の配
線を被覆する保護層と前記塗布絶縁膜と前記塗布絶縁膜
上に積層された保護層とは層間絶縁膜を構成し、該層間
絶縁膜上に第2の配線が形成されていることを特徴とす
る第18の発明に記載の半導体装置によって解決する。
又は、第20の発明である、前記層間絶縁膜下の第1の
配線と前記層間絶縁膜上の第2の配線は前記層間絶縁膜
の開口部を介して接続され、前記開口部の側壁に側壁保
護層を有し、前記側壁保護層は、赤外線の吸収強度のピ
ークが波数2270乃至2350cm-1の範囲にあり、
膜密度が2.25乃至2.40g/cm 3の範囲に有
り、かつ比誘電率が3.3乃至4.3の範囲にあるシリ
コン含有絶縁膜からなることを特徴とする第19の発明
に記載の半導体装置によって解決する。
線を被覆する保護層と前記塗布絶縁膜と前記塗布絶縁膜
上に積層された保護層とは層間絶縁膜を構成し、該層間
絶縁膜上に第2の配線が形成されていることを特徴とす
る第18の発明に記載の半導体装置によって解決する。
又は、第20の発明である、前記層間絶縁膜下の第1の
配線と前記層間絶縁膜上の第2の配線は前記層間絶縁膜
の開口部を介して接続され、前記開口部の側壁に側壁保
護層を有し、前記側壁保護層は、赤外線の吸収強度のピ
ークが波数2270乃至2350cm-1の範囲にあり、
膜密度が2.25乃至2.40g/cm 3の範囲に有
り、かつ比誘電率が3.3乃至4.3の範囲にあるシリ
コン含有絶縁膜からなることを特徴とする第19の発明
に記載の半導体装置によって解決する。
【0024】以下に、本発明の構成により奏される作用
を説明する。この発明においては、基板上に塗布絶縁膜
を形成し、その塗布絶縁膜を被覆するように、Si−H
結合を有するアルコキシ化合物、又はSi−H結合を有
するシロキサンの何れか一と、O2、N2O、NO2、C
O、CO2、又はH2Oの何れか一の酸素含有ガスとから
なる第1の成膜ガスをプラズマ化し、反応させて保護層
を形成している。
を説明する。この発明においては、基板上に塗布絶縁膜
を形成し、その塗布絶縁膜を被覆するように、Si−H
結合を有するアルコキシ化合物、又はSi−H結合を有
するシロキサンの何れか一と、O2、N2O、NO2、C
O、CO2、又はH2Oの何れか一の酸素含有ガスとから
なる第1の成膜ガスをプラズマ化し、反応させて保護層
を形成している。
【0025】本願発明者の実験によれば、上記第1の成
膜ガスをプラズマ化し、反応させて形成したシリコン含
有絶縁膜は、塗布絶縁膜との密着性が良く、シリコン窒
化膜と同じくらい緻密で、耐水性に優れ、かつシリコン
窒化膜に比べて比誘電率が小さく、さらに膜中の水分含
有量が少ないことが分かった。このように、プラズマC
VD法により形成したこの発明に係るシリコン含有絶縁
膜は塗布絶縁膜との密着性が良く、シリコン窒化膜と同
等な緻密性を有しているため、この発明の構成のよう
に、塗布絶縁膜を被覆するように塗布絶縁膜と接触させ
てこの発明に係るシリコン含有絶縁膜を形成することに
より、外から塗布絶縁膜への水分の侵入及び塗布絶縁膜
から周辺部への水分の流出に対するバリア性がより完全
で、かつ平坦性に優れた被覆絶縁膜を得ることができ
る。
膜ガスをプラズマ化し、反応させて形成したシリコン含
有絶縁膜は、塗布絶縁膜との密着性が良く、シリコン窒
化膜と同じくらい緻密で、耐水性に優れ、かつシリコン
窒化膜に比べて比誘電率が小さく、さらに膜中の水分含
有量が少ないことが分かった。このように、プラズマC
VD法により形成したこの発明に係るシリコン含有絶縁
膜は塗布絶縁膜との密着性が良く、シリコン窒化膜と同
等な緻密性を有しているため、この発明の構成のよう
に、塗布絶縁膜を被覆するように塗布絶縁膜と接触させ
てこの発明に係るシリコン含有絶縁膜を形成することに
より、外から塗布絶縁膜への水分の侵入及び塗布絶縁膜
から周辺部への水分の流出に対するバリア性がより完全
で、かつ平坦性に優れた被覆絶縁膜を得ることができ
る。
【0026】また、この発明に係るプラズマCVD絶縁
膜は上記特性を有するほかにシリコン窒化膜に比べて比
誘電率が小さいため、主たる被覆絶縁膜又は層間絶縁膜
としての低比誘電率を有する塗布絶縁膜の上面或いは下
面に、又はその上下両面に、この発明に係るプラズマC
VD絶縁膜からなる保護層を形成することにより、塗布
絶縁膜への水分の侵入及び流出に対するバリア性、及び
リーク電流等に対するバリア性がより完全で、しかも全
体として誘電率が低い被覆絶縁膜又は層間絶縁膜を得る
ことができる。
膜は上記特性を有するほかにシリコン窒化膜に比べて比
誘電率が小さいため、主たる被覆絶縁膜又は層間絶縁膜
としての低比誘電率を有する塗布絶縁膜の上面或いは下
面に、又はその上下両面に、この発明に係るプラズマC
VD絶縁膜からなる保護層を形成することにより、塗布
絶縁膜への水分の侵入及び流出に対するバリア性、及び
リーク電流等に対するバリア性がより完全で、しかも全
体として誘電率が低い被覆絶縁膜又は層間絶縁膜を得る
ことができる。
【0027】このように、本発明によれば、被覆絶縁膜
又は層間絶縁膜全体として低誘電率化を図ることがで
き、塗布絶縁膜への水分の侵入及び流出に対するバリア
性やリーク電流等に対するバリア性がより完全で、かつ
平坦性に優れた被覆絶縁膜又は層間絶縁膜を得ることが
できる。また、この発明に係るシリコン含有絶縁膜は、
赤外線の吸収強度のピークが波数2270乃至2350
cm-1の範囲にあり、膜密度が2.25乃至2.40g
/cm3の範囲に有り、かつ比誘電率が3.3乃至4.
3の範囲にある。
又は層間絶縁膜全体として低誘電率化を図ることがで
き、塗布絶縁膜への水分の侵入及び流出に対するバリア
性やリーク電流等に対するバリア性がより完全で、かつ
平坦性に優れた被覆絶縁膜又は層間絶縁膜を得ることが
できる。また、この発明に係るシリコン含有絶縁膜は、
赤外線の吸収強度のピークが波数2270乃至2350
cm-1の範囲にあり、膜密度が2.25乃至2.40g
/cm3の範囲に有り、かつ比誘電率が3.3乃至4.
3の範囲にある。
【0028】本願発明者の実験によれば、上記特性を有
するシリコン含有絶縁膜は、シリコン窒化膜と同じよう
に、機械的強度が高く、緻密で、耐水性に優れ、膜中の
水分含有量が少なく、かつシリコン窒化膜と比べて比誘
電率が小さいことが分かった。また、そのシリコン含有
絶縁膜は塗布絶縁膜との密着性がよいことが分かった。
するシリコン含有絶縁膜は、シリコン窒化膜と同じよう
に、機械的強度が高く、緻密で、耐水性に優れ、膜中の
水分含有量が少なく、かつシリコン窒化膜と比べて比誘
電率が小さいことが分かった。また、そのシリコン含有
絶縁膜は塗布絶縁膜との密着性がよいことが分かった。
【0029】この発明の上記特性を有するシリコン含有
絶縁膜を、配線等を被覆する保護層として用いることに
より、配線間の寄生容量を低減しつつ、外来の水分の浸
入を防いで配線の腐食を防止することができる。また、
この発明は、基板上に上下部配線と、上下部配線の間に
介在する層間絶縁膜とを有し、層間絶縁膜は、下層から
順に、この発明の上記特性を有するシリコン含有絶縁膜
からなる下部保護層と、シリコン含有有機物又はシリコ
ン含有無機物のうち少なくとも何れか一を含む塗布絶縁
膜からなる主たる絶縁膜と、この発明の上記特性を有す
るシリコン含有絶縁膜からなる上部保護層とから構成さ
れている。
絶縁膜を、配線等を被覆する保護層として用いることに
より、配線間の寄生容量を低減しつつ、外来の水分の浸
入を防いで配線の腐食を防止することができる。また、
この発明は、基板上に上下部配線と、上下部配線の間に
介在する層間絶縁膜とを有し、層間絶縁膜は、下層から
順に、この発明の上記特性を有するシリコン含有絶縁膜
からなる下部保護層と、シリコン含有有機物又はシリコ
ン含有無機物のうち少なくとも何れか一を含む塗布絶縁
膜からなる主たる絶縁膜と、この発明の上記特性を有す
るシリコン含有絶縁膜からなる上部保護層とから構成さ
れている。
【0030】この発明の上記特性を有するシリコン含有
絶縁膜は塗布絶縁膜との密着性がよく、機械的強度が高
いため、外部からの機械的な衝撃に対しても膜剥がれ等
積層構造の破壊を防止することができる。また、この発
明の上記特性を有するシリコン含有絶縁膜は緻密である
ため、塗布絶縁膜中に含まれる水分がシリコン含有絶縁
膜の外側周辺部へ放出されるのを防止することができ
る。
絶縁膜は塗布絶縁膜との密着性がよく、機械的強度が高
いため、外部からの機械的な衝撃に対しても膜剥がれ等
積層構造の破壊を防止することができる。また、この発
明の上記特性を有するシリコン含有絶縁膜は緻密である
ため、塗布絶縁膜中に含まれる水分がシリコン含有絶縁
膜の外側周辺部へ放出されるのを防止することができ
る。
【0031】
【発明の実施の形態】以下に、本発明の実施の形態につ
いて図面を参照しながら説明する。 (第1の実施の形態)図1は、本発明の第1の実施の形
態に係る半導体装置の製造方法に用いられる平行平板型
のプラズマ成膜装置101の構成を示す側面図である。
いて図面を参照しながら説明する。 (第1の実施の形態)図1は、本発明の第1の実施の形
態に係る半導体装置の製造方法に用いられる平行平板型
のプラズマ成膜装置101の構成を示す側面図である。
【0032】このプラズマ成膜装置101は、プラズマ
ガスにより被成膜基板20上にバリア絶縁膜を形成する
場所である成膜部101Aと、成膜ガスを構成する複数
のガスの供給源を有する成膜ガス供給部101Bとから
構成されている。成膜部101Aは、図1に示すよう
に、減圧可能なチャンバ1を備え、チャンバ1は排気配
管4を通して排気装置6と接続されている。排気配管4
の途中にはチャンバ1と排気装置6の間の導通/非導通
を制御する開閉バルブ5が設けられている。チャンバ1
にはチャンバ1内の圧力を監視する不図示の真空計など
の圧力計測手段が設けられている。
ガスにより被成膜基板20上にバリア絶縁膜を形成する
場所である成膜部101Aと、成膜ガスを構成する複数
のガスの供給源を有する成膜ガス供給部101Bとから
構成されている。成膜部101Aは、図1に示すよう
に、減圧可能なチャンバ1を備え、チャンバ1は排気配
管4を通して排気装置6と接続されている。排気配管4
の途中にはチャンバ1と排気装置6の間の導通/非導通
を制御する開閉バルブ5が設けられている。チャンバ1
にはチャンバ1内の圧力を監視する不図示の真空計など
の圧力計測手段が設けられている。
【0033】チャンバ1内には対向する一対の上部電極
(第1の電極)2と下部電極(第2の電極)3とが備え
られ、上部電極2に周波数13.56MHzの高周波電
力を供給する高周波電力供給電源(RF電源)7が接続
され、下部電極3に周波数380kHzの低周波電力を
供給する低周波電力供給電源8が接続されている。これ
らの電源7、8から上部電極2及び下部電極3に電力を
供給して、成膜ガスをプラズマ化する。上部電極2、下
部電極3及び電源7、8が成膜ガスをプラズマ化するプ
ラズマ生成手段を構成する。図中、13は、不図示の抵
抗素子や容量素子を備えた第1のマッチングボックス
で、高周波電力のインピーダンス整合を図るように機能
する。同様に、14は、第2のマッチングボックスであ
り、不図示の抵抗素子や容量素子を備えて、低周波電力
のインピーダンス整合を図るように機能する。
(第1の電極)2と下部電極(第2の電極)3とが備え
られ、上部電極2に周波数13.56MHzの高周波電
力を供給する高周波電力供給電源(RF電源)7が接続
され、下部電極3に周波数380kHzの低周波電力を
供給する低周波電力供給電源8が接続されている。これ
らの電源7、8から上部電極2及び下部電極3に電力を
供給して、成膜ガスをプラズマ化する。上部電極2、下
部電極3及び電源7、8が成膜ガスをプラズマ化するプ
ラズマ生成手段を構成する。図中、13は、不図示の抵
抗素子や容量素子を備えた第1のマッチングボックス
で、高周波電力のインピーダンス整合を図るように機能
する。同様に、14は、第2のマッチングボックスであ
り、不図示の抵抗素子や容量素子を備えて、低周波電力
のインピーダンス整合を図るように機能する。
【0034】なお、プラズマ生成手段として、例えば平
行平板型の上部電極2と、下部電極3とによりプラズマ
を生成する手段、ECR(Electron Cyclotron Resonan
ce)法によりプラズマを生成する手段、アンテナからの
高周波電力の放射によりヘリコンプラズマを生成する手
段等がある。上部電極2は成膜ガスの分散具を兼ねてい
る。上部電極2には複数の貫通孔が形成され、下部電極
3との対向面における貫通孔の開口部が成膜ガスの放出
口(導入口)となる。この成膜ガス等の放出口は成膜ガ
ス供給部101Bと配管9aで接続されている。また、
場合により、上部電極2には図示しないヒータが備えら
れることもある。成膜中に上部電極2を温度凡そ100
℃程度に加熱しておくことにより、成膜ガス等の反応生
成物からなるパーティクルが上部電極2に付着するのを
防止するためである。
行平板型の上部電極2と、下部電極3とによりプラズマ
を生成する手段、ECR(Electron Cyclotron Resonan
ce)法によりプラズマを生成する手段、アンテナからの
高周波電力の放射によりヘリコンプラズマを生成する手
段等がある。上部電極2は成膜ガスの分散具を兼ねてい
る。上部電極2には複数の貫通孔が形成され、下部電極
3との対向面における貫通孔の開口部が成膜ガスの放出
口(導入口)となる。この成膜ガス等の放出口は成膜ガ
ス供給部101Bと配管9aで接続されている。また、
場合により、上部電極2には図示しないヒータが備えら
れることもある。成膜中に上部電極2を温度凡そ100
℃程度に加熱しておくことにより、成膜ガス等の反応生
成物からなるパーティクルが上部電極2に付着するのを
防止するためである。
【0035】下部電極3は被成膜基板20の保持台を兼
ね、また、保持台上の被成膜基板20を加熱するヒータ
12を備えている。成膜ガス供給部101Bには、Si
−H結合を有するアルコキシ化合物の供給源、Si−H
結合を有するシロキサンの供給源、酸素(O2)、一酸
化窒素(N2O)、二酸化窒素(NO2)、一酸化炭素
(CO)、二酸化炭素(CO2)、又は水(H2O)の何
れか一の酸素含有ガスの供給源、水素(H2)の供給
源、及び窒素(N2)の供給源が設けられている。
ね、また、保持台上の被成膜基板20を加熱するヒータ
12を備えている。成膜ガス供給部101Bには、Si
−H結合を有するアルコキシ化合物の供給源、Si−H
結合を有するシロキサンの供給源、酸素(O2)、一酸
化窒素(N2O)、二酸化窒素(NO2)、一酸化炭素
(CO)、二酸化炭素(CO2)、又は水(H2O)の何
れか一の酸素含有ガスの供給源、水素(H2)の供給
源、及び窒素(N2)の供給源が設けられている。
【0036】本発明が適用される成膜ガスであるSi−
H結合を有するアルコキシ化合物、又はSi−H結合を
有するシロキサンについては、代表例として以下に示す
ものを用いることができる。 (i)Si−H結合を有するアルコキシ化合物 トリメトキシシラン(TMS:SiH(OCH3)3) (ii)Si−H結合を有するシロキサン テトラメチルジシロキサン(TMDSO:(CH3)2HSi-O-
SiH(CH3)2) これらのガスは適宜分岐配管9b乃至9f及びこれらす
べての分岐配管9b乃至9fが接続された配管9aを通
して成膜部101Aのチャンバ1内に供給される。分岐
配管9b乃至9fの途中に流量調整手段11a乃至11
eや、分岐配管9b乃至9fの導通又は非導通を制御す
る開閉手段10b乃至10kが設置され、配管9aの途
中に配管9aの導通又は非導通を行う開閉手段10aが
設置されている。また、N2ガスを流通させて分岐配管
9b乃至9e内の残留ガスをパージするため、N2ガス
の供給源と接続された分岐配管9fとその他の分岐配管
9b乃至9eの間の導通又は非導通を制御する開閉手段
10l乃至10n,10pが設置されている。なお、N
2ガスは分岐配管9b乃至9e内のほかに、配管9a内
及びチャンバ1内の残留ガスをパージする。
H結合を有するアルコキシ化合物、又はSi−H結合を
有するシロキサンについては、代表例として以下に示す
ものを用いることができる。 (i)Si−H結合を有するアルコキシ化合物 トリメトキシシラン(TMS:SiH(OCH3)3) (ii)Si−H結合を有するシロキサン テトラメチルジシロキサン(TMDSO:(CH3)2HSi-O-
SiH(CH3)2) これらのガスは適宜分岐配管9b乃至9f及びこれらす
べての分岐配管9b乃至9fが接続された配管9aを通
して成膜部101Aのチャンバ1内に供給される。分岐
配管9b乃至9fの途中に流量調整手段11a乃至11
eや、分岐配管9b乃至9fの導通又は非導通を制御す
る開閉手段10b乃至10kが設置され、配管9aの途
中に配管9aの導通又は非導通を行う開閉手段10aが
設置されている。また、N2ガスを流通させて分岐配管
9b乃至9e内の残留ガスをパージするため、N2ガス
の供給源と接続された分岐配管9fとその他の分岐配管
9b乃至9eの間の導通又は非導通を制御する開閉手段
10l乃至10n,10pが設置されている。なお、N
2ガスは分岐配管9b乃至9e内のほかに、配管9a内
及びチャンバ1内の残留ガスをパージする。
【0037】以上のような成膜装置101によれば、S
i−H結合を有するアルコキシ化合物、又はSi−H結
合を有するシロキサンの何れか一の供給源と、酸素含有
ガスの供給源とを備え、さらに成膜ガスをプラズマ化す
るプラズマ生成手段2、3、7、8を備えている。上記
プラズマCVD装置を用いて、プラズマCVD法により
Si,O,C,Hを含むシリコン含有絶縁膜を形成する
ことができる。このため、下記の第2の実施の形態に示
すように、低い誘電率を有し、かつ水分含有量が少な
く、緻密で、耐水性に優れたシリコン含有絶縁膜を形成
することができる。また、このシリコン含有絶縁膜は、
有機塗布絶縁膜或いは無機塗布絶縁膜との密着性が良
く、銅(Cu)の拡散を阻止する能力も高い。
i−H結合を有するアルコキシ化合物、又はSi−H結
合を有するシロキサンの何れか一の供給源と、酸素含有
ガスの供給源とを備え、さらに成膜ガスをプラズマ化す
るプラズマ生成手段2、3、7、8を備えている。上記
プラズマCVD装置を用いて、プラズマCVD法により
Si,O,C,Hを含むシリコン含有絶縁膜を形成する
ことができる。このため、下記の第2の実施の形態に示
すように、低い誘電率を有し、かつ水分含有量が少な
く、緻密で、耐水性に優れたシリコン含有絶縁膜を形成
することができる。また、このシリコン含有絶縁膜は、
有機塗布絶縁膜或いは無機塗布絶縁膜との密着性が良
く、銅(Cu)の拡散を阻止する能力も高い。
【0038】特に、平行平板型の第1及び第2の電極
2、3にそれぞれ高低2つの周波数の電力を供給する電
源7、8が接続されているので、これら高低2つの周波
数の電力をそれぞれ各電極2、3に印加してプラズマを
生成することができ、このようにして作成したシリコン
含有絶縁膜は緻密である。 (第2の実施の形態)以下に、上記のプラズマCVD装
置を用いて成膜したシリコン含有絶縁膜に関し、本願発
明者の行なった調査実験について説明する。
2、3にそれぞれ高低2つの周波数の電力を供給する電
源7、8が接続されているので、これら高低2つの周波
数の電力をそれぞれ各電極2、3に印加してプラズマを
生成することができ、このようにして作成したシリコン
含有絶縁膜は緻密である。 (第2の実施の形態)以下に、上記のプラズマCVD装
置を用いて成膜したシリコン含有絶縁膜に関し、本願発
明者の行なった調査実験について説明する。
【0039】まず、上記のプラズマCVD装置のうち良
く知られた平行平板型のプラズマCVD装置を用いた。
上部電極2、下部電極3のうち下部電極3が基板保持具
を兼ね、下部電極3は基板加熱を行なうためのヒータ1
2を内蔵している。 (試料の作成)図2(a)〜(d)及び図3は、この発
明のシリコン酸化膜(シリコン含有絶縁膜)を有する試
料について示す断面図である。
く知られた平行平板型のプラズマCVD装置を用いた。
上部電極2、下部電極3のうち下部電極3が基板保持具
を兼ね、下部電極3は基板加熱を行なうためのヒータ1
2を内蔵している。 (試料の作成)図2(a)〜(d)及び図3は、この発
明のシリコン酸化膜(シリコン含有絶縁膜)を有する試
料について示す断面図である。
【0040】試料S1は、図2(a)に示すように、ト
リメトキシシラン(TMS)を含む成膜ガスを用いたプ
ラズマCVD法(以下、PE−CVD法とも言う)によ
りシリコン酸化膜(シリコン含有絶縁膜のことであり、
以下、PE-CVD TMS SiO2膜と称する。)42aをシリコ
ン基板41上に形成することにより、作成した。なお、
比較のため、シリコン基板41上にテトラエトキシシラ
ン(TEOS)を含む成膜ガスを用いたPE−CVD法
により形成したシリコン酸化膜(以下、PE-CVDTEOS SiO
2膜と称する。)51aを有する比較試料CS1と、シ
リコン基板41上にモノシラン(SiH4)を含む成膜
ガスを用いたPE−CVD法により形成したシリコン酸
化膜(以下、PE-CVD SiH4 SiO2膜と称する。)52aを
有する比較試料CS2とを作成した。
リメトキシシラン(TMS)を含む成膜ガスを用いたプ
ラズマCVD法(以下、PE−CVD法とも言う)によ
りシリコン酸化膜(シリコン含有絶縁膜のことであり、
以下、PE-CVD TMS SiO2膜と称する。)42aをシリコ
ン基板41上に形成することにより、作成した。なお、
比較のため、シリコン基板41上にテトラエトキシシラ
ン(TEOS)を含む成膜ガスを用いたPE−CVD法
により形成したシリコン酸化膜(以下、PE-CVDTEOS SiO
2膜と称する。)51aを有する比較試料CS1と、シ
リコン基板41上にモノシラン(SiH4)を含む成膜
ガスを用いたPE−CVD法により形成したシリコン酸
化膜(以下、PE-CVD SiH4 SiO2膜と称する。)52aを
有する比較試料CS2とを作成した。
【0041】試料S1Aは、図3に示すように、シリコ
ン基板41上にPE-CVD TMS SiO2膜42aを形成した試
料S1において、さらにPE-CVD TMS SiO2膜42a上に
電極45を形成することにより、作成した。電極45と
して水銀プローブを用い、それとPE-CVD TMS SiO2膜4
2aとの接触面積は0.0230cm2である。試料S
2、S3は、図2(b)に示すように、シリコン基板
(Si基板)41上にリン含有量7mol%、膜厚約50
0nmのBPSG膜43とPE-CVD TMS SiO2膜42bと
を順に形成することにより、作成した。試料S2では、
PE-CVD TMS SiO2膜42bの膜厚を100nmとし、試
料S3では、PE-CVD TMS SiO2膜42bの膜厚を200
nmとした。なお、比較のため、PE-CVD TMS SiO2膜4
2bの代わりに、膜厚200nmのPE-CVD TEOS SiO2膜
51bを用いた比較試料CS3と、同じく膜厚200n
mのPE-CVD SiH4 SiO2膜52bを用いた比較試料CS4
と、同じくSiH4、NH3及びN2を含む成膜ガスを用
いたプラズマCVD法により形成した膜厚200nmの
シリコン窒化膜(以下、PE-CVD SiN膜と称する。)53
を用いた比較試料CS5とを作成した。
ン基板41上にPE-CVD TMS SiO2膜42aを形成した試
料S1において、さらにPE-CVD TMS SiO2膜42a上に
電極45を形成することにより、作成した。電極45と
して水銀プローブを用い、それとPE-CVD TMS SiO2膜4
2aとの接触面積は0.0230cm2である。試料S
2、S3は、図2(b)に示すように、シリコン基板
(Si基板)41上にリン含有量7mol%、膜厚約50
0nmのBPSG膜43とPE-CVD TMS SiO2膜42bと
を順に形成することにより、作成した。試料S2では、
PE-CVD TMS SiO2膜42bの膜厚を100nmとし、試
料S3では、PE-CVD TMS SiO2膜42bの膜厚を200
nmとした。なお、比較のため、PE-CVD TMS SiO2膜4
2bの代わりに、膜厚200nmのPE-CVD TEOS SiO2膜
51bを用いた比較試料CS3と、同じく膜厚200n
mのPE-CVD SiH4 SiO2膜52bを用いた比較試料CS4
と、同じくSiH4、NH3及びN2を含む成膜ガスを用
いたプラズマCVD法により形成した膜厚200nmの
シリコン窒化膜(以下、PE-CVD SiN膜と称する。)53
を用いた比較試料CS5とを作成した。
【0042】試料S4、S5は、図2(c)に示すよう
に、シリコン基板(Si基板)41上に低誘電率絶縁膜
44a,44bとPE-CVD TMS SiO2膜42cとを順に形
成することにより作成した。試料S4では、低誘電率絶
縁膜として無機塗布絶縁膜44aを用い、試料S5で
は、同じく、有機塗布絶縁膜44bを用いた。なお、比
較のため、PE-CVD TMS SiO2膜42cの代わりに、PE-CV
D TEOS SiO2膜51cを用いた比較試料CS6,CS7
を作成した。比較試料CS6では、低誘電率絶縁膜とし
て無機塗布絶縁膜44aを用い、比較試料CS7では、
同じく、有機塗布絶縁膜44bを用いた。
に、シリコン基板(Si基板)41上に低誘電率絶縁膜
44a,44bとPE-CVD TMS SiO2膜42cとを順に形
成することにより作成した。試料S4では、低誘電率絶
縁膜として無機塗布絶縁膜44aを用い、試料S5で
は、同じく、有機塗布絶縁膜44bを用いた。なお、比
較のため、PE-CVD TMS SiO2膜42cの代わりに、PE-CV
D TEOS SiO2膜51cを用いた比較試料CS6,CS7
を作成した。比較試料CS6では、低誘電率絶縁膜とし
て無機塗布絶縁膜44aを用い、比較試料CS7では、
同じく、有機塗布絶縁膜44bを用いた。
【0043】なお、無機塗布絶縁膜とは、HSQ(商品
名,ダウコーニング社製),MSQ(商品名),R7
(商品名,日立化成工業社製)など塗布液を塗布して形
成された絶縁膜である。塗布液中の成分化合物として炭
素が一つ以下の化合物を含むことを特徴とするものであ
る。有機塗布絶縁膜とは、FLARE(商品名,アライ
ドシグナル社製),SiLK(商品名,ダウケミカル社
製)などの塗布液を塗布して形成されたものである。塗
布液中の成分化合物として炭素が二つ以上の化合物を含
むことを特徴とするものである。
名,ダウコーニング社製),MSQ(商品名),R7
(商品名,日立化成工業社製)など塗布液を塗布して形
成された絶縁膜である。塗布液中の成分化合物として炭
素が一つ以下の化合物を含むことを特徴とするものであ
る。有機塗布絶縁膜とは、FLARE(商品名,アライ
ドシグナル社製),SiLK(商品名,ダウケミカル社
製)などの塗布液を塗布して形成されたものである。塗
布液中の成分化合物として炭素が二つ以上の化合物を含
むことを特徴とするものである。
【0044】試料S6は、図2(d)に示すように、シ
リコン基板41上に、膜厚約150nmのPE-CVD TMS S
iO2膜(下部保護層)42dと膜厚約450nmの塗布
絶縁膜(中間層)44cと膜厚約200nmのPE-CVD T
MS SiO2膜(上部保護層)42eとを下層から順に形成
したものである。塗布絶縁膜44cは、HSQ(Hydrog
en silsesquioxane)を溶媒に溶かして生成された塗布
液(FOx(商品名))をスピンコートして被着し、そ
の後、窒素中、温度150,200,350℃で、それ
ぞれ1分間ずつベークし、さらに窒素中、温度400℃
で、50分間キュアして形成した。なお、比較のため、
下部保護層としてPE-CVD TMS SiO2膜42dの代わりにP
E-CVD TEOS SiO2膜51dを形成した比較試料CS8
と、上下部保護層としてPE-CVD TMS SiO2膜42d、4
2eの代わりにPE-CVD TEOS SiO2膜51d,51eを形
成した比較試料CS9とを作成した。
リコン基板41上に、膜厚約150nmのPE-CVD TMS S
iO2膜(下部保護層)42dと膜厚約450nmの塗布
絶縁膜(中間層)44cと膜厚約200nmのPE-CVD T
MS SiO2膜(上部保護層)42eとを下層から順に形成
したものである。塗布絶縁膜44cは、HSQ(Hydrog
en silsesquioxane)を溶媒に溶かして生成された塗布
液(FOx(商品名))をスピンコートして被着し、そ
の後、窒素中、温度150,200,350℃で、それ
ぞれ1分間ずつベークし、さらに窒素中、温度400℃
で、50分間キュアして形成した。なお、比較のため、
下部保護層としてPE-CVD TMS SiO2膜42dの代わりにP
E-CVD TEOS SiO2膜51dを形成した比較試料CS8
と、上下部保護層としてPE-CVD TMS SiO2膜42d、4
2eの代わりにPE-CVD TEOS SiO2膜51d,51eを形
成した比較試料CS9とを作成した。
【0045】上記プラズマCVD装置を用いて、以下の
成膜条件で各試料S1乃至S6のPE-CVD TMS SiO2膜を
作成した。 成膜ガス:TMS+N2O TMSガス流量:100 sccm N2Oガス流量:3000 sccm ガス圧力:0.7 Torr プラズマ化条件 上部電極2への印加電力:0.3W/cm2(周波数1
3.56MHz) 下部電極3への印加電力:0.3W/cm2(周波数3
80kHz) この成膜装置の場合、上記電力密度はいずれも電極への
印加電力750Wに相当する。
成膜条件で各試料S1乃至S6のPE-CVD TMS SiO2膜を
作成した。 成膜ガス:TMS+N2O TMSガス流量:100 sccm N2Oガス流量:3000 sccm ガス圧力:0.7 Torr プラズマ化条件 上部電極2への印加電力:0.3W/cm2(周波数1
3.56MHz) 下部電極3への印加電力:0.3W/cm2(周波数3
80kHz) この成膜装置の場合、上記電力密度はいずれも電極への
印加電力750Wに相当する。
【0046】基板温度:300乃至400℃ 成膜膜厚:tnm なお、同じプラズマ成膜装置101は、比較試料CS1
のPE-CVD TEOS SiO2膜51a、比較試料CS2のPE-CVD
SiH4 SiO2膜52a、比較試料CS3、CS4、CS6
乃至CS9のPE-CVD TEOS SiO2膜51b乃至51e、比
較試料CS5のPE-CVD SiN膜53の形成にも用いられ
た。
のPE-CVD TEOS SiO2膜51a、比較試料CS2のPE-CVD
SiH4 SiO2膜52a、比較試料CS3、CS4、CS6
乃至CS9のPE-CVD TEOS SiO2膜51b乃至51e、比
較試料CS5のPE-CVD SiN膜53の形成にも用いられ
た。
【0047】上記のようにして作成したPE-CVD TMS SiO
2膜42a乃至42eについて以下の特性を調査した。 (i)基本特性 上記成膜条件で、成膜レートは約160乃至170nm
/分であった。また、成膜後のPE-CVD TMS SiO2膜42
aの屈折率は1.477乃至1.48であり、膜応力は
−300MPa乃至−250MPaであった(マイナス
記号は圧縮応力を表す)。屈折率を測定する場合は、エ
リプソメータで6338オングストロームのHe−Ne
レーザを用いた。また、膜応力を測定する場合、オプテ
ィレバーレーザースキャン方式を用いた。
2膜42a乃至42eについて以下の特性を調査した。 (i)基本特性 上記成膜条件で、成膜レートは約160乃至170nm
/分であった。また、成膜後のPE-CVD TMS SiO2膜42
aの屈折率は1.477乃至1.48であり、膜応力は
−300MPa乃至−250MPaであった(マイナス
記号は圧縮応力を表す)。屈折率を測定する場合は、エ
リプソメータで6338オングストロームのHe−Ne
レーザを用いた。また、膜応力を測定する場合、オプテ
ィレバーレーザースキャン方式を用いた。
【0048】また、膜厚(t)500nmでPE-CVD TMS
SiO2膜42aの比誘電率は3.9であった。比誘電率
の調査用試料として試料S1Aを用いた。調査用試料S
1Aのシリコン基板41と電極45間に直流電圧(V)
を印加し、さらに直流電圧(V)に周波数1MHzの微
小信号を重畳して、直流電圧(V)変化に対する容量
(C)変化を測定し、その結果から比誘電率を算出し
た。
SiO2膜42aの比誘電率は3.9であった。比誘電率
の調査用試料として試料S1Aを用いた。調査用試料S
1Aのシリコン基板41と電極45間に直流電圧(V)
を印加し、さらに直流電圧(V)に周波数1MHzの微
小信号を重畳して、直流電圧(V)変化に対する容量
(C)変化を測定し、その結果から比誘電率を算出し
た。
【0049】(ii) 膜中の炭素及び窒素の濃度 試料S1を用いて、AES法(オージェ電子分光法)に
より、PE-CVD TMS SiO 2膜42a中の炭素及び窒素の濃
度を測定した。それによれば、炭素の濃度は1.0atom
s%であり、窒素の濃度は2.1atoms%であった。
より、PE-CVD TMS SiO 2膜42a中の炭素及び窒素の濃
度を測定した。それによれば、炭素の濃度は1.0atom
s%であり、窒素の濃度は2.1atoms%であった。
【0050】(iii)膜密度 試料S1を用いて、良く知られたX線干渉法、及び重量
測定法により、PE-CVDTMS SiO2膜42aの膜密度を調査
した。比較のため、PE-CVD TMS SiO2膜42aの代わり
に、熱酸化膜(thermal-SiO2膜)と、PE-CVD TEOS SiO2
膜51aを用いた比較試料CS1と、PE-CVD SiH4 SiO2
膜52aを用いた比較試料CS2とにより同様な調査を
行なった。
測定法により、PE-CVDTMS SiO2膜42aの膜密度を調査
した。比較のため、PE-CVD TMS SiO2膜42aの代わり
に、熱酸化膜(thermal-SiO2膜)と、PE-CVD TEOS SiO2
膜51aを用いた比較試料CS1と、PE-CVD SiH4 SiO2
膜52aを用いた比較試料CS2とにより同様な調査を
行なった。
【0051】図4に示すように、PE-CVD TMS SiO2膜4
2aは2.33と他の絶縁膜と比べて膜密度が高く、緻
密であることが分かった。 (iv)膜中水分含有量 試料S1を用いて、TDS法(Thermal Desorption Mas
s Spectroscopy:昇温離脱質量分析法)により成膜直後
と大気中2週間経過後の膜中の水分含有量を測定した。
TDS法とは、試料を加熱してそこから出てくる分子を
測定する方法である。比較のため、PE-CVD TMS SiO2膜
42aの代わりに、PE-CVD TEOS SiO2膜51aを用いた
比較試料CS1により同様な調査を行なった。
2aは2.33と他の絶縁膜と比べて膜密度が高く、緻
密であることが分かった。 (iv)膜中水分含有量 試料S1を用いて、TDS法(Thermal Desorption Mas
s Spectroscopy:昇温離脱質量分析法)により成膜直後
と大気中2週間経過後の膜中の水分含有量を測定した。
TDS法とは、試料を加熱してそこから出てくる分子を
測定する方法である。比較のため、PE-CVD TMS SiO2膜
42aの代わりに、PE-CVD TEOS SiO2膜51aを用いた
比較試料CS1により同様な調査を行なった。
【0052】調査方法は、TDS分析装置で、室温から
800℃まで加熱し、試料からの水分量を定量化するこ
とにより行なった。図5は、その結果を示すグラフであ
る。図5において、縦軸は線形目盛りで表した水分量
(wt%)を示し、横軸は線形目盛りで表した温度
(℃)を示す。成膜直後の測定では、室温から800℃
まで昇温したときの水分量はPE-CVD TMS SiO2膜42a
で0.11wt%であるのに対して、PE-CVD TEOS SiO2
膜51aでは0.49wt%であった。さらに、2週間
後の測定でも、PE-CVD TMS SiO 2膜42aでは、+0.
2乃至0.3の増加にとどまり、あまり変化がなかっ
た。
800℃まで加熱し、試料からの水分量を定量化するこ
とにより行なった。図5は、その結果を示すグラフであ
る。図5において、縦軸は線形目盛りで表した水分量
(wt%)を示し、横軸は線形目盛りで表した温度
(℃)を示す。成膜直後の測定では、室温から800℃
まで昇温したときの水分量はPE-CVD TMS SiO2膜42a
で0.11wt%であるのに対して、PE-CVD TEOS SiO2
膜51aでは0.49wt%であった。さらに、2週間
後の測定でも、PE-CVD TMS SiO 2膜42aでは、+0.
2乃至0.3の増加にとどまり、あまり変化がなかっ
た。
【0053】以上のように、PE-CVD TMS SiO2膜42a
はPE-CVD TEOS SiO2膜51aに比較して構造水(成膜直
後に成膜ガス及び膜構造に起因して膜中に含まれる水
分)、物理吸着水(物理的に吸着及び吸収した外来の水
分)ともに少ないことが分かった。 (v)FT−IRの吸収強度 次に、上記試料S1について、FT−IR分析法により
赤外線の吸収強度を調査した結果を図6に示す。上記比
較試料CS1、CS2について、同様に調査した結果を
図7に示す。
はPE-CVD TEOS SiO2膜51aに比較して構造水(成膜直
後に成膜ガス及び膜構造に起因して膜中に含まれる水
分)、物理吸着水(物理的に吸着及び吸収した外来の水
分)ともに少ないことが分かった。 (v)FT−IRの吸収強度 次に、上記試料S1について、FT−IR分析法により
赤外線の吸収強度を調査した結果を図6に示す。上記比
較試料CS1、CS2について、同様に調査した結果を
図7に示す。
【0054】図6の縦軸は線形目盛りで表した吸収強度
(任意単位)を示し、横軸は線形目盛りで表した波数
(cm-1)を表す。図7も同様である。図6に示すよう
に、波数2270乃至2350cm-1の範囲に中心波数
を有する赤外線吸収強度のピークが確認された。一方、
比較試料CS1、CS2では、図7に示すように、その
ようなそのピークは観察されなかった。
(任意単位)を示し、横軸は線形目盛りで表した波数
(cm-1)を表す。図7も同様である。図6に示すよう
に、波数2270乃至2350cm-1の範囲に中心波数
を有する赤外線吸収強度のピークが確認された。一方、
比較試料CS1、CS2では、図7に示すように、その
ようなそのピークは観察されなかった。
【0055】(vi)耐水性 図2(b)に示す試料S2、S3を用いて、加圧加湿試
験(プレッシャークッカーテスト)により、PE-CVD TMS
SiO2膜42bの耐水性を調査した。比較のため、PE-CV
D TMS SiO2膜42bの代わりに、PE-CVD TEOS SiO2膜5
1bを用いた比較試料CS3と、同じくPE-CVD SiN膜5
3を用いた比較試料CS5とにより同様な調査を行なっ
た。
験(プレッシャークッカーテスト)により、PE-CVD TMS
SiO2膜42bの耐水性を調査した。比較のため、PE-CV
D TMS SiO2膜42bの代わりに、PE-CVD TEOS SiO2膜5
1bを用いた比較試料CS3と、同じくPE-CVD SiN膜5
3を用いた比較試料CS5とにより同様な調査を行なっ
た。
【0056】加圧加湿試験の条件は以下の通りである。
放置時間をパラメータにとっている。 温度:121℃ 圧力:2.0atm 湿度:100%R.T.(Room Temperature) 耐水性の評価は、加圧加湿試験後に調査用絶縁膜中に含
まれるP=O結合の量を評価することにより行なった。
BPSG膜43中に含まれるP=O結合の量を評価する
ため、FTIR分析法(Fourier Transform Infra Red
分析法)によりP=Oの吸収係数を測定した。BPSG
膜43中に水分が侵入することで膜中のP=Oの結合が
反応して壊れるが、BPSG膜43をカバーするPE-CVD
TMS SiO 2膜42bの耐水性が高ければ、水分を通さず
BPSG膜43中のP=Oの結合が壊れることがない。
従って、P=O吸収係数の経時変化が小さいほど耐水性
が高いといえる。
放置時間をパラメータにとっている。 温度:121℃ 圧力:2.0atm 湿度:100%R.T.(Room Temperature) 耐水性の評価は、加圧加湿試験後に調査用絶縁膜中に含
まれるP=O結合の量を評価することにより行なった。
BPSG膜43中に含まれるP=O結合の量を評価する
ため、FTIR分析法(Fourier Transform Infra Red
分析法)によりP=Oの吸収係数を測定した。BPSG
膜43中に水分が侵入することで膜中のP=Oの結合が
反応して壊れるが、BPSG膜43をカバーするPE-CVD
TMS SiO 2膜42bの耐水性が高ければ、水分を通さず
BPSG膜43中のP=Oの結合が壊れることがない。
従って、P=O吸収係数の経時変化が小さいほど耐水性
が高いといえる。
【0057】図8は、加圧加湿試験時間に対する試験後
の絶縁膜中のリン含有量の経時変化を示すグラフであ
る。縦軸は線型目盛りで表したP=O吸収係数(任意単
位)を示し、横軸は線型目盛りで表した放置時間(H)
を示す。図8に示す結果より、PE-CVD TMS SiO2膜42
bは膜厚の厚薄にかかわりなく、試料S2、S3とも
に、比較試料CS5のPE-CVD SiN膜53と同じように、
150時間程度放置しても初期のP=O吸収係数に比べ
てほとんど変化がない。即ち、PE-CVD TMS SiO2膜42
bはPE-CVD SiN膜53と同等な耐水性を有することが分
かった。
の絶縁膜中のリン含有量の経時変化を示すグラフであ
る。縦軸は線型目盛りで表したP=O吸収係数(任意単
位)を示し、横軸は線型目盛りで表した放置時間(H)
を示す。図8に示す結果より、PE-CVD TMS SiO2膜42
bは膜厚の厚薄にかかわりなく、試料S2、S3とも
に、比較試料CS5のPE-CVD SiN膜53と同じように、
150時間程度放置しても初期のP=O吸収係数に比べ
てほとんど変化がない。即ち、PE-CVD TMS SiO2膜42
bはPE-CVD SiN膜53と同等な耐水性を有することが分
かった。
【0058】また、調査用試料S3及び比較試料CS
3、CS4を用いて、別の加圧加湿試験(プレッシャー
クッカーテスト)により、耐水性を調査した。加圧加湿
試験の条件は上記と同じである。結果を図9に示す。図
9の縦軸は線形目盛りで表した耐水性(%)を示し、横
軸は線形目盛りで表した放置時間(hr)を示す。
3、CS4を用いて、別の加圧加湿試験(プレッシャー
クッカーテスト)により、耐水性を調査した。加圧加湿
試験の条件は上記と同じである。結果を図9に示す。図
9の縦軸は線形目盛りで表した耐水性(%)を示し、横
軸は線形目盛りで表した放置時間(hr)を示す。
【0059】耐水性の評価は、上記と同じく、加圧加湿
試験後に調査用絶縁膜中に含まれるP=O結合の量を評
価することにより行なった。図9における耐水性とは、
放置前のP=O吸収係数を100とし、これを基準とし
て加圧加湿試験後におけるP=O吸収係数を算出したも
のである。図9に示すように、試料S3は比較試料CS
3、CS4を上回る97.4%(100hr)の耐水性
を有することが分かった。
試験後に調査用絶縁膜中に含まれるP=O結合の量を評
価することにより行なった。図9における耐水性とは、
放置前のP=O吸収係数を100とし、これを基準とし
て加圧加湿試験後におけるP=O吸収係数を算出したも
のである。図9に示すように、試料S3は比較試料CS
3、CS4を上回る97.4%(100hr)の耐水性
を有することが分かった。
【0060】(vii)膜のリーク電流 図3のような調査用試料S1Aを作成した。即ち、試料
S1において、この発明に係る膜厚(t)200nmの
PE-CVD TMS SiO2膜42aの上に電極45を形成するこ
とにより、試料S1Aを作成した。シリコン基板41と
電極45との間に電圧を印加し、シリコン基板41と電
極45との間に流れるリーク電流を測定した。シリコン
基板41を接地するとともに、電極45に負の電圧を印
加する。
S1において、この発明に係る膜厚(t)200nmの
PE-CVD TMS SiO2膜42aの上に電極45を形成するこ
とにより、試料S1Aを作成した。シリコン基板41と
電極45との間に電圧を印加し、シリコン基板41と電
極45との間に流れるリーク電流を測定した。シリコン
基板41を接地するとともに、電極45に負の電圧を印
加する。
【0061】その結果によれば、PE-CVD TMS SiO2膜4
2a単体のリーク電流は5MV/cmの電界強度で、1
0-8A/cm2台であり、ブレークダウン電圧は電界に
換算して約10MV/cmであった。 (viii)膜の密着性 試料S4、S5を用いて、この発明に係るPE-CVD TMS S
iO2膜42cと、下地の低誘電率絶縁膜44a,44b
との密着性について調査した。また、成膜前の表面処理
を行なった試料と同処理を行なわなかった試料を作成し
て同様な調査を行なった。成膜前の表面処理とは、
N2,NH3,H2などのプラズマを用いて処理膜の表面
を改質する処理である。
2a単体のリーク電流は5MV/cmの電界強度で、1
0-8A/cm2台であり、ブレークダウン電圧は電界に
換算して約10MV/cmであった。 (viii)膜の密着性 試料S4、S5を用いて、この発明に係るPE-CVD TMS S
iO2膜42cと、下地の低誘電率絶縁膜44a,44b
との密着性について調査した。また、成膜前の表面処理
を行なった試料と同処理を行なわなかった試料を作成し
て同様な調査を行なった。成膜前の表面処理とは、
N2,NH3,H2などのプラズマを用いて処理膜の表面
を改質する処理である。
【0062】また、比較のため、PE-CVD TMS SiO2膜4
2cの代わりにPE-CVD TEOS SiO2膜51cを用い、かつ
低誘電率絶縁膜として無機塗布絶縁膜44a(比較試料
CS6)、及び有機塗布絶縁膜44b(比較試料CS
7)を用いて同様な調査を行なった。膜の密着性を調査
するための試験として、試料面の数cm×数cmにわた
って格子状に傷を入れ、テープによる剥離試験、及びC
MP(Chemical MechanicalPolishing)によるウエハ前
面での剥離試験を行なった。
2cの代わりにPE-CVD TEOS SiO2膜51cを用い、かつ
低誘電率絶縁膜として無機塗布絶縁膜44a(比較試料
CS6)、及び有機塗布絶縁膜44b(比較試料CS
7)を用いて同様な調査を行なった。膜の密着性を調査
するための試験として、試料面の数cm×数cmにわた
って格子状に傷を入れ、テープによる剥離試験、及びC
MP(Chemical MechanicalPolishing)によるウエハ前
面での剥離試験を行なった。
【0063】この結果を図10に示す。これに示すよう
に、PE-CVD TMS SiO2膜42cでは、成膜前の表面処理
の有無にかかわらず、無機塗布絶縁膜44a、及び有機
塗布絶縁膜44bとの密着性は良好だった。一方、PE-C
VD TEOS SiO2膜51cでは、全体的に密着性の程度はPE
-CVD TMS SiO2膜42cよりも劣る。そして、成膜前の
表面処理の有無で差が出ており、表面処理を行なった試
料が同処理を行なわなかった試料に比べて密着性が高か
った。
に、PE-CVD TMS SiO2膜42cでは、成膜前の表面処理
の有無にかかわらず、無機塗布絶縁膜44a、及び有機
塗布絶縁膜44bとの密着性は良好だった。一方、PE-C
VD TEOS SiO2膜51cでは、全体的に密着性の程度はPE
-CVD TMS SiO2膜42cよりも劣る。そして、成膜前の
表面処理の有無で差が出ており、表面処理を行なった試
料が同処理を行なわなかった試料に比べて密着性が高か
った。
【0064】(ix)ヒートサイクルによる不良発生率 試料S6及び比較試料CS8、CS9について、ヒート
サイクルによる不良発生率について調査した。各試料は
パッケージに封入した。ヒートサイクルの試験条件は以
下の通りである。サイクル数をパラメータにとってい
る。 高い温度(保持時間):150℃(20分間) 低い温度(保持時間):−55℃(20分間) サイクル数:100、200、300、500C(C:
Cycle) 膜剥がれや膜のクラックが生じたものを不良とした。結
果を図11に示す。図11の縦軸は線形目盛りで表した
不良発生率(%)を示し、横軸は試料の種類を示す。試
料の種類は、左側から上記説明した試料S6、比較試料
CS8、CS9の順となっている。棒グラフの区分領域
は特定のサイクル数での不良率を示し、横線でハッチン
グした区分領域は100Cでの不良率を示し、縦線でハ
ッチングした区分領域は200Cでの不良率を示し、斜
線でハッチングした区分領域は300Cでの不良率を示
し、白抜きの区分領域は500Cでの不良率を示す。
サイクルによる不良発生率について調査した。各試料は
パッケージに封入した。ヒートサイクルの試験条件は以
下の通りである。サイクル数をパラメータにとってい
る。 高い温度(保持時間):150℃(20分間) 低い温度(保持時間):−55℃(20分間) サイクル数:100、200、300、500C(C:
Cycle) 膜剥がれや膜のクラックが生じたものを不良とした。結
果を図11に示す。図11の縦軸は線形目盛りで表した
不良発生率(%)を示し、横軸は試料の種類を示す。試
料の種類は、左側から上記説明した試料S6、比較試料
CS8、CS9の順となっている。棒グラフの区分領域
は特定のサイクル数での不良率を示し、横線でハッチン
グした区分領域は100Cでの不良率を示し、縦線でハ
ッチングした区分領域は200Cでの不良率を示し、斜
線でハッチングした区分領域は300Cでの不良率を示
し、白抜きの区分領域は500Cでの不良率を示す。
【0065】図11に示すように、下部保護層及び上部
保護層ともにこの発明のシリコン酸化膜を用いた試料S
6では、300C以上で不良が発生するが、300C及
び500Cでの不良発生率を合わせても2乃至3%程度
である。下部保護層及び上部保護層のうち下部保護層の
みがこの発明のシリコン酸化膜42dである比較試料C
S8の場合、100Cから500Cまでほぼ均等に不良
が発生しており、不良発生率は合わせて約25%程度で
あった。下部保護層及び上部保護層ともにこの発明のシ
リコン酸化膜42d、42eを用いない比較試料CS9
の場合、100Cから500Cまで不良が発生し、特に
300C及び500Cでの不良発生率が高くなってお
り、不良発生率は合わせて約53%であった。
保護層ともにこの発明のシリコン酸化膜を用いた試料S
6では、300C以上で不良が発生するが、300C及
び500Cでの不良発生率を合わせても2乃至3%程度
である。下部保護層及び上部保護層のうち下部保護層の
みがこの発明のシリコン酸化膜42dである比較試料C
S8の場合、100Cから500Cまでほぼ均等に不良
が発生しており、不良発生率は合わせて約25%程度で
あった。下部保護層及び上部保護層ともにこの発明のシ
リコン酸化膜42d、42eを用いない比較試料CS9
の場合、100Cから500Cまで不良が発生し、特に
300C及び500Cでの不良発生率が高くなってお
り、不良発生率は合わせて約53%であった。
【0066】(x)銅(Cu)に対するバリア性調査 (a)TDDB(Time Dependent Dielectric Break Do
wn)試験 TDDB試験は、試料に電圧を印加して絶縁破壊に至る
までの時間を計測する試験である。調査用試料は、Si
基板上に、この発明に係るPE-CVD TMS SiO2膜とCu膜
とを順に積層することにより作成した。比較のため、PE
-CVD TMS SiO2膜の代わりにPE-CVD TEOS SiO2膜を用い
た試料、及びCu膜とPE-CVD TEOS SiO2膜との間にTi
N膜を介在させた試料についても同様な調査を行なっ
た。
wn)試験 TDDB試験は、試料に電圧を印加して絶縁破壊に至る
までの時間を計測する試験である。調査用試料は、Si
基板上に、この発明に係るPE-CVD TMS SiO2膜とCu膜
とを順に積層することにより作成した。比較のため、PE
-CVD TMS SiO2膜の代わりにPE-CVD TEOS SiO2膜を用い
た試料、及びCu膜とPE-CVD TEOS SiO2膜との間にTi
N膜を介在させた試料についても同様な調査を行なっ
た。
【0067】調査結果によれば、電界強度8MV/cm
において、10×105秒のブレークダウンライフタイ
ムが得られた。一方、PE-CVD TEOS SiO2膜を用いた試料
では、10×105秒台のブレークダウンライフタイム
が得られるのは、電界強度5MV/cmであった。この
ことは、PE-CVD TMS SiO2膜を用いた試料の方がPE-CVD
TEOS SiO2膜を用いた試料に比べてブレークダウンライ
フタイムは6桁程度長いことを表している。
において、10×105秒のブレークダウンライフタイ
ムが得られた。一方、PE-CVD TEOS SiO2膜を用いた試料
では、10×105秒台のブレークダウンライフタイム
が得られるのは、電界強度5MV/cmであった。この
ことは、PE-CVD TMS SiO2膜を用いた試料の方がPE-CVD
TEOS SiO2膜を用いた試料に比べてブレークダウンライ
フタイムは6桁程度長いことを表している。
【0068】Cu膜とPE-CVD TEOS SiO2膜との間にTi
N膜を介在させた試料では、10×105秒台のブレー
クダウンライフタイムが得られるのは、電界強度7.5
MV/cmであった。以上より、PE-CVD TMS SiO2膜を
用いた試料はPE-CVD TEOS SiO2膜を用いた試料に比べて
6桁程度長いブレークダウンライフタイムを有し、Ti
N膜と同等以上のCuに対するバリア性を有するといえ
る。
N膜を介在させた試料では、10×105秒台のブレー
クダウンライフタイムが得られるのは、電界強度7.5
MV/cmであった。以上より、PE-CVD TMS SiO2膜を
用いた試料はPE-CVD TEOS SiO2膜を用いた試料に比べて
6桁程度長いブレークダウンライフタイムを有し、Ti
N膜と同等以上のCuに対するバリア性を有するといえ
る。
【0069】(b)耐熱性調査 調査用試料は、図12に示すように、不図示のSi基板
上に、この発明に係る膜厚125nmのPE-CVD TMS SiO
2膜とCu膜とを隣接して積層することにより作成し
た。調査は、成膜直後の状態(図12中、点線で示
す。)を基準にして温度470℃で所定時間(1時間
(二点鎖線)、7時間(実線)、15時間(一点鎖線)
の3種類)処理した後のPE-CVD TMS SiO2膜中のCuの
分布状態を測定することにより行なった。
上に、この発明に係る膜厚125nmのPE-CVD TMS SiO
2膜とCu膜とを隣接して積層することにより作成し
た。調査は、成膜直後の状態(図12中、点線で示
す。)を基準にして温度470℃で所定時間(1時間
(二点鎖線)、7時間(実線)、15時間(一点鎖線)
の3種類)処理した後のPE-CVD TMS SiO2膜中のCuの
分布状態を測定することにより行なった。
【0070】図12は調査結果を示すグラフである。図
12において、左側の縦軸は対数目盛りで表したCu濃
度及びSi濃度(cm-3)を示す。横軸は線形目盛りで
表したPE-CVD TMS SiO2膜の片面からCu膜の側に向か
って測った深さ(nm)を示す。図12に示すように、
成膜直後の分布とほとんど変化がなかった。即ち、PE-C
VD TMS SiO2膜はCuに対して十分なバリア性を有する
ことが分かった。
12において、左側の縦軸は対数目盛りで表したCu濃
度及びSi濃度(cm-3)を示す。横軸は線形目盛りで
表したPE-CVD TMS SiO2膜の片面からCu膜の側に向か
って測った深さ(nm)を示す。図12に示すように、
成膜直後の分布とほとんど変化がなかった。即ち、PE-C
VD TMS SiO2膜はCuに対して十分なバリア性を有する
ことが分かった。
【0071】なお、上記では、成膜ガス中のシリコン含
有ガスとして、Si−H結合を有するアルコキシ化合物
(TMS)を用いているが、Si−H結合を有するシロ
キサンを用いることができる。また、酸素含有ガスとし
てN2Oを用いているが、他に酸素(O2)、二酸化窒素
(NO2)、一酸化炭素(CO)、二酸化炭素(C
O2)、又は水(H2O)の何れか一を用いることができ
る。
有ガスとして、Si−H結合を有するアルコキシ化合物
(TMS)を用いているが、Si−H結合を有するシロ
キサンを用いることができる。また、酸素含有ガスとし
てN2Oを用いているが、他に酸素(O2)、二酸化窒素
(NO2)、一酸化炭素(CO)、二酸化炭素(C
O2)、又は水(H2O)の何れか一を用いることができ
る。
【0072】また、上記の成膜ガスに水素(H2)、又
は窒素(N2)の少なくとも一方を添加することによ
り、緻密性を更に高めることができる。 (第3の実施の形態)次に、図13(a)〜(c)、及
び図14(a)、(b)を参照して、本発明の第3の実
施の形態に係る半導体装置及びその製造方法を説明す
る。
は窒素(N2)の少なくとも一方を添加することによ
り、緻密性を更に高めることができる。 (第3の実施の形態)次に、図13(a)〜(c)、及
び図14(a)、(b)を参照して、本発明の第3の実
施の形態に係る半導体装置及びその製造方法を説明す
る。
【0073】図14(b)は、第3の実施の形態に係る
半導体装置を示す断面図である。図14(b)に示すよ
うに、下地基板22上にこの発明に係るシリコン含有絶
縁膜からなる下地保護層23が形成され、下地保護層2
3上に3層の配線24、29、34が層間絶縁膜を挟ん
で形成されている。それらの層間絶縁膜は、この発明に
係るシリコン含有絶縁膜からなる下部保護層25、30
と、塗布絶縁膜からなる主たる絶縁膜26、31と、こ
の発明に係るシリコン含有絶縁膜からなる上部保護層2
7、32とから構成されている。さらに、最上部の配線
34上にはさらに、この発明に係るシリコン含有絶縁膜
からなる保護層35と塗布絶縁膜36とが形成されてい
る。
半導体装置を示す断面図である。図14(b)に示すよ
うに、下地基板22上にこの発明に係るシリコン含有絶
縁膜からなる下地保護層23が形成され、下地保護層2
3上に3層の配線24、29、34が層間絶縁膜を挟ん
で形成されている。それらの層間絶縁膜は、この発明に
係るシリコン含有絶縁膜からなる下部保護層25、30
と、塗布絶縁膜からなる主たる絶縁膜26、31と、こ
の発明に係るシリコン含有絶縁膜からなる上部保護層2
7、32とから構成されている。さらに、最上部の配線
34上にはさらに、この発明に係るシリコン含有絶縁膜
からなる保護層35と塗布絶縁膜36とが形成されてい
る。
【0074】保護層23、25、27、30、32、3
5を構成する、この発明に係るシリコン含有絶縁膜は、
赤外線の吸収強度のピークが波数2270乃至2350
cm -1の範囲にあり、膜密度が2.25乃至2.40g
/cm3の範囲に有り、かつ比誘電率が3.3乃至4.
3の範囲にある。なお、下地基板22としてシリコン基
板やシリコン基板に配線や絶縁膜が形成されたものを用
いることができ、配線24、29、34の材料としてア
ルミニウムや銅などの導電材料を用いることができる。
5を構成する、この発明に係るシリコン含有絶縁膜は、
赤外線の吸収強度のピークが波数2270乃至2350
cm -1の範囲にあり、膜密度が2.25乃至2.40g
/cm3の範囲に有り、かつ比誘電率が3.3乃至4.
3の範囲にある。なお、下地基板22としてシリコン基
板やシリコン基板に配線や絶縁膜が形成されたものを用
いることができ、配線24、29、34の材料としてア
ルミニウムや銅などの導電材料を用いることができる。
【0075】本願発明者の実験によれば、上記特性を有
するシリコン含有絶縁膜23、25、27、30、3
2、35は、シリコン窒化膜と同じように、機械的強度
が高く、緻密で、耐水性に優れ、膜中の水分含有量が少
なく、かつシリコン窒化膜と比べて比誘電率が小さい。
また、そのシリコン含有絶縁膜23、25、27、3
0、32、35は塗布絶縁膜との密着性がよい。
するシリコン含有絶縁膜23、25、27、30、3
2、35は、シリコン窒化膜と同じように、機械的強度
が高く、緻密で、耐水性に優れ、膜中の水分含有量が少
なく、かつシリコン窒化膜と比べて比誘電率が小さい。
また、そのシリコン含有絶縁膜23、25、27、3
0、32、35は塗布絶縁膜との密着性がよい。
【0076】従って、配線24、29、34等を被覆す
る保護層23、25、27、30、32、35として上
記特性を有するシリコン含有絶縁膜を用いることによ
り、配線24、29、34間の寄生容量を低減しつつ、
外来の水分の浸入を防いで配線24、29、34の腐食
を防止することができる。また、塗布絶縁膜26、3
1、36の上部及び下部を保護する保護層25、27、
30、32、35として上記特性を有するシリコン含有
絶縁膜を用いることにより、配線24、29、34間の
寄生容量を低減しつつ、保護層25、27、30、3
2、35の外側周辺部への水分の流出及び外来の水分の
浸入を防いで配線24、29、34の腐食を防止するこ
とができる。
る保護層23、25、27、30、32、35として上
記特性を有するシリコン含有絶縁膜を用いることによ
り、配線24、29、34間の寄生容量を低減しつつ、
外来の水分の浸入を防いで配線24、29、34の腐食
を防止することができる。また、塗布絶縁膜26、3
1、36の上部及び下部を保護する保護層25、27、
30、32、35として上記特性を有するシリコン含有
絶縁膜を用いることにより、配線24、29、34間の
寄生容量を低減しつつ、保護層25、27、30、3
2、35の外側周辺部への水分の流出及び外来の水分の
浸入を防いで配線24、29、34の腐食を防止するこ
とができる。
【0077】また、この発明の上記特性を有するシリコ
ン含有絶縁膜25、27、30、32、35は塗布絶縁
膜26、31、36との密着性がよく、機械的強度が高
いため、外部からの機械的な衝撃に対しても膜剥がれ等
積層構造の破壊を防止することができる。図13(a)
〜(c)、及び図14(a)、(b)は、本発明の第3
の実施の形態に係る半導体装置の製造方法を示す断面図
である。塗布絶縁膜の上下面のうち少なくとも何れか一
の表面に形成する、この発明が適用される下地保護層、
下部保護層、上部保護層、保護層の成膜ガスとしてTM
S+N2Oを用いている。
ン含有絶縁膜25、27、30、32、35は塗布絶縁
膜26、31、36との密着性がよく、機械的強度が高
いため、外部からの機械的な衝撃に対しても膜剥がれ等
積層構造の破壊を防止することができる。図13(a)
〜(c)、及び図14(a)、(b)は、本発明の第3
の実施の形態に係る半導体装置の製造方法を示す断面図
である。塗布絶縁膜の上下面のうち少なくとも何れか一
の表面に形成する、この発明が適用される下地保護層、
下部保護層、上部保護層、保護層の成膜ガスとしてTM
S+N2Oを用いている。
【0078】まず、図13(a)に示すように、シリコ
ン基板(下地基板)22上に、成膜ガスとしてTMS+
N2Oを用いたプラズマCVD法によりPE-CVD TMS SiO2
膜からなる下地保護層23を形成する。PE-CVD TMS SiO
2膜(下地保護層)23を形成するには、まず、シリコン
基板22を図1に示す成膜装置101のチャンバ1内に
導入し、下部電極3に保持する。続いて、シリコン基板
22を加熱し、温度350℃に保持する。TMSを流量
100sccmで、N2Oガスを流量3000sccmで、プラ
ズマ成膜装置101のチャンバ1内に導入し、圧力を
0.7Torrに保持する。次いで、下部電極3に周波数3
80KHzの電力0.3W/cm2を印加し、上部電極
2にも周波数13.56MHzの電力0.3W/cm2を
印加する。
ン基板(下地基板)22上に、成膜ガスとしてTMS+
N2Oを用いたプラズマCVD法によりPE-CVD TMS SiO2
膜からなる下地保護層23を形成する。PE-CVD TMS SiO
2膜(下地保護層)23を形成するには、まず、シリコン
基板22を図1に示す成膜装置101のチャンバ1内に
導入し、下部電極3に保持する。続いて、シリコン基板
22を加熱し、温度350℃に保持する。TMSを流量
100sccmで、N2Oガスを流量3000sccmで、プラ
ズマ成膜装置101のチャンバ1内に導入し、圧力を
0.7Torrに保持する。次いで、下部電極3に周波数3
80KHzの電力0.3W/cm2を印加し、上部電極
2にも周波数13.56MHzの電力0.3W/cm2を
印加する。
【0079】これにより、TMSとN2Oがプラズマ化
する。この状態を所定時間保持して、膜厚約200nm
のPE-CVD TMS SiO2膜23を形成する。調査によれば、
成膜されたPE-CVD TMS SiO2膜23は、周波数1MHz
で測定した比誘電率が凡そ3.9であり、電界強度5M
V/cmのときリーク電流が10-8A/cm2であっ
た。
する。この状態を所定時間保持して、膜厚約200nm
のPE-CVD TMS SiO2膜23を形成する。調査によれば、
成膜されたPE-CVD TMS SiO2膜23は、周波数1MHz
で測定した比誘電率が凡そ3.9であり、電界強度5M
V/cmのときリーク電流が10-8A/cm2であっ
た。
【0080】次いで、下地保護層23上に第1の配線2
4を形成した後、上記PE-CVD TMS SiO2膜23を形成し
たときと同じ成膜条件としたプラズマCVD法により、
膜厚約50nmのPE-CVD TMS SiO2膜からなる第1のバ
リア絶縁膜(下部保護層)25を形成する。成膜された
第1のバリア絶縁膜25は、周波数1MHzで測定した
比誘電率が凡そ3.9であり、電界強度5MV/cmの
ときリーク電流が10-8A/cm2であった。
4を形成した後、上記PE-CVD TMS SiO2膜23を形成し
たときと同じ成膜条件としたプラズマCVD法により、
膜厚約50nmのPE-CVD TMS SiO2膜からなる第1のバ
リア絶縁膜(下部保護層)25を形成する。成膜された
第1のバリア絶縁膜25は、周波数1MHzで測定した
比誘電率が凡そ3.9であり、電界強度5MV/cmの
ときリーク電流が10-8A/cm2であった。
【0081】なお、第1の配線24が銅配線の場合に
は、図示していないが、下地保護層23と第1の配線2
4の間には下層から下地保護層23に対する銅のバリア
としてのTaN膜と、スパッタにより形成されたCu膜
とが形成されている。次に、図13(b)に示すよう
に、シリコン含有無機化合物又はシリコン含有有機化合
物を含む塗布液を用いた回転塗布法により、低誘電率を
有する膜厚約500乃至1000nmの第1の塗布絶縁
膜26を形成する。第1の塗布絶縁膜26は主たる層間
絶縁膜となる。これらが被成膜基板20を構成する。な
お、シリコン含有無機化合物を含む塗布液とは、上記第
2の実施の形態の(試料の作成)の項で説明した無機塗
布絶縁膜を形成するための塗布液でシリコンを含むもの
である。同じく、シリコン含有有機化合物を含む塗布液
とは有機塗布絶縁膜を形成するための塗布液でシリコン
を含むものである。
は、図示していないが、下地保護層23と第1の配線2
4の間には下層から下地保護層23に対する銅のバリア
としてのTaN膜と、スパッタにより形成されたCu膜
とが形成されている。次に、図13(b)に示すよう
に、シリコン含有無機化合物又はシリコン含有有機化合
物を含む塗布液を用いた回転塗布法により、低誘電率を
有する膜厚約500乃至1000nmの第1の塗布絶縁
膜26を形成する。第1の塗布絶縁膜26は主たる層間
絶縁膜となる。これらが被成膜基板20を構成する。な
お、シリコン含有無機化合物を含む塗布液とは、上記第
2の実施の形態の(試料の作成)の項で説明した無機塗
布絶縁膜を形成するための塗布液でシリコンを含むもの
である。同じく、シリコン含有有機化合物を含む塗布液
とは有機塗布絶縁膜を形成するための塗布液でシリコン
を含むものである。
【0082】次いで、図13(c)に示すように、上記
PE-CVD TMS SiO2膜23を形成したときと同じ成膜条件
としたプラズマCVD法により、膜厚約50nmのPE-C
VD TMS SiO2膜からなる第2のバリア絶縁膜(上部保護
層)27を第1の塗布絶縁膜26上に形成する。次い
で、第2のバリア絶縁膜27上に不図示のフォトレジス
ト膜を形成した後、図14(a)に示すように、パター
ニングし、ビアホールを形成すべき領域にフォトレジス
ト膜の開口部を形成する。続いて、CF4+CHF3系の
混合ガスをプラズマ化したものを用いた反応性イオンエ
ッチング(RIE)によりフォトレジスト膜の開口部を
通してまず第2のバリア絶縁膜27をエッチングし、除
去する。続いて、第2のバリア絶縁膜27のエッチング
に用いたガスと組成比を変えたCF4+CHF3系の混合
ガスにより第1の塗布絶縁膜26をエッチングし、除去
する。これにより、開口部が形成されて第1のバリア絶
縁膜25が表出する。なお、CF4+CHF3系の混合ガ
スは、CF4+CHF3のほかにAr+O2等を加えて濃
度調整を行ってもよい。
PE-CVD TMS SiO2膜23を形成したときと同じ成膜条件
としたプラズマCVD法により、膜厚約50nmのPE-C
VD TMS SiO2膜からなる第2のバリア絶縁膜(上部保護
層)27を第1の塗布絶縁膜26上に形成する。次い
で、第2のバリア絶縁膜27上に不図示のフォトレジス
ト膜を形成した後、図14(a)に示すように、パター
ニングし、ビアホールを形成すべき領域にフォトレジス
ト膜の開口部を形成する。続いて、CF4+CHF3系の
混合ガスをプラズマ化したものを用いた反応性イオンエ
ッチング(RIE)によりフォトレジスト膜の開口部を
通してまず第2のバリア絶縁膜27をエッチングし、除
去する。続いて、第2のバリア絶縁膜27のエッチング
に用いたガスと組成比を変えたCF4+CHF3系の混合
ガスにより第1の塗布絶縁膜26をエッチングし、除去
する。これにより、開口部が形成されて第1のバリア絶
縁膜25が表出する。なお、CF4+CHF3系の混合ガ
スは、CF4+CHF3のほかにAr+O2等を加えて濃
度調整を行ってもよい。
【0083】その後、フォトレジスト膜をアッシングす
る。次に、上記第2のバリア絶縁膜27のエッチングに
用いたガスと同じ組成比を有するCF4+CHF3系の混
合ガスをプラズマ化したものを用いた反応性イオンエッ
チング(RIE)により、第2のバリア絶縁膜27の開
口部及び第1の塗布絶縁膜26の開口部を通して第1の
バリア絶縁膜25をエッチングし、除去する。これによ
り、第1のビアホール28が形成されてその底部に第1
の配線24が表出する。このとき、上記第1のバリア絶
縁膜25のエッチングガスに対して第1の配線24はエ
ッチング耐性を有する。従って、第1の配線24がエッ
チングガスによる悪影響を受けない。なお、第1の配線
24の表面が酸化された場合、レジスト膜のアッシング
工程を経て第1のバリア絶縁膜25のエッチング工程の
後に還元性ガス、例えばNH3や、アルゴン、窒素等の
不活性ガスで希釈した水素のプラズマに曝して除去す
る。
る。次に、上記第2のバリア絶縁膜27のエッチングに
用いたガスと同じ組成比を有するCF4+CHF3系の混
合ガスをプラズマ化したものを用いた反応性イオンエッ
チング(RIE)により、第2のバリア絶縁膜27の開
口部及び第1の塗布絶縁膜26の開口部を通して第1の
バリア絶縁膜25をエッチングし、除去する。これによ
り、第1のビアホール28が形成されてその底部に第1
の配線24が表出する。このとき、上記第1のバリア絶
縁膜25のエッチングガスに対して第1の配線24はエ
ッチング耐性を有する。従って、第1の配線24がエッ
チングガスによる悪影響を受けない。なお、第1の配線
24の表面が酸化された場合、レジスト膜のアッシング
工程を経て第1のバリア絶縁膜25のエッチング工程の
後に還元性ガス、例えばNH3や、アルゴン、窒素等の
不活性ガスで希釈した水素のプラズマに曝して除去す
る。
【0084】次いで、フォトレジスト膜を除去した後、
第1のビアホール28内に導電膜を埋め込む。続いて、
導電膜を通して第1の配線24と接続するように銅又は
アルミニウムからなる第2の配線29を形成する。な
お、第2の配線29が銅配線の場合には、第1のビアホ
ール28内及び第2のバリア絶縁膜27上に、例えば窒
化タンタル(TaN)等のバリア金属膜とスパッタ法に
より形成した銅膜とからなる下地導電膜を敷き、この上
に銅からなる導電膜を堆積することになる。
第1のビアホール28内に導電膜を埋め込む。続いて、
導電膜を通して第1の配線24と接続するように銅又は
アルミニウムからなる第2の配線29を形成する。な
お、第2の配線29が銅配線の場合には、第1のビアホ
ール28内及び第2のバリア絶縁膜27上に、例えば窒
化タンタル(TaN)等のバリア金属膜とスパッタ法に
より形成した銅膜とからなる下地導電膜を敷き、この上
に銅からなる導電膜を堆積することになる。
【0085】次に、図13(a)乃至図14(a)に示
す工程を繰り返して、膜厚約50nmのPE-CVD TMS SiO
2膜からなる第3のバリア絶縁膜(下部保護層)30
と、図13(b)の塗布方法と同じ材料及び条件によ
り、第3のバリア絶縁膜30上に形成された低誘電率を
有する膜厚約500乃至1000nmの第2の塗布絶縁
膜31と、膜厚約50nmのPE-CVD TMS SiO2膜からな
る第4のバリア絶縁膜(上部保護層)32とを順に形成
する。続いて、第4のバリア絶縁膜32、第2の塗布絶
縁膜31、及び第3のバリア絶縁膜30を貫通する第2
のビアホール33を形成する。続いて、第2のビアホー
ル33を通して第2の配線29と接続する第3の配線3
4を第4のバリア絶縁膜32上に形成する。
す工程を繰り返して、膜厚約50nmのPE-CVD TMS SiO
2膜からなる第3のバリア絶縁膜(下部保護層)30
と、図13(b)の塗布方法と同じ材料及び条件によ
り、第3のバリア絶縁膜30上に形成された低誘電率を
有する膜厚約500乃至1000nmの第2の塗布絶縁
膜31と、膜厚約50nmのPE-CVD TMS SiO2膜からな
る第4のバリア絶縁膜(上部保護層)32とを順に形成
する。続いて、第4のバリア絶縁膜32、第2の塗布絶
縁膜31、及び第3のバリア絶縁膜30を貫通する第2
のビアホール33を形成する。続いて、第2のビアホー
ル33を通して第2の配線29と接続する第3の配線3
4を第4のバリア絶縁膜32上に形成する。
【0086】その後、第3の配線34を被覆して膜厚約
50nmのPE-CVD TMS SiO2膜からなる第5のバリア絶
縁膜(下部保護層)35をこの発明のプラズマCVD法
により形成する。続いて、図13(b)の塗布方法と同
じ材料及び条件により第5のバリア絶縁膜35上に低誘
電率を有する膜厚約500乃至1000nmの第3の塗
布絶縁膜36を形成する。
50nmのPE-CVD TMS SiO2膜からなる第5のバリア絶
縁膜(下部保護層)35をこの発明のプラズマCVD法
により形成する。続いて、図13(b)の塗布方法と同
じ材料及び条件により第5のバリア絶縁膜35上に低誘
電率を有する膜厚約500乃至1000nmの第3の塗
布絶縁膜36を形成する。
【0087】以上により、図14(b)に示すように第
1の配線24と接続する第2の配線29、及び第2の配
線29と接続する第3の配線34の形成が完了する。第
3の実施の形態によれば、低誘電率を有する第1の塗布
絶縁膜26がPE-CVDTMS SiO2膜からなる第1のバリア絶
縁膜25とPE-CVD TMS SiO2膜からなる第2のバリア絶
縁膜27とによって上下面を被覆され、同じく低誘電率
を有する第2の塗布絶縁膜31がPE-CVD TMS SiO2膜か
らなる第3のバリア絶縁膜30とPE-CVD TMS SiO2膜か
らなる第4のバリア絶縁膜32とによって上下面を被覆
されている。ところで、この発明が適用されるPE-CVD T
MS SiO2膜は、第2の実施の形態の調査結果に示すよう
に、緻密で、耐水性に優れ、膜中の水分含有量が少ない
というシリコン窒化膜と同等な性質を有する。
1の配線24と接続する第2の配線29、及び第2の配
線29と接続する第3の配線34の形成が完了する。第
3の実施の形態によれば、低誘電率を有する第1の塗布
絶縁膜26がPE-CVDTMS SiO2膜からなる第1のバリア絶
縁膜25とPE-CVD TMS SiO2膜からなる第2のバリア絶
縁膜27とによって上下面を被覆され、同じく低誘電率
を有する第2の塗布絶縁膜31がPE-CVD TMS SiO2膜か
らなる第3のバリア絶縁膜30とPE-CVD TMS SiO2膜か
らなる第4のバリア絶縁膜32とによって上下面を被覆
されている。ところで、この発明が適用されるPE-CVD T
MS SiO2膜は、第2の実施の形態の調査結果に示すよう
に、緻密で、耐水性に優れ、膜中の水分含有量が少ない
というシリコン窒化膜と同等な性質を有する。
【0088】従って、第1及び第2の塗布絶縁膜26、
31中に外来の水分が侵入するのを防止することができ
る。また、当初から第1及び第2の塗布絶縁膜26、3
1中に水分が含まれていた場合、その水分が第1及び第
2の塗布絶縁膜26、31の周辺部に流出するのを防止
することができる。このため、第1及び第2の塗布絶縁
膜26、31の水分含有量に依存する比誘電率の変動を
抑制することができる。
31中に外来の水分が侵入するのを防止することができ
る。また、当初から第1及び第2の塗布絶縁膜26、3
1中に水分が含まれていた場合、その水分が第1及び第
2の塗布絶縁膜26、31の周辺部に流出するのを防止
することができる。このため、第1及び第2の塗布絶縁
膜26、31の水分含有量に依存する比誘電率の変動を
抑制することができる。
【0089】さらに、PE-CVD TMS SiO2膜は、緻密性と
いう点でシリコン窒化膜と同等な性質を有するが、シリ
コン窒化膜と大きく異なり、比誘電率が小さいという性
質を有する。従って、層間絶縁膜として用いても、より
低比誘電率化を図ることができる。特に、第1の塗布絶
縁膜26の上面及び下面を保護する第1及び第2のバリ
ア絶縁膜25、27として用いることにより、これらの
膜から構成される第1の層間絶縁膜全体としてより低比
誘電率化を図ることができる。同様に、第2の塗布絶縁
膜31の上面及び下面を保護する第3及び第4のバリア
絶縁膜30、32として用いることにより、これらの膜
から構成される第2の層間絶縁膜全体としてより低比誘
電率化を図ることができる。
いう点でシリコン窒化膜と同等な性質を有するが、シリ
コン窒化膜と大きく異なり、比誘電率が小さいという性
質を有する。従って、層間絶縁膜として用いても、より
低比誘電率化を図ることができる。特に、第1の塗布絶
縁膜26の上面及び下面を保護する第1及び第2のバリ
ア絶縁膜25、27として用いることにより、これらの
膜から構成される第1の層間絶縁膜全体としてより低比
誘電率化を図ることができる。同様に、第2の塗布絶縁
膜31の上面及び下面を保護する第3及び第4のバリア
絶縁膜30、32として用いることにより、これらの膜
から構成される第2の層間絶縁膜全体としてより低比誘
電率化を図ることができる。
【0090】また、第1乃至第3の配線24、29、3
4の周辺部がそれぞれ下地保護層23と第1のバリア絶
縁膜25、第2のバリア絶縁膜27と第3のバリア絶縁
膜30、第4のバリア絶縁膜32と第5のバリア絶縁膜
35により包まれている。このため、外来の水分の浸入
を防止して第1乃至第3の配線24、29、34が腐食
するのを防止することができる。
4の周辺部がそれぞれ下地保護層23と第1のバリア絶
縁膜25、第2のバリア絶縁膜27と第3のバリア絶縁
膜30、第4のバリア絶縁膜32と第5のバリア絶縁膜
35により包まれている。このため、外来の水分の浸入
を防止して第1乃至第3の配線24、29、34が腐食
するのを防止することができる。
【0091】特に、下地保護層23もこの発明が適用さ
れるPE-CVD TMS SiO2膜で形成されているため、第1の
配線24はPE-CVD TMS SiO2膜によって周辺部がすべて
保護される。これにより、第1の配線24のすべての周
辺部からの水分の浸透を防止して第1の配線24が腐食
するのをより一層防止することができる。なお、上記第
3の実施の形態では、下地保護層23としてこの発明が
適用されるプラズマCVD法により成膜したPE-CVD TMS
SiO2膜を用いているが、シリコン基板22を酸素を含
む雰囲気中で加熱して酸化し、形成した熱酸化膜や有機
シリコン含有ガスを用いてCVD法により形成したNS
G膜、BPSG膜(Boro-Phospho-Silicate Glass膜)
等を用いることができる。
れるPE-CVD TMS SiO2膜で形成されているため、第1の
配線24はPE-CVD TMS SiO2膜によって周辺部がすべて
保護される。これにより、第1の配線24のすべての周
辺部からの水分の浸透を防止して第1の配線24が腐食
するのをより一層防止することができる。なお、上記第
3の実施の形態では、下地保護層23としてこの発明が
適用されるプラズマCVD法により成膜したPE-CVD TMS
SiO2膜を用いているが、シリコン基板22を酸素を含
む雰囲気中で加熱して酸化し、形成した熱酸化膜や有機
シリコン含有ガスを用いてCVD法により形成したNS
G膜、BPSG膜(Boro-Phospho-Silicate Glass膜)
等を用いることができる。
【0092】(第4の実施の形態)図15(a)〜
(c)、及び図16を参照して、本発明の第4の実施の
形態に係る半導体装置及びその製造方法について説明す
る。図16は、本発明の第4の実施の形態に係る半導体
装置について示す断面図である。
(c)、及び図16を参照して、本発明の第4の実施の
形態に係る半導体装置及びその製造方法について説明す
る。図16は、本発明の第4の実施の形態に係る半導体
装置について示す断面図である。
【0093】第3の実施の形態と異なるところは、第1
及び第2のビアホール28、33内の側壁がこの発明が
適用されるPE-CVD TMS SiO2膜37、38によって覆わ
れており、第1及び第2のビアホール28、33内に第
1の塗布絶縁膜26、31が露出していない点である。
この発明が適用されるPE-CVD TMS SiO2膜37、38
は、赤外線の吸収強度のピークが波数2270乃至23
50cm-1の範囲にあり、膜密度が2.25乃至2.4
0g/cm3の範囲に有り、かつ比誘電率が3.3乃至
4.3の範囲にある。
及び第2のビアホール28、33内の側壁がこの発明が
適用されるPE-CVD TMS SiO2膜37、38によって覆わ
れており、第1及び第2のビアホール28、33内に第
1の塗布絶縁膜26、31が露出していない点である。
この発明が適用されるPE-CVD TMS SiO2膜37、38
は、赤外線の吸収強度のピークが波数2270乃至23
50cm-1の範囲にあり、膜密度が2.25乃至2.4
0g/cm3の範囲に有り、かつ比誘電率が3.3乃至
4.3の範囲にある。
【0094】次に、上記構造を実現するための製造方法
について説明する。図15(a)〜(c)、及び図16
は、本発明の第4の実施の形態に係る半導体装置の製造
方法を示す断面図である。この発明が適用される下部保
護層及び上部保護層の他に、側壁保護層を形成するため
の成膜ガスとしてTMS+N2Oを用いている。上記構
造を実現するために、図13(c)に示す工程の後に、
図15(a)に示すように、第1のビアホール28を形
成し、その後、図15(b)に示すように、第1のビア
ホール28を被覆するように、第2のバリア絶縁膜(上
部保護層)27上にこの発明が適用される膜厚約50n
mのPE-CVD TMS SiO2膜37aを形成する。続いて、図
15(c)に示すように、PE-CVD TMS SiO2膜37aを
異方性エッチングすることにより第1のビアホール28
内の側壁にPE-CVD TMS SiO 2膜(側壁保護層)37を残
すようにする。
について説明する。図15(a)〜(c)、及び図16
は、本発明の第4の実施の形態に係る半導体装置の製造
方法を示す断面図である。この発明が適用される下部保
護層及び上部保護層の他に、側壁保護層を形成するため
の成膜ガスとしてTMS+N2Oを用いている。上記構
造を実現するために、図13(c)に示す工程の後に、
図15(a)に示すように、第1のビアホール28を形
成し、その後、図15(b)に示すように、第1のビア
ホール28を被覆するように、第2のバリア絶縁膜(上
部保護層)27上にこの発明が適用される膜厚約50n
mのPE-CVD TMS SiO2膜37aを形成する。続いて、図
15(c)に示すように、PE-CVD TMS SiO2膜37aを
異方性エッチングすることにより第1のビアホール28
内の側壁にPE-CVD TMS SiO 2膜(側壁保護層)37を残
すようにする。
【0095】次いで、図16に示すように、導電膜を通
して第1の配線24と接続するように銅又はアルミニウ
ムからなる第2の配線29を形成する。その後、上記の
工程を繰り返して、第2の塗布絶縁膜31とその上下面
を被覆する膜厚約50nmの第3のバリア絶縁膜(下部
保護層)30及び第4のバリア絶縁膜(上部保護層)3
2とからなる層間絶縁膜と、その層間絶縁膜を貫通する
第2のビアホール33と、第2のビアホール33の側壁
を覆う膜厚約50nmのPE-CVD TMS SiO2膜からなる第
6のバリア絶縁膜(側壁保護層)38と、第2のビアホ
ール33を通して第2の配線29と接続する第3の配線
34と、第3の配線34を被覆する膜厚約50nmのPE
-CVD TMS SiO2膜からなる第5のバリア絶縁膜(下部保
護層)35と、第3の塗布絶縁膜36とを形成する。
して第1の配線24と接続するように銅又はアルミニウ
ムからなる第2の配線29を形成する。その後、上記の
工程を繰り返して、第2の塗布絶縁膜31とその上下面
を被覆する膜厚約50nmの第3のバリア絶縁膜(下部
保護層)30及び第4のバリア絶縁膜(上部保護層)3
2とからなる層間絶縁膜と、その層間絶縁膜を貫通する
第2のビアホール33と、第2のビアホール33の側壁
を覆う膜厚約50nmのPE-CVD TMS SiO2膜からなる第
6のバリア絶縁膜(側壁保護層)38と、第2のビアホ
ール33を通して第2の配線29と接続する第3の配線
34と、第3の配線34を被覆する膜厚約50nmのPE
-CVD TMS SiO2膜からなる第5のバリア絶縁膜(下部保
護層)35と、第3の塗布絶縁膜36とを形成する。
【0096】以上、第4の実施の形態によれば、第1及
び第2のビアホール28、33内も含めてPE-CVD TMS S
iO2膜25、27、37、及び30、32、38によっ
て第1及び第2の塗布絶縁膜26、31が完全に保護さ
れている。従って、第3の実施の形態で説明した作用・
効果に加えて、第1及び第2の塗布絶縁膜26、31へ
の水分の侵入及び第1及び第2の塗布絶縁膜26、31
から周辺部への水分の流出をより一層防止することがで
きる。
び第2のビアホール28、33内も含めてPE-CVD TMS S
iO2膜25、27、37、及び30、32、38によっ
て第1及び第2の塗布絶縁膜26、31が完全に保護さ
れている。従って、第3の実施の形態で説明した作用・
効果に加えて、第1及び第2の塗布絶縁膜26、31へ
の水分の侵入及び第1及び第2の塗布絶縁膜26、31
から周辺部への水分の流出をより一層防止することがで
きる。
【0097】これにより、層間絶縁膜の比誘電率の経時
変動や、層間絶縁膜の上下の配線24、29、34の腐
食を防止することができる。 (第5の実施の形態)図17はこの発明の第5の実施の
形態である半導体装置及びその製造方法について示す断
面図である。
変動や、層間絶縁膜の上下の配線24、29、34の腐
食を防止することができる。 (第5の実施の形態)図17はこの発明の第5の実施の
形態である半導体装置及びその製造方法について示す断
面図である。
【0098】この半導体装置は、図17に示すように、
基板61上に保護層と配線群と層間絶縁膜或いはカバー
絶縁膜とを一組とする積層構造が4段積層されているよ
うな構造を有する。即ち、この半導体装置は、この発明
に係るPE-CVD TMS SiO2膜からなる第1乃至第4の保護
層62、65、68、71の上にそれぞれ、第1の配線
群63a乃至63d、第2の配線群66a乃至66c、
第3の配線群69a乃至69d、第4の配線群72a乃
至72cを有する。配線群63a乃至63d、66a乃
至66c、69a乃至69d、72a乃至72cのうち
隣接する2つの配線群の間にそれぞれ、塗布絶縁膜から
なる層間絶縁膜64、67、70が介在する。配線群7
2a乃至72c上にカバー絶縁膜73が形成されてな
る。以下の説明では、説明をわかり易くするため、配線
群の符号を省略する場合がある。
基板61上に保護層と配線群と層間絶縁膜或いはカバー
絶縁膜とを一組とする積層構造が4段積層されているよ
うな構造を有する。即ち、この半導体装置は、この発明
に係るPE-CVD TMS SiO2膜からなる第1乃至第4の保護
層62、65、68、71の上にそれぞれ、第1の配線
群63a乃至63d、第2の配線群66a乃至66c、
第3の配線群69a乃至69d、第4の配線群72a乃
至72cを有する。配線群63a乃至63d、66a乃
至66c、69a乃至69d、72a乃至72cのうち
隣接する2つの配線群の間にそれぞれ、塗布絶縁膜から
なる層間絶縁膜64、67、70が介在する。配線群7
2a乃至72c上にカバー絶縁膜73が形成されてな
る。以下の説明では、説明をわかり易くするため、配線
群の符号を省略する場合がある。
【0099】保護層62、65、68、71を構成す
る、この発明に係るシリコン含有絶縁膜は、赤外線の吸
収強度のピークが波数2270乃至2350cm-1の範
囲にあり、膜密度が2.25乃至2.40g/cm3の
範囲に有り、かつ比誘電率が3.3乃至4.3の範囲に
ある。以上のように、第5の実施の形態によれば、配線
群63a乃至63d、66a乃至66c、69a乃至6
9d、72a乃至72cの間にこの発明に係る上記特性
を有する保護層65、68、71が介在している。
る、この発明に係るシリコン含有絶縁膜は、赤外線の吸
収強度のピークが波数2270乃至2350cm-1の範
囲にあり、膜密度が2.25乃至2.40g/cm3の
範囲に有り、かつ比誘電率が3.3乃至4.3の範囲に
ある。以上のように、第5の実施の形態によれば、配線
群63a乃至63d、66a乃至66c、69a乃至6
9d、72a乃至72cの間にこの発明に係る上記特性
を有する保護層65、68、71が介在している。
【0100】保護層65、68、71自体は緻密で、耐
水性を有するため、外来の水分の侵入を阻止し、またリ
ーク電流の流れを阻止する機能を有する。したがって、
外来の水分による配線群63a乃至63d、66a乃至
66c、69a乃至69d、72a乃至72cの腐食を
防止するとともに、配線群63a乃至63d、66a乃
至66c、69a乃至69d、72a乃至72cの間の
リーク電流を抑制することができる。
水性を有するため、外来の水分の侵入を阻止し、またリ
ーク電流の流れを阻止する機能を有する。したがって、
外来の水分による配線群63a乃至63d、66a乃至
66c、69a乃至69d、72a乃至72cの腐食を
防止するとともに、配線群63a乃至63d、66a乃
至66c、69a乃至69d、72a乃至72cの間の
リーク電流を抑制することができる。
【0101】また、保護層65、68、71は層間絶縁
膜64,67,70及びカバー絶縁膜73と接触するよ
うに形成されている。保護層65、68、71であるPE
-CVDTMS SiO2 膜は、層間絶縁膜64,67,70及び
カバー絶縁膜73である塗布絶縁膜との密着性がよいた
め、膜剥がれを防止することができる。さらに、層間絶
縁膜として塗布絶縁膜64,67,70を用いているの
で、平坦性に優れた層間絶縁膜を得ることができる。以
下に、その製造方法について説明する。
膜64,67,70及びカバー絶縁膜73と接触するよ
うに形成されている。保護層65、68、71であるPE
-CVDTMS SiO2 膜は、層間絶縁膜64,67,70及び
カバー絶縁膜73である塗布絶縁膜との密着性がよいた
め、膜剥がれを防止することができる。さらに、層間絶
縁膜として塗布絶縁膜64,67,70を用いているの
で、平坦性に優れた層間絶縁膜を得ることができる。以
下に、その製造方法について説明する。
【0102】図17に示すように、まず、基板61上に
この発明が適用される膜厚200nmのPE-CVD TMS SiO
2膜からなる第1の保護層(第1のバリア絶縁膜)62
を形成する。なお、基板61として半導体基板自体、或
いは半導体基板上に下地絶縁膜と配線とが形成されたも
のを用いることができる。次いで、第1のバリア絶縁膜
62上に第1の配線群63a乃至63dを形成し、続い
て、第3及び第4の実施の形態と同じ材料及び成膜方法
を用いて第1の配線群63a乃至63dを被覆して第1
の塗布絶縁膜64を形成する。
この発明が適用される膜厚200nmのPE-CVD TMS SiO
2膜からなる第1の保護層(第1のバリア絶縁膜)62
を形成する。なお、基板61として半導体基板自体、或
いは半導体基板上に下地絶縁膜と配線とが形成されたも
のを用いることができる。次いで、第1のバリア絶縁膜
62上に第1の配線群63a乃至63dを形成し、続い
て、第3及び第4の実施の形態と同じ材料及び成膜方法
を用いて第1の配線群63a乃至63dを被覆して第1
の塗布絶縁膜64を形成する。
【0103】次に、第1の塗布絶縁膜64上にこの発明
が適用される膜厚約50nmの第2のPE-CVD TMS SiO2
膜からなる第2の保護層(第2のバリア絶縁膜)65を
形成する。第2のバリア絶縁膜65上に第2の配線群6
6a乃至66cを形成した後、第3及び第4の実施の形
態と同じ材料及び成膜方法を用いて第2の配線群66a
乃至66cを被覆して第2の塗布絶縁膜67を形成す
る。
が適用される膜厚約50nmの第2のPE-CVD TMS SiO2
膜からなる第2の保護層(第2のバリア絶縁膜)65を
形成する。第2のバリア絶縁膜65上に第2の配線群6
6a乃至66cを形成した後、第3及び第4の実施の形
態と同じ材料及び成膜方法を用いて第2の配線群66a
乃至66cを被覆して第2の塗布絶縁膜67を形成す
る。
【0104】その後、上記PE-CVD TMS SiO2膜の形成工
程と配線の作成工程と塗布絶縁膜の形成工程とを順次2
回繰り返すことにより、第2の塗布絶縁膜67上に膜厚
約50nmのPE-CVD TMS SiO2膜からなる第3の保護層
(第3のバリア絶縁膜)68と、第3の配線群69a乃
至69dと、第3の塗布絶縁膜70と、膜厚約50nm
のPE-CVD TMS SiO2膜からなる第4の保護層(第4のバ
リア絶縁膜)71と、第4の配線群72a乃至72c
と、第4の塗布絶縁膜73とを順に形成する。
程と配線の作成工程と塗布絶縁膜の形成工程とを順次2
回繰り返すことにより、第2の塗布絶縁膜67上に膜厚
約50nmのPE-CVD TMS SiO2膜からなる第3の保護層
(第3のバリア絶縁膜)68と、第3の配線群69a乃
至69dと、第3の塗布絶縁膜70と、膜厚約50nm
のPE-CVD TMS SiO2膜からなる第4の保護層(第4のバ
リア絶縁膜)71と、第4の配線群72a乃至72c
と、第4の塗布絶縁膜73とを順に形成する。
【0105】これにより、各配線群63a乃至63d、
66a乃至66c、69a乃至69d、72a乃至72
cが塗布絶縁膜64,67,70と保護層65、68、
71によって絶縁分離された、全体で4層の多層配線群
63a乃至63d、66a乃至66c、69a乃至69
d、72a乃至72cを有する半導体集積回路装置が作
成される。
66a乃至66c、69a乃至69d、72a乃至72
cが塗布絶縁膜64,67,70と保護層65、68、
71によって絶縁分離された、全体で4層の多層配線群
63a乃至63d、66a乃至66c、69a乃至69
d、72a乃至72cを有する半導体集積回路装置が作
成される。
【0106】以上のように、第5の実施の形態によれ
ば、主たる層間絶縁膜として塗布絶縁膜64、67、7
0を用いているので、平坦性に優れた層間絶縁膜を得る
ことができる。また、配線群63a乃至63d、66a
乃至66c、69a乃至69d、72a乃至72cの間
に保護層65、68、71が介在している。
ば、主たる層間絶縁膜として塗布絶縁膜64、67、7
0を用いているので、平坦性に優れた層間絶縁膜を得る
ことができる。また、配線群63a乃至63d、66a
乃至66c、69a乃至69d、72a乃至72cの間
に保護層65、68、71が介在している。
【0107】保護層65、68、71自体は緻密で、耐
水性を有するため、外来の水分の侵入を阻止し、またリ
ーク電流の流れを阻止する機能を有する。したがって、
外来の水分による配線群63a乃至63d、66a乃至
66c、69a乃至69d、72a乃至72cの腐食を
防止するとともに、配線群63a乃至63d、66a乃
至66c、69a乃至69d、72a乃至72c間のリ
ーク電流を抑制することができる。
水性を有するため、外来の水分の侵入を阻止し、またリ
ーク電流の流れを阻止する機能を有する。したがって、
外来の水分による配線群63a乃至63d、66a乃至
66c、69a乃至69d、72a乃至72cの腐食を
防止するとともに、配線群63a乃至63d、66a乃
至66c、69a乃至69d、72a乃至72c間のリ
ーク電流を抑制することができる。
【0108】以上、実施の形態によりこの発明を詳細に
説明したが、この発明の範囲は上記実施の形態に具体的
に示した例に限られるものではなく、この発明の要旨を
逸脱しない範囲の上記実施の形態の変更はこの発明の範
囲に含まれる。例えば、低周波電力供給源8の周波数
は、上記の380kHzに限られず、100kHz乃至
1MHzの周波数でも上記と同様の効果が得られる。そ
して、高周波電力供給源7の周波数も、上記の13.5
6MHzに限られず、1MHzの周波数でも上記と同様
の効果が得られる。
説明したが、この発明の範囲は上記実施の形態に具体的
に示した例に限られるものではなく、この発明の要旨を
逸脱しない範囲の上記実施の形態の変更はこの発明の範
囲に含まれる。例えば、低周波電力供給源8の周波数
は、上記の380kHzに限られず、100kHz乃至
1MHzの周波数でも上記と同様の効果が得られる。そ
して、高周波電力供給源7の周波数も、上記の13.5
6MHzに限られず、1MHzの周波数でも上記と同様
の効果が得られる。
【0109】
【発明の効果】以上のように、本発明によれば、基板上
に塗布絶縁膜を形成した後、Si−H結合を有するアル
コキシ化合物、又はSi−H結合を有するシロキサン
と、O2、N2O、NO2、CO、CO2、又はH2Oのう
ち何れか一の酸素含有ガスとからなる成膜ガスをプラズ
マ化し、反応させて、塗布絶縁膜を被覆するシリコン含
有絶縁膜からなる保護層を形成している。
に塗布絶縁膜を形成した後、Si−H結合を有するアル
コキシ化合物、又はSi−H結合を有するシロキサン
と、O2、N2O、NO2、CO、CO2、又はH2Oのう
ち何れか一の酸素含有ガスとからなる成膜ガスをプラズ
マ化し、反応させて、塗布絶縁膜を被覆するシリコン含
有絶縁膜からなる保護層を形成している。
【0110】また、保護層であるこの発明に係るシリコ
ン含有絶縁膜は、赤外線の吸収強度のピークが波数22
70乃至2350cm-1の範囲にあり、膜密度が2.2
5乃至2.40g/cm3の範囲に有り、かつ比誘電率
が3.3乃至4.3の範囲にある。このように形成さ
れ、上記特性を有する保護層は、塗布絶縁膜との密着性
が良く、シリコン窒化膜と同じくらい緻密で、耐水性に
優れ、さらに膜中の水分含有量が少ないため、塗布絶縁
膜とそれを被覆する保護層とを形成することにより、外
から塗布絶縁膜への水分の侵入及び塗布絶縁膜から外へ
の水分の流出に対するバリア性がより完全で、かつ平坦
性に優れた被覆絶縁膜を得ることができる。
ン含有絶縁膜は、赤外線の吸収強度のピークが波数22
70乃至2350cm-1の範囲にあり、膜密度が2.2
5乃至2.40g/cm3の範囲に有り、かつ比誘電率
が3.3乃至4.3の範囲にある。このように形成さ
れ、上記特性を有する保護層は、塗布絶縁膜との密着性
が良く、シリコン窒化膜と同じくらい緻密で、耐水性に
優れ、さらに膜中の水分含有量が少ないため、塗布絶縁
膜とそれを被覆する保護層とを形成することにより、外
から塗布絶縁膜への水分の侵入及び塗布絶縁膜から外へ
の水分の流出に対するバリア性がより完全で、かつ平坦
性に優れた被覆絶縁膜を得ることができる。
【0111】また、上記保護層は、上記性質を有する他
にシリコン窒化膜に比べて比誘電率が小さいため、配線
層の間の主たる層間絶縁膜である塗布絶縁膜の上下面を
被覆してこの発明に係る保護層を形成することにより、
塗布絶縁膜への水分の侵入及び流出に対するバリア性、
及びリーク電流等に対するバリア性がより完全で、しか
も全体として誘電率が低い層間絶縁膜を得ることができ
る。また、この発明の上記特性を有するシリコン含有絶
縁膜は塗布絶縁膜との密着性がよく、機械的強度が高い
ため、外部からの機械的な衝撃に対しても膜剥がれ等積
層構造の破壊を防止することができる。
にシリコン窒化膜に比べて比誘電率が小さいため、配線
層の間の主たる層間絶縁膜である塗布絶縁膜の上下面を
被覆してこの発明に係る保護層を形成することにより、
塗布絶縁膜への水分の侵入及び流出に対するバリア性、
及びリーク電流等に対するバリア性がより完全で、しか
も全体として誘電率が低い層間絶縁膜を得ることができ
る。また、この発明の上記特性を有するシリコン含有絶
縁膜は塗布絶縁膜との密着性がよく、機械的強度が高い
ため、外部からの機械的な衝撃に対しても膜剥がれ等積
層構造の破壊を防止することができる。
【図1】本発明の第1の実施の形態である成膜方法に用
いられるプラズマCVD成膜装置の構成を示す側面図で
ある。
いられるプラズマCVD成膜装置の構成を示す側面図で
ある。
【図2】本発明の実施の形態である成膜方法により作成
された絶縁膜の特性調査に用いた試料及び比較試料の構
成を示す断面図(その1)である。
された絶縁膜の特性調査に用いた試料及び比較試料の構
成を示す断面図(その1)である。
【図3】本発明の実施の形態である成膜方法により作成
された絶縁膜の特性調査に用いた試料及び比較試料の構
成を示す断面図(その2)である。
された絶縁膜の特性調査に用いた試料及び比較試料の構
成を示す断面図(その2)である。
【図4】本発明の第2の実施の形態である成膜方法によ
り作成されたシリコン含有絶縁膜の膜密度について、図
2(a)に示す試料を用いて調査した結果を示す表であ
る。
り作成されたシリコン含有絶縁膜の膜密度について、図
2(a)に示す試料を用いて調査した結果を示す表であ
る。
【図5】本発明の第2の実施の形態である成膜方法によ
り作成されたシリコン含有絶縁膜中の水分含有量及び耐
水性について、図2(a)に示す試料を用いて調査した
結果を示すグラフである。
り作成されたシリコン含有絶縁膜中の水分含有量及び耐
水性について、図2(a)に示す試料を用いて調査した
結果を示すグラフである。
【図6】本発明の第2の実施の形態である成膜方法によ
り作成されたシリコン含有絶縁膜の赤外線吸収強度を、
図2(a)に示す試料を用いて調査した結果を示すグラ
フである。
り作成されたシリコン含有絶縁膜の赤外線吸収強度を、
図2(a)に示す試料を用いて調査した結果を示すグラ
フである。
【図7】図2(a)に示す比較試料を用いてシリコン含
有絶縁膜の赤外線吸収強度を調査した結果を示すグラフ
である。
有絶縁膜の赤外線吸収強度を調査した結果を示すグラフ
である。
【図8】本発明の第2の実施の形態である成膜方法によ
り作成されたシリコン含有絶縁膜の耐水性について、図
2(b)に示す試料を用いて調査した結果を示すグラフ
である。
り作成されたシリコン含有絶縁膜の耐水性について、図
2(b)に示す試料を用いて調査した結果を示すグラフ
である。
【図9】本発明の第2の実施の形態である成膜方法によ
り作成されたシリコン含有絶縁膜の耐水性について、図
2(b)に示す試料を用いて調査した結果を示すグラフ
である。
り作成されたシリコン含有絶縁膜の耐水性について、図
2(b)に示す試料を用いて調査した結果を示すグラフ
である。
【図10】本発明の第2の実施の形態である成膜方法に
より作成されたシリコン含有絶縁膜の塗布絶縁膜に対す
る密着性について、図2(c)に示す試料を用いて調査
した結果を示す表である。
より作成されたシリコン含有絶縁膜の塗布絶縁膜に対す
る密着性について、図2(c)に示す試料を用いて調査
した結果を示す表である。
【図11】本発明の第2の実施の形態である成膜方法に
より作成されたヒートサイクルによる不良発生率を、図
2(d)の試料を用いて調査した結果を示すグラフであ
る。
より作成されたヒートサイクルによる不良発生率を、図
2(d)の試料を用いて調査した結果を示すグラフであ
る。
【図12】本発明の第2の実施の形態である成膜方法に
より作成されたシリコン含有絶縁膜の銅に対するバリア
性について調査した結果を示すグラフである。
より作成されたシリコン含有絶縁膜の銅に対するバリア
性について調査した結果を示すグラフである。
【図13】本発明の第3の実施の形態である半導体装置
の製造方法について示す断面図(その1)である。
の製造方法について示す断面図(その1)である。
【図14】本発明の第3の実施の形態である半導体装置
の製造方法について示す断面図(その2)である。
の製造方法について示す断面図(その2)である。
【図15】本発明の第4の実施の形態である半導体装置
の製造方法について示す断面図(その1)である。
の製造方法について示す断面図(その1)である。
【図16】本発明の第4の実施の形態である半導体装置
の製造方法について示す断面図(その2)である。
の製造方法について示す断面図(その2)である。
【図17】本発明の第5の実施の形態である半導体装置
の製造方法について示す断面図である。
の製造方法について示す断面図である。
1・・・チャンバ、 2・・・上部電極(第1の電極)、 3・・・下部電極(第2の電極)、 4・・・排気配管、 5・・・開閉バルブ、 6・・・排気装置、 7・・・高周波電力供給電源(RF電源)、 8・・・低周波電力供給電源、 9a・・・配管、 9b〜9f・・・分岐配管、 10a〜10k・・・開閉手段、 11a〜11e・・・流量調整手段、 12・・・ヒータ、 13・・・第1のマッチングボックス、 14・・・第2のマッチングボックス、 20・・・被成膜基板、 22・・・下地基板、 23・・・下地保護層、 24、29、34・・・配線、 25、30・・・下部保護層、 26、31、36、44c・・・塗布絶縁膜、 27、32・・・上部保護層、 28・・・第1のビアホール、 33・・・第2のビアホール、 35・・・保護層、 37、38、42a、42b・・・PE-CVD TMS SiO
2膜、 41・・・シリコン基板、 43・・・BPSG膜、 44a、44b・・・低誘電率絶縁膜、 45・・・電極、 51a、51b、51c、51d、51e・・・PE-CVD
TEOS SiO2膜、 52a、52b・・・PE-CVD SiH4 SiO2膜、 53・・・PE-CVD SiN膜、 61・・・基板、 62・・・第1の保護層、 65・・・第2の保護層、 68・・・第3の保護層、 71・・・第4の保護層、 63a〜63d・・・第1の配線群、 64、67、70・・・層間絶縁膜、 66a〜66c・・・第2の配線群、 69a〜69d・・・第3の配線群、 72a〜72c・・・第4の配線群、 73・・・カバー絶縁膜、 101・・・プラズマ成膜装置、 101A・・・成膜部、 101B・・・成膜ガス供給部。
2膜、 41・・・シリコン基板、 43・・・BPSG膜、 44a、44b・・・低誘電率絶縁膜、 45・・・電極、 51a、51b、51c、51d、51e・・・PE-CVD
TEOS SiO2膜、 52a、52b・・・PE-CVD SiH4 SiO2膜、 53・・・PE-CVD SiN膜、 61・・・基板、 62・・・第1の保護層、 65・・・第2の保護層、 68・・・第3の保護層、 71・・・第4の保護層、 63a〜63d・・・第1の配線群、 64、67、70・・・層間絶縁膜、 66a〜66c・・・第2の配線群、 69a〜69d・・・第3の配線群、 72a〜72c・・・第4の配線群、 73・・・カバー絶縁膜、 101・・・プラズマ成膜装置、 101A・・・成膜部、 101B・・・成膜ガス供給部。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 於久 泰三 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 青木 淳一 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 衣川 貴志 東京都港区三田3−11−28 キヤノン販売 株式会社内 (72)発明者 前田 和夫 東京都港区港南2−13−29 株式会社半導 体プロセス研究所内 Fターム(参考) 4K030 AA01 AA06 AA09 AA13 AA14 AA17 AA18 BA44 CA04 CA12 FA03 JA18 LA15 5F033 HH08 HH11 HH21 HH32 HH33 JJ08 JJ11 JJ21 JJ32 KK08 KK11 MM05 MM08 MM13 NN06 NN07 PP15 QQ09 QQ10 QQ13 QQ37 QQ76 QQ92 QQ94 RR01 RR04 RR09 RR15 RR21 RR25 SS03 SS04 SS15 SS22 TT02 TT04 TT07 WW00 XX12 XX24 XX28 5F058 BA07 BA20 BD01 BD04 BF07 BF23 BF27 BF29 BF46 BJ02 BJ03
Claims (20)
- 【請求項1】シリコン含有無機化合物又はシリコン含有
有機化合物の何れか一を含む塗布液を塗布して塗布絶縁
膜が表面に形成された基板を準備する工程と、 Si−H結合を有するアルコキシ化合物、又はSi−H
結合を有するシロキサンの何れか一と、O2、N2O、N
O2、CO、CO2、又はH2Oの何れか一の酸素含有ガ
スとからなる第1の成膜ガスをプラズマ化し、反応させ
て、前記塗布絶縁膜を被覆する保護層を形成する工程と
を有することを特徴とする半導体装置の製造方法。 - 【請求項2】前記第1の成膜ガスにN2又はH2の何れか
一を添加することを特徴とする請求項1に記載の半導体
装置の製造方法。 - 【請求項3】前記第1の成膜ガスを構成するSi−H結
合を有するアルコキシ化合物は、トリメトキシシラン
(TMS:SiH(OCH3)3)であることを特徴とする請求項
1又は請求項2に記載の半導体装置の製造方法。 - 【請求項4】前記第1の成膜ガスを構成するSi−H結
合を有するシロキサンは、テトラメチルジシロキサン
(TMDSO:(CH3)2HSi-O-SiH(CH3)2)であることを
特徴とする請求項1又は請求項2に記載の半導体装置の
製造方法。 - 【請求項5】プラズマ生成手段として平行平板型の第1
の電極及び第2の電極を用い、かつ前記プラズマ化の際
に、前記第1の電極に周波数1MHz以上の高周波電力
を印加し、かつ前記基板を保持する前記第2の電極に周
波数100kHz乃至1MHzの低周波電力を印加する
ことを特徴とする請求項1乃至請求項4のいずれか一項
に記載の半導体装置の製造方法。 - 【請求項6】前記塗布絶縁膜を形成する工程の前に、前
記基板は、第1の配線と、前記第1の配線を被覆する保
護層とを有してなり、 前記第1の配線を被覆する保護層は、Si−H結合を有
するアルコキシ化合物、又はSi−H結合を有するシロ
キサンの何れか一と、O2、N2O、NO2、CO、C
O2、又はH2Oの何れか一の酸素含有ガスとからなる第
2の成膜ガスをプラズマ化し、反応させて形成されたシ
リコン含有絶縁膜であることを特徴とする請求項1乃至
請求項5のいずれか一項に記載の半導体装置の製造方
法。 - 【請求項7】前記第2の成膜ガスにN2又はH2の何れか
一を添加することを特徴とする請求項6に記載の半導体
装置の製造方法。 - 【請求項8】前記第2の成膜ガスを構成するSi−H結
合を有するアルコキシ化合物は、トリメトキシシラン
(TMS:SiH(OCH3)3)であることを特徴とする請求項
6又は請求項7に記載の半導体装置の製造方法。 - 【請求項9】前記第2の成膜ガスを構成するSi−H結
合を有するシロキサンは、テトラメチルジシロキサン
(TMDSO:(CH3)2HSi-O-SiH(CH3)2)であることを
特徴とする請求項6又は請求項7に記載の半導体装置の
製造方法。 - 【請求項10】プラズマ生成手段として平行平板型の第
1の電極及び第2の電極を用い、かつ前記プラズマ化の
際に、前記第1の電極に周波数1MHz以上の高周波電
力を印加し、かつ前記基板を保持する前記第2の電極に
周波数100kHz乃至1MHzの低周波電力を印加す
ることを特徴とする請求項6乃至請求項9のいずれか一
項に記載の半導体装置の製造方法。 - 【請求項11】前記塗布絶縁膜を被覆する保護層を形成
する工程の後に、 前記塗布絶縁膜を被覆する保護層と、前記塗布絶縁膜
と、前記第1の配線を被覆する保護層とに開口部を形成
する工程と、 前記開口部を通して前記第1の配線と接続する第2の配
線を形成する工程とを有することを特徴とする請求項6
乃至請求項10のいずれか一項に記載の半導体装置の製
造方法。 - 【請求項12】前記第2の配線を形成する工程の後に、 Si−H結合を有するアルコキシ化合物、又はSi−H
結合を有するシロキサンの何れか一と、O2、N2O、N
O2、CO、CO2、又はH2Oの何れか一の酸素含有ガ
スとからなる第3の成膜ガスをプラズマ化し、反応させ
て、前記第2の配線を被覆する保護層を形成する工程を
有することを特徴とする請求項11記載の半導体装置の
製造方法。 - 【請求項13】前記第3の成膜ガスにN2又はH2の何れ
か一を添加することを特徴とする請求項12記載の半導
体装置の製造方法。 - 【請求項14】前記第3の成膜ガスを構成するSi−H
結合を有するアルコキシ化合物は、トリメトキシシラン
(TMS:SiH(OCH3)3)であることを特徴とする請求項
12又は請求項13に記載の半導体装置の製造方法。 - 【請求項15】前記第3の成膜ガスを構成するSi−H
結合を有するシロキサンは、テトラメチルジシロキサン
(TMDSO:(CH3)2HSi-O-SiH(CH3)2)であることを
特徴とする請求項12又は請求項13に記載の半導体装
置の製造方法。 - 【請求項16】プラズマ生成手段として平行平板型の第
1の電極及び第2の電極を用い、かつ前記プラズマ化の
際に、前記第1の電極に周波数1MHz以上の高周波電
力を印加を印加し、かつ前記基板を保持する前記第2の
電極に周波数100kHz乃至1MHzの低周波電力を
印加することを特徴とする請求項12乃至請求項15の
いずれか一項に記載の半導体装置の製造方法。 - 【請求項17】基板上に積層されたシリコン含有有機物
又はシリコン含有無機物のうち少なくとも何れか一を含
む塗布絶縁膜と、前記塗布絶縁膜上に積層された保護層
とを有してなり、 前記保護層は、赤外線の吸収強度のピークが波数227
0乃至2350cm-1の範囲にあり、膜密度が2.25
乃至2.40g/cm3の範囲に有り、かつ比誘電率が
3.3乃至4.3の範囲にあるシリコン含有絶縁膜から
なることを特徴とする半導体装置。 - 【請求項18】前記基板は、表面に第1の配線と、該第
1の配線と接するように該第1の配線を被覆する保護層
とを有し、 前記第1の配線を被覆する保護層は、赤外線の吸収強度
のピークが波数2270乃至2350cm-1の範囲にあ
り、膜密度が2.25乃至2.40g/cm3の範囲に
有り、かつ比誘電率が3.3乃至4.3の範囲にあるシ
リコン含有絶縁膜からなることを特徴とする請求項17
に記載の半導体装置。 - 【請求項19】前記第1の配線を被覆する保護層と前記
塗布絶縁膜と前記塗布絶縁膜上に積層された保護層とは
層間絶縁膜を構成し、該層間絶縁膜上に第2の配線が形
成されていることを特徴とする請求項18に記載の半導
体装置。 - 【請求項20】前記層間絶縁膜下の第1の配線と前記層
間絶縁膜上の第2の配線は前記層間絶縁膜の開口部を介
して接続され、前記開口部の側壁に側壁保護層を有し、
前記側壁保護層は、赤外線の吸収強度のピークが波数2
270乃至2350cm -1の範囲にあり、膜密度が2.
25乃至2.40g/cm3の範囲に有り、かつ比誘電
率が3.3乃至4.3の範囲にあるシリコン含有絶縁膜
からなることを特徴とする請求項19に記載の半導体装
置。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2001220233A JP2002164342A (ja) | 2000-07-21 | 2001-07-19 | 半導体装置及びその製造方法 |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000221380 | 2000-07-21 | ||
JP2000-281263 | 2000-09-18 | ||
JP2000-221380 | 2000-09-18 | ||
JP2000281263 | 2000-09-18 | ||
JP2001220233A JP2002164342A (ja) | 2000-07-21 | 2001-07-19 | 半導体装置及びその製造方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2002164342A true JP2002164342A (ja) | 2002-06-07 |
Family
ID=27344137
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001220233A Pending JP2002164342A (ja) | 2000-07-21 | 2001-07-19 | 半導体装置及びその製造方法 |
Country Status (1)
Country | Link |
---|---|
JP (1) | JP2002164342A (ja) |
Cited By (303)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005129920A (ja) * | 2003-10-03 | 2005-05-19 | Semiconductor Energy Lab Co Ltd | 半導体装置の作製方法 |
JP2015061075A (ja) * | 2013-09-19 | 2015-03-30 | エーエスエム アイピー ホールディング ビー.ブイ. | プラズマアシストプロセスにより酸化膜を生成する方法 |
JP2016076712A (ja) * | 2015-11-09 | 2016-05-12 | 株式会社ジャパンディスプレイ | 薄膜トランジスタ及びそれを用いた表示装置 |
US9812578B2 (en) | 2012-04-24 | 2017-11-07 | Japan Display Inc. | Thin film transistor and display device using the same |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
JP2020043298A (ja) * | 2018-09-13 | 2020-03-19 | キヤノン株式会社 | 半導体装置、その製造方法および電子機器 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
JP2021168404A (ja) * | 2012-11-05 | 2021-10-21 | 大日本印刷株式会社 | 多層配線構造体とその製造方法 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US12125700B2 (en) | 2021-01-13 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
-
2001
- 2001-07-19 JP JP2001220233A patent/JP2002164342A/ja active Pending
Cited By (387)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005129920A (ja) * | 2003-10-03 | 2005-05-19 | Semiconductor Energy Lab Co Ltd | 半導体装置の作製方法 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US9812578B2 (en) | 2012-04-24 | 2017-11-07 | Japan Display Inc. | Thin film transistor and display device using the same |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
JP7188502B2 (ja) | 2012-11-05 | 2022-12-13 | 大日本印刷株式会社 | 多層配線構造体とその製造方法 |
JP2021168404A (ja) * | 2012-11-05 | 2021-10-21 | 大日本印刷株式会社 | 多層配線構造体とその製造方法 |
US11862564B2 (en) | 2012-11-05 | 2024-01-02 | Dai Nippon Printing Co., Ltd. | Multi-layer line structure and method for manufacturing thereof |
JP7452605B2 (ja) | 2012-11-05 | 2024-03-19 | 大日本印刷株式会社 | 多層配線構造体とその製造方法 |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
JP2015061075A (ja) * | 2013-09-19 | 2015-03-30 | エーエスエム アイピー ホールディング ビー.ブイ. | プラズマアシストプロセスにより酸化膜を生成する方法 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
JP2016076712A (ja) * | 2015-11-09 | 2016-05-12 | 株式会社ジャパンディスプレイ | 薄膜トランジスタ及びそれを用いた表示装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US12000042B2 (en) | 2016-12-15 | 2024-06-04 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11970766B2 (en) | 2016-12-15 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US12106965B2 (en) | 2017-02-15 | 2024-10-01 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11976361B2 (en) | 2017-06-28 | 2024-05-07 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US12033861B2 (en) | 2017-10-05 | 2024-07-09 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US12040184B2 (en) | 2017-10-30 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US12119228B2 (en) | 2018-01-19 | 2024-10-15 | Asm Ip Holding B.V. | Deposition method |
US11972944B2 (en) | 2018-01-19 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US12020938B2 (en) | 2018-03-27 | 2024-06-25 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
JP2020043298A (ja) * | 2018-09-13 | 2020-03-19 | キヤノン株式会社 | 半導体装置、その製造方法および電子機器 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US12107000B2 (en) | 2019-07-10 | 2024-10-01 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11996304B2 (en) | 2019-07-16 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing device |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US12040229B2 (en) | 2019-08-22 | 2024-07-16 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US12033849B2 (en) | 2019-08-23 | 2024-07-09 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US12119220B2 (en) | 2019-12-19 | 2024-10-15 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US12055863B2 (en) | 2020-07-17 | 2024-08-06 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US12125700B2 (en) | 2021-01-13 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2002164342A (ja) | 半導体装置及びその製造方法 | |
JP3600507B2 (ja) | 半導体装置及びその製造方法 | |
KR100407012B1 (ko) | 반도체 장치 및 그 제조 방법 | |
JP3926588B2 (ja) | 半導体装置の製造方法 | |
JP4090740B2 (ja) | 集積回路の作製方法および集積回路 | |
KR100486333B1 (ko) | 반도체 장치 및 그 제조 방법 | |
US6835669B2 (en) | Film forming method, semiconductor device and semiconductor device manufacturing method | |
US6500752B2 (en) | Semiconductor device and semiconductor device manufacturing method | |
JP2003059923A (ja) | 半導体装置及びその製造方法 | |
JP3934343B2 (ja) | 半導体装置及びその製造方法 | |
US7170177B2 (en) | Semiconductor apparatus | |
JP3845061B2 (ja) | 半導体装置及びその製造方法 | |
KR0138853B1 (ko) | 플라즈마에 의한 SOG(Spin-On Glass) 경화(Curing) 방법 | |
JP2002164346A (ja) | 成膜方法、半導体装置及びその製造方法 | |
US7646101B2 (en) | Semiconductor device and manufacturing method thereof | |
JP2004228599A (ja) | 半導体装置 | |
JP2004015034A (ja) | 成膜方法、成膜装置及び成膜装置のクリーニング方法 | |
Ming et al. | Preparation of porous ultra low k films using different sacrificial porogen precursors for 28 nM technological node | |
Loboda et al. | Deposition of low-K dielectric films using trimethylsilane | |
JP2002164429A (ja) | 半導体装置及びその製造方法 | |
JP2002305242A (ja) | 半導体装置の製造方法 | |
JP2810649B2 (ja) | 半導体装置 | |
JP2007048811A (ja) | 半導体装置の配線層間絶縁膜及びその製造方法 | |
JPH1070119A (ja) | 絶縁膜 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20040316 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040517 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20050607 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20051213 |