KR102603686B1 - 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법 - Google Patents

반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법 Download PDF

Info

Publication number
KR102603686B1
KR102603686B1 KR1020180044612A KR20180044612A KR102603686B1 KR 102603686 B1 KR102603686 B1 KR 102603686B1 KR 1020180044612 A KR1020180044612 A KR 1020180044612A KR 20180044612 A KR20180044612 A KR 20180044612A KR 102603686 B1 KR102603686 B1 KR 102603686B1
Authority
KR
South Korea
Prior art keywords
reactant
flow rate
flow
precursor
plasma
Prior art date
Application number
KR1020180044612A
Other languages
English (en)
Other versions
KR20180116761A (ko
Inventor
티모시 줄리앙 빈센트 블랑카르
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180116761A publication Critical patent/KR20180116761A/ko
Application granted granted Critical
Publication of KR102603686B1 publication Critical patent/KR102603686B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Abstract

질화물 또는 산화물막을 플라즈마-지원 주기적 증착으로 형성하기 위한 방법으로서, 이 방법의 한 사이클은, 제1 반응물, 제2 반응물, 및 전구체를 기판이 놓여진 반응 공간에 공급하는 단계로서, 상기 제2 반응물은 제1 유량비로 흐르고, 유량비는 상기 제2 반응물의 유량 대 상기 반응 공간으로 흐르는 가스들의 총 유량의 비로 정의되는 단계; 및 RF 전력을 상기 반응 공간에 인가하여 상기 기판을 플라즈마에 노출시키면서, 상기 제1 유량비에서 제2 유량비로 점차적으로 감소되는 유량비로 상기 제1 반응물 및 상기 제2 반응물을 연속적으로 공급하면서 상기 전구체의 공급을 멈추는 단계를 포함한다. 제2 반응물은 할로겐 함유 화합물 또는 산소 함유 화합물로 구성된다.

Description

반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법{METHOD OF PLASMA-ASSISTED CYCLIC DEPOSITION USING RAMP-DOWN FLOW OF REACTANT GAS}
본 발명은 플라즈마-지원 주기적 증착, 예컨대 플라즈마 강화 원자층 증착(PEALD)으로 질화물 또는 산화물막을 형성하기 위한 방법에 관한 것으로서, 특히 반응물 가스의 램프-다운 유동을 이용한 플라즈마-지원 주기적 증착 방법에 관한 것이다.
등각성(conformal) 유전체 막을 기판 상에 증착하는 방법으로서, 원자층 증착(ALD)과 같은 주기적 증착이 일반적으로 알려져 있다. 당업자는 등각성 유전체 막을 형성하기 위해 플라즈마-강화 ALD(PEALD)를 종종 사용한다. PEALD는 전구체의 화학 흡착을 이용하는 유전체 막의 증착 기술이며, 플라즈마 강화 화학 기상 증착(PECVD)과 비교하여, 기판의 리세스 패턴(recess pattern) 상에 증착하는 막의 단차 피복도(step coverage)를 향상시킬 수 있다. 또한, 다른 플라즈마-지원 주기적 증착으로서, 주기적 PECVD도 등각성 유전체 막을 형성하기 위해 사용된다. 그러나, 플라즈마를 갖는 분위기에서 H2 또는 O2와 같은 반응물을 이용하여 질화 또는 산화가 수행되는 주기적 플라즈마-지원 증착에 의해 실리콘/금속 질화물 또는 산화물막과 같은 유전체 막을 증착할 때, 예컨대 화학적 저항의 관점에서 막의 품질이 때때로 불만족스럽다. 예를 들어, H2를 반응물로 사용하는 PECVD로 증착된 막들은, 많은 양의 수소가 포함되면, 높은 습식 식각율을 가질 수 있다. 본 발명자는, 막 내의 수소 함량이 높을 때, 막의 소지(matrix) 내에서 격자 제거가 빠르게 일어나는 데, 이는 막의 소지가 불완전한 구조 또는 조밀화되지 않은 구조, 즉 더 많은 결합 절단과 더 빠른 HF 확산을 나타낸다는 것을 고려하였다. 즉, 본 발명자는 막 내의 수소 함량은, 높은 화학적 저항, 예컨대 낮은 습식 식각율을 달성함에 있어서 임계적인 인자라고 여겼고, 광범위한 실험 및 근면 성실한 연구 후에, 본 발명자는 위의 문제를 해결하였다.
종래 기술과 연관된 문제 및 해결책에 대한 임의의 논의는 단지 본 발명에 대한 맥락을 제공하기 위해서만 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌다는 것을 인정하는 것으로 받아들여져서는 안된다.
일 양태에서, 수소 가스를 반응물로서 사용하여 SiN과 같은 유전체막이 PEALD로 증착될 때, 플라즈마 펄스의 시작 시에, 수소 가스는 양호한 반응성을 위해 수소가 풍부한 플라즈마를 발생시키기에 충분한 유량으로 반응 공간에 공급되고, 반면에 플라즈마 펄스의 종료 시에, 유전체막의 표면 상에 존재하는 수소를 효과적으로 제거하기 위해 수소 가스가 반응 공간에 전혀 공급되지 않거나 비교적 소량의 수소가스가 공급됨으로써, 플라즈마 반응 효율을 양호하게 유지하면서 (습식 식각율과 같은 화학적 저항을 개선하기 위해) 유전체막 내에서 수소함량을 감소시킨다. 위와 같은 접근은, 다른 실리콘 질화물 또는 금속 질화물, 또는 실리콘/금속 산화물로 구성되는 막들의 증착, 및 수소 이외의 반응물, 예컨대 암모니아와 같은 수소 함유가스, 또는 산소 또는 산소 함유 가스, 또는 전구체의 질화 또는 산화를 위해 플라즈마 내에 반응성 종을 생성하는 다른 가스를 이용한 증착에 적용될 수 있다. 위와 같은 접근은 또한 주기적 PECVD에 의한 증착에도 적용될 수 있는 데, 여기서 전구체는 펄스로 공급되고, 어떠한 전구체도 공급되지 않을 때, 수소 함유 반응물의 상대적 유량은 감소되거나 저감된다. 본 개시에서, 용어 "램핑 다운(ramping down)"은 특정 구현예에 따라서 일정한 속도 또는 변화하는 속도에서 기준 가스에 대하여 계단식으로 또는 임의의 다른 적합한 방식으로 용적, 양, 속도 또는 비율이 감소함을 의미한다.
종래 기술을 넘어 달성된 본 발명의 양태 및 장점들을 요약하기 위한 목적으로, 본 발명의 특정 목적 및 장점들이 본 개시에 설명된다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들면 당업자는 본 발명이 본원에 교시 또는 제시될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고, 본원에 교시된 바와 같은 하나의 장점 또는 여러 장점들을 달성 또는 최적화 하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
본 발명의 또 다른 양태, 특성, 및 장점들은 다음의 상세한 설명으로부터 명확해 질 것이다.
본 발명의 이러한 그리고 기타 특징들은 바람직한 구현예의 도면을 참조하여 설명될 것이고, 이는 예시를 위해 의도된 것이며 본 발명을 한정하기 위함은 아니다. 도면은 예시의 목적으로 상당히 간략화되고, 반드시 스케일에 맞추어진 것은 아니다.
도 1a는 본 발명의 구현예에 사용할 수 있는 유전체 막을 증착하기 위한 PEALD(plasma-enhanced atomic layer deposition)의 개략적인 묘사이다.
도 1b는 본 발명의 구현예에 사용할 수 있는 유동-통과 시스템(flow-pass system, FPS)을 이용한 전구체 공급 시스템의 개략적인 묘사이다.
도 2는 종래의 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 3은 본 발명의 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 4는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 5는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 6은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 7은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 8은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 9는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 10은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 11은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 12는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 13은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 14는 본 발명의 구현예에 따른 주기적 PECVD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다.
도 15는 수소 가스 유량이 일정하였을 때(●로 표시) 그리고 구현예에 따라 수소 가스 함량이 램프 다운되었을 때(◆로 표시) 막의 수소 함량과 PEALD로 증착된 막의 증착 온도 사이의 관계를 보여주는 그래프이다.
도 16은 비교예 1, 실시예 1, 비교예 2, 및 실시예 2에 따라 PEALD에 의해 증착된 막들의 습식 식각 결과를 보여주는 표이다.
도 17은 본 발명의 구현예에 따라 PEALD에 의해 증착된 막의 깊이에 대하여 다수의 막으로 이루어진 적층체의 H, Cl, N, O, C, X (X는 I), 및 Si의 농도(원자/cc)를 보여주는 차트이다.
본 개시에서, "가스"는 증기화된 고체 및/또는 액체를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 유사하게, 단수형 명사는, 맥락에 따라 하나의 종(species) 또는 여러 종들을 포함하는 하나의 속(genus)을 지칭한다. 본 개시에서, 샤워 헤드를 통해 공정 챔버로 유입되는 공정 가스는 실리콘- 또는 금속-함유 전구체 및 첨가 가스로 구성되거나, 본질적으로 이루어지거나, 또는 이루어질 수 있다. 첨가 가스는, RF 전력이 첨가 가스에 인가될 때, 전구체를 질화 및/또는 산화시키기 위한 반응물 가스 및 전구체를 여기시키기 위한 불활성 가스(예를 들면, 비활성 가스)를 포함할 수 있다. 불활성 가스는 캐리어 가스 및/또는 희석 가스로서 반응 챔버에 공급될 수 있다. 본 개시에서, 임의의 추가 가스가 반응 챔버를 통하여 연속적으로 흐를 때 퍼지 가스로서 사용될 수 있다. 전구체 및 첨가 가스는 혼합 가스로서 또는 개별적으로 반응 공간에 유입될 수 있다. 전구체는 비활성 가스와 같은 캐리어 가스와 함께 유입될 수 있다. 공정 가스 이외의 가스, 즉 샤워 헤드를 통과하지 않고 유입되는 가스는, 예를 들면 반응 공간을 씰링하기 위해 사용될 수 있고, 비활성 가스와 같은 씰(seal) 가스를 포함한다. 일부 구현예들에서, 용어 "전구체"는 막 또는 층을 구성하는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물을 일반적으로 지칭하고, 특히 기판 표면 상에 흡착되어 ALD에 의한 표면 반응을 통하여 막 소지 또는 막의 주골격을 구성하는 화합물 또는 CVD에 의한 가스 상 반응(및 부분적으로는 표면 반응)을 통하여 막 소지 또는 막의 주골격을 구성하는 화합물을 지칭하며, 반면 용어 "반응물"은 전구체 이외의 화합물을 지칭하며, 반면에 용어 "반응물"은 전구체를 활성화시키거나, 전구체를 개질하거나, 전구체의 반응을 촉진시키는 전구체들 이외의 화합물을 지칭하며, 이러한 반응물은 RF 전력이 인가될 때, 막 소지에 포함될 원소(예컨대, N, O, H, C)를 막 소지에 제공하거나 제공하지 않을 수 있고, 막 소지의 일부가 될 수 있다. 용어 "불활성 가스"는 RF 전력이 인가될 때 전구체를 여기시키는 가스를 지칭하나, 반드시 막 소지의 일부가 되지는 않는다. 일부 구현예들에서, 불활성 가스는 반응물이다. 다른 구현예들에서, 불활성 가스는 반응물이 아니다.
일부 구현예에서, "막"은 실질적으로 핀홀 없이 두께 방향에 수직한 방향으로 연속적으로 연장되어 전체 타켓 또는 관심 표면을 커버하는 층, 또는 단순히 타켓 또는 관심 표면을 커버하는 층을 지칭한다. 일부 구현예에서, "층"은 표면에 형성된 특정 두께를 갖는 구조물을 지칭하거나, 막 또는 막이 아닌 구조물의 동의어를 지칭한다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막들 또는 층들로 구성될 수 있고, 인접하는 막들 또는 층들 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막들 또는 층들의 기능 또는 목적에 기반하여 구축될 수 있다. 또한, 본 개시에서, 실행 가능한 범위는 일상적인 작업에 기초하여 결정될 수 있으므로 변수의 임의의 두 수치가 변수들의 실행 가능한 범위를 구성할 수 있고, 지시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "의해 구성되는" 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미들은 일부 구현예에서 반드시 보통의 그리고 관습적인 의미들을 배제하는 것은 아니다.
조건 및/또는 구조가 명시되지 않는 본 개시에서, 당업자는 일상적인 실험에 따라 본 개시의 관점으로 이러한 조건 및/또는 구조를 쉽게 제공할 수 있다.
개시된 모든 구현예들에서, 하나의 구현예에 사용된 임의의 요소는 이와 동등한 임의의 요소로 대체될 수 있으며, 의도된 목적을 위해, 본원에 명시적으로, 필연적으로, 또는 내재적으로 개시된 것들을 포함한다. 또한, 본 발명은 장치 및 방법에 동일하게 적용될 수 있다.
구현예는 바람직한 구현예에 대해 설명될 것이다. 그러나, 본 발명은 그러한 바람직한 구현예에 한정되지 않는다.
일부 구현예들에서, 질화물 또는 산화물막을 플라즈마-지원 주기적 증착으로 형성하기 위한 방법은: (i) 제1 반응물, 제2 반응물, 및 전구체를 기판이 놓여진 반응 공간에 공급하는 단계로서, 상기 제2 반응물은 수소 함유 화합물 또는 산소 함유 화합물로 구성되고, 상기 제2 반응물은 제1 유량비로 흐르고, 유량비는 유량비는 상기 반응 공간으로 흐르는 가스들의 총 유량에 대한 상기 제2 반응물의 유량의 비로 정의되는 단계; (ii) RF 전력을 상기 반응 공간에 인가하여 상기 기판을 플라즈마에 노출시키면서, 상기 제1 유량비에서 제2 유량비로 점차적으로 감소되는 유량비로 상기 제1 반응물 및 상기 제2 반응물을 연속적으로 공급하면서 상기 전구체의 공급을 멈추는 단계; 및 (iii) 상기 질화물 또는 산화물막의 원하는 두께가 얻어질 때까지 단계 (i)과 단계 (ii)를 반복하는 단계를 포함한다.
본 개시에서, 용어 "점차적으로 감소되는"("램프 다운"으로도 지칭될 수 있음)은 시간 주기에 걸쳐서 특정 속도(일정 속도(선형 변화) 또는 변화하는 속도(비선형 변화) 중 어느 하나)로, 또는 계단식 방식으로 또는 어떤 기간에 걸쳐 평탄부(들)를 포함하거나 배제하는 펄스화 방식(펄스의 진폭이 더 짧아짐)으로, 또는 본원에 개시된 다른 적합한 방식으로의 감소를 지칭한다. PEALD뿐만 아니라 PERCVD를 비롯하여 RF 전력을 인가하는 동안 다른 가스들의 유량이 실질적으로 변화지 않으면, 유량비는 제1 반응물의 유량을 기준으로 제2 반응물의 유량을 감소시키거나, 제2 반응물의 유량을 기준으로 제2 반응물의 유량을 증가시킴으로써 감소될 수 있다. 일부 구현예들에서, 유량은 캐리어 가스 또는 희석 가스의 유량을 증가시킴으로써 감소될 수 있다. 이와 같이, 유량은 제1 반응물을 비롯하여 다른 가스들의 유량을 기준으로 제2 반응물의 유량을 상대적으로 변화시킴으로써 변화될 수 있다. 플라즈마 펄스 동안에 제1 반응물의 유량을 기준으로 제2 반응물의 유량을 상대적으로 감소시킴으로써, 막은 제1 반응물이 풍부한 플라즈마에 노출되고, 그렇게 함으로써 막의 조밀화를 촉진한다. 단계 (ii)에 의하여, 막에 포함된 수소의 양은, 막의 성장율을 낮추지 않고서 최소화될 수 있고, 그 결과 막의 습식 식각율은 낮아질 수 있다. 아울러, 단계 (ii)는 막이 트렌치 내에 증착될 때 막의 등각성을 개선할 수 있다.
플라즈마는 다음과 같은 세 가지 카테고리로 분류될 수 있는 수많은 상이한 종들로 구성된다: 1) 반응성 종, 2) 이온 충돌/조밀화에 책임이 있는 높은 에너지를 가진 비반응성 이온, 및 3) 1)과 2) 이외의 종. 플라즈마에서, 제2 반응물은 주로 반응성에 책임이 있는 종으로 변환되고, 반면에 제1 반응물은 주로 이온 충돌에 책임이 있는 종으로 변환된다. 따라서, 반응성에 책임이 있는 반응물은 램핑 다운 작동을 받고, 반면에 이온 충돌에 책임이 있는 반응물은 램핑 업 작동을 받는다.
일부 구현예들에서, 플라즈마-지원 주기적 증착으로 형성된 질화물 또는 산화물막은 실리콘 질화물, 금속 질화물(예컨대, 금속으로서 Ti, Ta, Zr, Al, Ga, W, V, 및/또는 Nb), 실리콘 산화물, 또는 금속 산화물(예컨대, 금속으로서 Ti, Zr, Al, Sb, 및/또는 Hf)로 구성된다. 일부 구현예들에서, 제2 반응물을 구성하는 수소 함유 화합물 또는 산소 함유 화합물은 질화물막을 증착하기 위한 수소, 암모니아, 및/또는 N2H4, 또는 산화물막을 증착하기 위한 산소, 이산화탄소, 질소 산화물, 오존, 및/또는 과산화수소이다. 일부 구현예들에서, 제1 반응물은 질화물막을 증착하기 위한 질소, Ar, 및/또는 He이거나, 산화물막을 증착하기 위한 불활성 가스, 예컨대 Ar 및/또는 He이다. 제1 반응물은 이온 충돌에 주로 책임이 있는 가스일 수 있고 일반적으로 "이온 충돌종"으로 정의될 수 있고, 반면에 제2 반응물은 반응성에 주로 책임이 있는 가스이고 일반적으로 "반응성 종"으로 정의된다. 일부 구현예들에서, 제2 반응물 유동 대 제1 반응물 유동 비는 RF 전력 인가 조건, 전구체 종류 등에 따라 1/1 내지 1/100, 바람직하게는 1/5 내지 1/50이다. 일부 구현예들에서, 하나를 초과하는 제2 반응물 및/또는 하나를 초과하는 제1 반응물이 임의의 적합한 조합으로 사용된다. 일부 구현예들에서, 전구체는 하기에 기술되어 있는 하나 이상이다: 다수의 적절한 할로겐화 규소 전구체가 현재 개시된 PEALD 공정에 사용될 수있다. 적절한 전구체 중 적어도 일부는 다음의 일반 화학식을 가질 수 있다:
H2n+2-y-zSinXyAz (1)
여기서, n=1~10, y=1 이상이고(2n+2-z 이하이며), z=0 이상이고(2n+2-y 이하이며), X 는 I 또는 Br이고, A는 X 이외의 할로겐이고, 바람직하게는 n=1~5이고, 더 바람직하게는 n=1~3이고, 가장 바람직하게는 1~2이다.
일부 구현예들에 따르면, 할로겐화 규소 전구체는 하나 이상의 환형 화합물을 포함할 수 있다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n+2-y-zSinXyAz (2)
여기서, 화학식(2)의 화합물은 환형 화합물이고, n=3~10이고, y=1 이상이고(2n-z 이하이며), z=0 이상이고(2n-y 이하이며), X는 I 또는 Br이고, A는 X 이외의 할로겐이고, 바람직하게는 n=3~6이다.
일부 구현예에 따르면, 할로겐화 규소 전구체는 하나 이상의 요오드실란을 포함할 수 있다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n+2-y-zSinIyAz (3)
여기서, n=1~10이고, y=1 이상이고(2n+2-z 이하이며), z=0 이상이고(2n+2-y 이하이며), A는 I 이외의 할로겐이고, 바람직하게는 n=1~5이고, 더 바람직하게는 n=1~3이고, 가장 바람직하게는 1~2이다.
일부 구현예에 따르면, 일부 할로겐화 규소 전구체는 하나 이상의 환형 요오드실란을 포함할 수 있다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n+2-y-zSinIyAz (4)
여기서, 화학식(4)의 화합물은 환형 화합물이고, n=3~10이고, y=1 이상이고(2n-z 이하이며), z=0 이상이고(2n-y 이하이며), A는 I 이외의 할로겐이고, 바람직하게는 n=3~6이다.
일부 구현예에 따르면, 일부 할로겐화 규소 전구체는 하나 이상의 브로모실란(bromosilanes)을 포함할 수 있다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n+2-y-zSinBryAz (5)
여기서, n=1~10이고, y=1 이상이고(2n+2-z 이하이며), z=0 이상이고(2n+2-y 이하이며), A는 Br 이외의 할로겐이고, 바람직하게는 n=1~5이고, 더 바람직하게는 n=1~3이고, 가장 바람직하게는 1~2이다.
일부 구현예에 따르면, 일부 할로겐화 규소 전구체는 하나 이상의 환형 브로모실란을 포함할 수 있다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n+2-y-zSinBryAz (6)
여기서, 화학식(6)의 화합물은 환형 화합물이고, n=3~10이고, y=1 이상이고(2n-z 이하이며), z=0 이상이고(2n-y 이하이며), A는 Br 이외의 할로겐이고, 바람직하게는 n=3~6이다.
일부 구현예에 따르면, 바람직한 할로겐화 규소 전구체는 하나 이상의 요오드실란을 포함한다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n +2-y- zSinIy (7)
여기서, n=1~5이고, y=1 이상이고(2n+2 이하이며), 바람직하게는 n=1~3이고, 더 바람직하게는 n=1~2이다.
일부 구현예에 따르면, 바람직한 할로겐화 규소 전구체는 하나 이상의 브로모실란을 포함한다. 이러한 전구체는 다음의 일반 화학식을 가질 수 있다:
H2n +2-y- zSinIy (8)
여기서, n=1~5이고, y=1 이상이고(2n+2 이하이며), 바람직하게는 n=1~3이고, 더 바람직하게는 n=1~2이다.
PEALD 방법의 일부 구현예에 따르면, 적절한 할로겐화 규소 전구체는 일반 화학식 (1) 내지 (8) 중 적어도 임의의 하나를 갖는 화합물을 포함할 수 있다. 일반 화학식 (1) 내지 (8)에서, 할로겐화물/할로겐은 F, Cl, Br 및 I를 포함할 수 있다. 일부 구현예에서, 할로겐화 규소 전구체는 SiI4, HSiI3, H2SiI2, H3SiI, Si2I6, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, 및/또는 Si3I8를 포함한다. 일부 구현예에서, 규소 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및/또는 H5Si2I 중 하나를 포함한다. 일부 구현예에서, 할로겐화 규소 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및/또는 H5Si2, 및 이들의 임의의 조합 중 2, 3, 4, 5, 또는 6 개를 포함한다.
특정 구현예에서, 할로겐화 규소 전구체는 H2SiI2이다. 일부 구현예에서, 하기 화학식의 할로겐화 규소 전구체들은 PEALD 방법에 사용될 수 있다. 질화물막을 증착하기 위한 SiH(N(CH3)2)3, SiH(N(CH3)2)3, SiH2(NHtBu)2, C9H29N3Si3, C6H17NSi, C9H25N3Si, C9H25N3Si, C8H22N2Si, SiH4N2, SiH4, (SiH3)3N, (SiH3)2NH, (SiH3)NH2, 및/또는 (SiH3)4Si.
일부 구현예에서, 알킬아미노실란은, 산화물막을 증착하기 위한 비스디에틸아미노실란(BDEAS), 비스디메틸아미노실란(BDMAS), 헥실에틸아미노실란(HEAD), 테트라에틸아미노실란(TEAS), 터트-부틸아미노실란(TBAS), 비스터트-부틸아미노실란(BTBAS), 비스메틸아미노디메틸아미노실란(BDMADMS), 헵타메틸디실라잔(HIVIDS), 트리메틸실릴디에틸아민(TMSDEA), 트리메틸실릴디메틸아민(TMSDMA), 트리메틸트리비닐시클로트리실라잔(TMTVCTS), 트리스트리메틸히드록시아민(TTMSHA), 비스디메틸아미노메틸실란(BDMAMS), 및 디메틸실릴디메틸아민(DMSDMA)으로 이루어진 군에서 선택된다.
바람직하게는, 상기 전구체는 할로겐을 포함한다. 일부 구현예들에서, 위에서 열거된 가스들 또는 화합물들 중 하나 이상은 증착될 목표 유전체 막, 막의 목표 특성 등에 따라서, 그리고/또는 실질적인 이유, 작동 이유 등을 위하여 배타적으로 선택될 수 있고, 또는 명백히 배제될 수 있다.
일부 구현예들에서, 플라즈마-지원 주기적 증착은 주기적 플라즈마 지원 강화 화학 기상 증착(주기적 PECVD)으로서, RF 전력은 단계 (i)과 (ii)를 통하여 연속적으로 공급된다. 일부 구현예들에서, 플라즈마-지원 주기적 증착은 플라즈마 강화 원자층 증착(PEALD)으로서, RF 전력은 단계 (i) 동안 공급되지 않는다. 적어도 하나의 전구체가 반응 공간에 펄스로 공급되고, RF 전력이 반응 공간에 공급되고(연속적이거나 펄스로), 그리고 제2 반응물이 반응 공간에 공급(연속적이거나 펄스로)되기만 하면, 임의의 다른 적합한 주기적 플라즈마-지원 증착이 사용될 수 있다. 일부 구현예들에서, RF 전력은 용량 결합성 평행 전극들에게 인가된다.
일부 구현예들에서, PEALD에서, PEALD의 반복 사이클은: (ia) 단계 (i) 후에 상기 전구체를 공급하지 않고 그리고 RF 전력을 인가하지 않고서, 상기 제1 반응물 및 상기 제2 반응물을 연속적으로 공급하면서 상기 반응 공간을 퍼지하는 단계; 및 (iia) 단계 (ii) 후에 상기 제1 반응물 또는 상기 제1 및 제2 반응물을 연속적으로 공급하면서 상기 반응 공간을 퍼지하는 단계를 포함한다. 일부 구현예들에서, 단계 (ii)에서, 상기 제2 반응물의 유동은 램프 다운된다. 일부 구현예들에서, 단계 (iia)에서, 상기 제2 반응물의 유동은 제2 유량비로 유지된다. 일부 구현예들에서, 단계 (i)에서, 상기 제2 반응물의 유동은 단계 (i)의 시작 시에 제2 유량비로 시작하여 제2 유량비로부터 제1 유량비까지 램프 업된다. 일부 구현예들에서, 단계 (ii)에서, 상기 제2 반응물의 유동은 일정한 속도로 램프 다운된다. 일부 구현예들에서, 단계 (ii)에서, 상기 제2 반응물의 유동은 단계적으로 램프 다운된다. 일부 구현예들에서, 단계 (ii)에서, 상기 제2 반응물의 유동이 램프 다운되는 동안 RF 전력은 램프 업된다. 일부 구현예들에서, 단계 (ii)에서, 단계 (ii)의 종료 전에 상기 제2 반응물의 유동은 제2 유량비까지 램프 다운되고, 단계 (ii)의 종료까지 제2 유량비로 유지된다. 일부 구현예들에서, 단계 (ii)에서, 상기 제2 반응물의 유동이 제2 유량비로 유지되는 동안 RF 전력은 상기 제2 반응물의 유동이 램프 다운되는 동안의 RF 전력보다 높다. 일부 구현예들에서, 단계 (i) 내지 (iia)에서, 상기 제1 반응물의 유동과 상기 제2 반응물의 유동의 합이 일정하도록 상기 제1 반응물의 유동은 상기 제2 반응물의 유동 변화를 보상하는 방식으로 변화된다. 일부 구현예들에서, 단계 (ii)에서, 상기 제2 유량비는 0이다. 일부 구현예들에서, PEALD의 반복 사이클은 상기 제1 및 제2 반응물 이외의 퍼지 가스를 공급하는 단계를 더 포함한다. 위에서 기술된 제2 반응물의 램프 다운 패턴들은 주기적 PECVD와 같은 다른 플라즈마-지원 주기적 증착에 적용될 수 있다.
일부 구현예들에서, 상기 방법은 증착후 처리로서, Ar, He, N2, H2, 또는 상기한 가스들의 혼합물로 이루어진 플라즈마에 상기 기판을 주어진 비율로 노출시키는 단계를 더 포함한다. 예를 들어, 약 150℃ 이상에서 Ar 또는 Ar과 He의 조합을 이용하는 증착후 처리는 높은 막 조밀화의 효과를 보여주고, 또한 약 8분 이상 동안 수행된 증착후 처리는 높은 막 조밀화의 효과를 보여준다. 이처럼, 제2 반응물의 램프 다운 유동 및 증착후 처리를 이용하는 플라즈마-지원 주기적 증착으로 질화물 또는 산화물막의 증착을 결합함으로써, 고품질의 유전체막이 보다 효율적으로 얻어질 수 있다. 예를 들어, 너무 긴 Ar 플라즈마 증착후 처리를 적용하는 대신, 램프 다운 작동은 증착후 처리의 지속시간을 단축할 수 있고/있거나 증착후 처리 온도를 낮출 수 있으므로, 양호한 생산성을 갖는 고품질의 유전체막을 제공할 수 있다.
램프 다운 작동은 또한 고품질의 유전체막을 위한 증착의 복잡하고 너무 긴 슈퍼 사이클을 없앨 수 있다. "슈퍼 사이클"은 나노적층체형 레시피(예컨대, 나노층 a를 위한 증착 사이클과 나노층 b를 위한 증착 사이클을 반복하는 것) 또는 주기적 증착 처리(예컨대, 나노층 a를 위한 증착 사이클과 증착 없는 처리 사이클을 반복하는 것)를 지칭한다.
구현예가 도면을 기준으로 설명될 것이다. 그러나, 본 발명은 이러한 도면에 한정되지 않는다.
도 2는 종래의 PEALD 방법의 한 사이클을 도시하는 데, 여기서 파라미터들의 선들의 수평축은 반드시 실제 시간 길이를 나타내는 것이 아니고, 각 파라미터의 선의 상승 레벨은 온(ON) 상태를 나타내고, 각 선의 수직축은 반드시 실제 양을 나타내는 것은 아니고, 반면에 각 선의 바닥 레벨은 오프(OFF) 상태를 나타낸다. 도 2에 도시된 시퀀스에서, 전구체가 반응 공간에 펄스로 공급되고("피드(feed)"), 반응물은 RF 전력을 인가하지 않고서 연속적으로 공급된다. 퍼지 가스 또한 반응 공간에 연속적으로 공급된다. "피드" 후, 반응 공간은 퍼지되고("퍼지 1"), 여기서 전구체는 반응 공간에 공급되지 않으며, 그 동안 반응물 및 퍼지 가스는 RF 전력의 인가 없이 반응 공간에 연속적으로 공급되어, 화학 흡착되지 않은 전구체 및 과잉 가스를 기판의 표면으로부터 제거한다. 이 후, 전구체의 공급 없이, 증착 사이클 전반에 걸쳐 연속적으로 흐르는 반응물 및 퍼지 가스에, RF 전력("RF")을 인-시츄에서 펄스("RF")로 인가함으로써, 증착용 플라즈마가 생성되고, 이에 의해 기판의 표면 상에 화학흡착된 전구체를 플라즈마에 노출시키고 단층(monolayer)을 형성한다. "RF" 후, 반응 공간은 퍼지되는 데("퍼지 2"), 여기서는 반응 공간에 전구체를 공급하지 않으며 RF 전력을 인가하지 않고서, 반응물과 퍼지 가스가 반응 공간에 연속적으로 공급되어, 부산물 및 과잉 가스를 기판의 표면으로부터 제거한다. 일정한 스트림으로 반응 공간으로 들어가는 반응물의 연속적인 유동으로 인하여, 기판 표면 상에 화학흡착된 전구체는 일정하게 그리고 연속적으로 반응종, 예컨대 플라즈마에서 반응물로부터 생성된 수소 라디칼에 노출되어, 단층을 형성하는 데, 그 동안 수소 라디칼과 같은 반응종은 단층에 결합된다. 그러나, 수소는 막에 불순물로서 결합되기 때문에, 결과적인 막은 낮은 화학 저항, 예컨대 높은 습식 식각율을 가질 것이다.
도 3은 본 발명의 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 도 3에 도시된 시퀀스에서, 반응물은 두 가지 종류로 구성된다: 제1 종류(예컨대, 도 3에서 N2)는 주로 이온 충돌에 책임이 있고, 반면에 제2 종류(예컨대, 도 3에서 H2)는 주로 반응성에 책임이 있다. 본 구현예에서, 제1 반응물은 사이클 전체에 걸쳐서 연속적으로 유동하고, 반면에 제2 반응물은 "피드" 및 "퍼지 1"을 통하여 연속적으로 유동하고, "RF"에서 램프 다운 방식으로 "퍼지 2"에서 램프 업 방식으로 유동한다. 위의 작동 이외의 시퀀스는 도 2의 시퀀스와 동일하다. 일부 구현예들에서, 제2 반응물의 유동은 "RF" 동안 일정한 속도로 램프 다운되고 "RF"의 종료 시에, 제2 반응물은 0이 된다. 유량비가 반응 공간으로 흐르는 가스들의 총 유량에 대한 상기 제2 반응물의 유량의 비로 정의될 때, 유량비는 "피드"와 "퍼지 1"을 통하여 일정한 데, 이는 제1 유량비이다. "RF"에서 유량비가 "RF"의 시작 시에 제1 유량비에서 "RF"의 종료 시에 0이 될 수 있는 제2 유량비로 점차 감소된다. "퍼지 2"에서, 유량비는 "퍼지 2"의 시작 시에 제2 유량비에서 "퍼지 2"의 종료 시에 제1 유량비로 점차 감소된다. 즉, "퍼지 2"에서, 제2 반응물의 유동은 램프 업되어 "RF" 전 유량을 되찾는다. "퍼지 2"에서 램프 업이 되는 이유는 갑작스런 유동의 증가에 의해 야기되는 입자들의 발생 및 균일성의 저하를 억제하기 위한 것이다. "RF" 동안 램프 다운됨으로써, 제2 반응물을 구성하는 원소(예컨대, 수소)가 막에 덜 결합됨으로써, 막 내에서 원소의 함량을 낮추어서 막의 화학적 저항을 개선할 수 있다. 시퀀스에서, 제1 및 제2 반응물은 사이클 전체에 걸쳐서(제2 반응물의 유동이 "RF"의 시작 시에 0일 수 있음을 제외함) 실질적으로 연속적으로 유동하고, 제1 및 제2 반응물은 또한 퍼지 가스로서 기능할 수 있어서 일부 구현예들에서, 다른 퍼지 가스는 필요하지 않을 수 있다.
"RF"의 전체 기간에 대하여 시간에 따라 제2 반응물의 유동이 일정한 속도로 램프 다운되면, 분자(제2 반응물의 유량)가 변하는 동안 분모(총 유량)도 변하므로, 유량비(반응 공간으로 흐르는 가스들의 총 유량에 대한 제2 반응물의 유량의 비)는 시간에 따라 일정한 속도로 감소되지 않는다. 따라서, 유량비가 일정한 속도로 감소되도록 설정되면, 제2 반응물의 유량은 비선형 방식으로 감소될 필요가 있다.
도 4는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 도 4에 도시된 시퀀스에서, 제2 반응물 유동의 램프 다운은 도 3에 도시된 선형 방식 대신 계단식 방식으로 수행된다.
도 5는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 도 5에 도시된 시퀀스에서, 제2 반응물 유동의 램프 다운은 도 3에 도시된 시퀀스에서와 동일한 방식으로 수행되고, 아울러, 제1 반응물의 유동은 "RF"에서 램프 업되고 "퍼지 2"에서 램프 다운된다. 유량비는 반응 공간으로 흐르는 가스들의 총 유량에 대한 제2 반응물의 유량의 비로 정의되기 때문에, 제1 유량비가 "피드" 및 "퍼지 1" 전체에 걸쳐서 도 3의 시퀀스의 유량비와 동일하고 일정하지만, 도 5의 시퀀스의 제2 유량비는 도 3의 시퀀스의 유량비와 상이한 데, 이는 제1 반응물의 램프 업 유동으로 인해 도 5의 시퀀스의 총 유량이 도 3의 시퀀스의 총 유량보다 높기 때문이다. 유량의 측면에서 제1 반응물의 램프 업 유동이 제2 반응물의 유동을 상쇄할 때, 총 유량은 사이클 전체에 걸쳐서 일정하고, 유량은 "RF" 및 "퍼지 2"의 기간에 걸쳐서 시간에 대하여 선형적으로 변화될 수 있다. 도 5의 시퀀스에서, 제2 반응물은 도 3의 시퀀스의 반응물보다 더 빨리 희석될 수 있다.
도 6은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 도 6에 도시된 시퀀스에서, 제2 반응물 유동의 램프 다운은 도 3에 도시된 시퀀스의 램프 다운과 동일한 방식으로 수행되고, 아울러, RF 전력은 "RF"에서 램프 업되고, 그 결과 플라즈마 증착의 반응성이 제2 반응물의 램프 다운으로 인해 낮아질 수 있지만, RF 전력의 램프 업은 유전체막에 결합되는 제2 반응물의 원소를 증가시키지 않고서도 낮아진 반응성을 보상할 수 있다. RF 전력의 램프 업은 충돌종의 이온 에너지가 더 높기 때문에 조밀화 과정을 촉진할 수도 있다.
도 7은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 도7에 도시된 시퀀스에서, 제2 반응물 유동의 램프 다운 및 RF 전력의 램프 업은 도 6에 도시된 시퀀스의 램프 다운 및 램프 업과 동일한 방식으로 수행되지만, "퍼지 2"에서 제2 반응물의 램프 업은 수행되지 않는다. 즉, 제2 반응물은 "퍼지 2" 전체에 걸쳐서 제2 유량비로 유동하는 것을 유지하고(제2 유량비가 0이면, 제2 반응물의 흐름은 없다), "피드"의 시작 시에 제1 유량비로 유동하는 것을 되찾는다. 제1 반응물과 퍼지 가스가 반응 공간을 충분히 퍼지할 수 있으면, 제2 반응물은 "퍼지 2"에서 연속적으로 흐를 필요가 없다.
도 8은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. "피드"에서 제2 유량비로부터 제1 유량비로 제2 반응물을 램프 업함으로써 제2 반응물이 제1 유량비로 유동하는 것을 재개한다는 것을 제외하면, 도 8에 도시된 시퀀스는 도 7의 시퀀스와 동일하다.
도 9는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 제2 반응물 유동이 "RF"의 중간에 제1 유량비에서 제2 유량비로 램프 다운되고, 그 후 "RF"의 종료까지 제2 유량비를 유지한다는 점을 제외하면, 도 9에 도시된 시퀀스는 도 3의 시퀀스와 동일하다. 제2 반응물의 램프 다운 기간이 충분히 길면, 플라즈마 증착의 반응성이 유지될 수 있다.
도 10은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. "RF"에서 제2 반응물이 램프 다운되는 동안 RF 전력이 램프 업된다는 점을 제외하면 도 10에 도시된 시퀀스는 도 9의 시퀀스와 동일하다. 위의 작동을 수행함으로써, 플라즈마 증착의 반응성을 합리적으로 유지하면서 제2 반응물의 원소의 결합은 감소될 수 있다.
도 11은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 제2 반응물 유동이 사이클 전체에 걸쳐서 연속적으로 일정하다는 점을 제외하면, 도 11에 도시된 시퀀스는 도 5의 시퀀스와 동일하다. 그러나, 제1 반응물 유동은 "RF"에서 램프 업되기 때문에, 제1 반응물의 램프 업 유동에 의한 제2 반응물의 희석으로 인해 제1 유량비는 "RF"에서 제2 유량비로 감소된다. 사이클 전체에 걸쳐서 제2 반응물 유동이 유지되기 때문에, 시간에 따른 유량의 감소 속도는 도 5의 시퀀스에서만큼 높지 않고, 도 5의 시퀀스에서보다 제2 유량비가 더 높으므로, 제2 반응물의 원소를 유전체막에 결합시키는 것은 도 5의 시퀀스에서보다 덜 효과적으로 조절된다.
도 12는 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 제1 반응물이 Ar이고, 제2 반응물이 산소여서, 도 3에서의 질화물막 대신 산화물막을 증착한다는 점을 제외하면, 도 12에 도시된 시퀀스는 도 3의 시퀀스와 동일하다. 제2 반응물로서, 산소 가스는 수소와의 반응성에 주로 책임이 있고, 제1 반응물로서, Ar은 질소와의 이온 충돌에 주로 책임이 있다. 수소를 사용한 시퀀스들에서 본원에서 개시된 램프 다운/업 패턴들은 산소 또는 반응성에 책임이 있는 다른 반응물을 사용하는 시퀀스들에 적용될 수 있다.
도 13은 본 발명의 다른 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 제1 반응물은 일반적으로 "이온 충돌 종"으로 정의되고, 제2 반응물은 보다 일반적으로 "반응성 종"으로 정의되고, 또한 "반응성 종"의 램프 업과 "이온 충돌 종"의 램프 다운이 전혀 수행되지 않는다는 점을 제외하면, 도 13에 도시된 시퀀스는 도 5의 시퀀스와 동일하다. "반응성 종"의 램프 업은 "퍼지 2"에서 전혀 수행되지 않기 때문에, 입자들의 발생 문제가 일어날 수 있지만, 반응성 종을 유전체막에 결합시키는 것은 도 5의 시퀀스에서만큼 효과적으로 조절될 수 있다. 상기 시퀀스는 수소, 산소, 또는 "반응성 종"으로서 작용할 수 있는 다른 제2 반응물을 사용하는 시퀀스들에 적용될 수 있다.
도 14는 본 발명의 구현예에 따른 PEALD 방법의 한 사이클을 도시한다. 제2 반응물을 사용한 PEALD의 시퀀스에서 본원에 개시된 램프 다운/업 패턴들은 주기적 PECVD와 같은 다른 플라즈마-지원 주기적 증착의 시퀀스들에 적용될 수 있다. 도 14에 도시된 시퀀스에서, 단계 a는 제1 및 제2 반응물이, 전구체 공급 없이 그리고 RF 전력을 반응 공간에 인가하지 않고서 반응 공간으로 흐르기 시작하는 안정화 단계이다. 제1 반응물 및 퍼지 가스는 시퀀스 전체에 걸쳐서 반응 공간에 연속적으로 공급된다. 단계 b에서, 전구체가 반응 공간으로 공급되고, RW 전력이 턴온된다. RF 전력은 시퀀스 전체에 걸쳐서 반응 공간에 연속적으로 인가된다. 단계 b에서, RF 전력이 인가되는 동안 모든 가스는 반응 공간 내에 있으므로, 가스 상 플라즈마 반응 및 기판 상에 유전체막의 증착을 수행한다. 단계 c에서, 전구체 유동은 멈추고, 그 결과 ALD 유사 증착(반제한식 유사 증착)이 양호한 등각성을 위해 수행될 수 있다. 단계 c에서, 제1 및 제2 반응물의 유동을 유지하는 동안 RF 전력이 유지되기 때문에, 기판 상에 증착된 막은 제1 및 제2 반응물의 플라즈마에 노출되어, PEALD와 유사한 방식으로 막의 표면에서 플라즈마 반응을 일으킨다. 그 결과, 제2 반응물의 원소(본 구현예에서 H2)는 막에 불순물로서 결합된다. 이러한 시퀀스에서, 예를 들어 도 3의 시퀀스에서처럼 제2 반응물의 램프 다운 제어를 수행함으로써, 플라즈마 증착의 반응성을 유지하면서 수소의 결합이 효과적으로 감소될 수 있다. 단계 d에서는, 단계 c에서 제1 유량비로부터 제2 유량비로 감소된 유량이, 전구체를 공급하고 RF 전력을 반응 공간에 인가하는 동안, 제2 유량비로부터 램프 업되어 제1 유량비를 되찾는다. 이러한 시퀀스에서, 단계 d에서는, 입자들의 발생을 제어하기 위해 제2 반응물 유량을 도 3에서처럼 램프 업함으로써 제2 유량비가 증가되어 제1 유량비를 되찾는다. 단계 d에서, 가스 상 플라즈마 증착이 수행된다. 단계 c와 d는 필요하면 다수회 반복된다(막의 목표 두께를 위해). 단계 e는 주기적 PECVD의 마지막 단계이다. 이 시퀀스에서, 제2 반응물의 램프 다운이 전혀 수행되지 않지만, 제2 반응물 유동은 단계 c에서처럼 램프 다운된다.
개시된 모든 시퀀스에서, 하나 이상의 임의의 유동 패턴이 의도한 목적에 적합한 임의 조합으로 사용될 수 있다. 또한, 하나 이상의 임의의 유동 패턴이 의도한 목적을 위해 수행되는 이용가능한 시퀀스들로부터 명확하게 배제될 수 있다.
본원에 개시된 PEALD의 시퀀스들에서, 전구체는 캐리어 가스의 도움으로 제공될 수 있다. ALD는 자기-제한 흡착 반응 공정이므로, 증착된 전구체 분자들의 개수는 반응성 표면 사이트의 개수에 의해 결정되며 포화 후 전구체의 노출과는 무관하고, 전구체의 공급은 사이클마다 반응성 표면 사이트가 포화되도록 한다. 위에서 언급한 바와 같이, 각각의 증착 사이클의 각각의 펄스 또는 상(phase)은 바람직하게 자기-제한적이다. 과잉의 전구체가 각각의 상(phase)에 공급되어 민감한 구조 표면을 포화시킨다. 표면 포화는 모든 가능한 반응성 사이트들(예를 들면, 물리적 크기 또는 "입체 장애(steric hindrance)" 제한이 가해지는)의 전구체 점유를 보장한다. 일부 구현예에서, 완전한 포화를 달성하지 않고, 단일층 미만으로 기판 표면에 흡착되도록, 전구체의 펄스 시간이 감소될 수 있다.
캐리어 가스의 연속적인 흐름은, 캐리어 가스 라인이 전구체 리저버(용기)를 갖는 우회 라인(detour line)을 구비하고 메인 라인과 우회 라인이 스위칭되는, 유동-통과 시스템(FPS)을 이용하여 달성될 수 있고, 캐리어 가스만을 반응 챔버에 공급하고자 할 때에는 우회 라인이 닫히고, 반면 캐리어 가스와 전구체 가스 모두를 반응 챔버에 공급하고자 할 때에는 메인 라인이 닫히게 되어, 캐리어 가스는 우회 라인을 통해 흐르고 전구체 가스와 함께 용기로부터 흘러 나온다. 이러한 방식으로, 캐리어 가스는 반응 챔버 내로 연속해서 흐를 수 있고, 메인 라인과 우회 라인을 스위칭함으로써 전구체 가스를 펄스로 운반할 수 있다. 도 1b는 본 발명의 구현예에 따른 유동-통과 시스템(FPS)을 사용하는 전구체 공급 시스템을 도시한다(검은색 밸브는 밸브가 닫혔음을 표시함). 도 1b의 (a)에 나타난 바와 같이, 전구체를 반응 챔버(미도시)에 공급할 때, 우선, Ar(또는 He)과 같은 캐리어 가스가 밸브 b 및 c를 갖는 가스 라인을 통해 흐른 다음 용기(리저버)(20)로 진입한다. 캐리어 가스는 용기(20) 내부의 증기압에 대응하는 양으로 전구체 가스를 운반하면서 용기(20)로부터 흘러 나오고, 밸브 f 및 e를 갖는 가스 라인을 통해 흐른 다음 전구체와 함께 반응 챔버에 공급된다. 위에서, 밸브 a 및 d는 닫힌다. 캐리어 가스(비활성 가스)만을 반응 챔버에 공급할 때, 도 1b의 (b)에 나타난 바와 같이, 캐리어 가스는 용기(20)를 우회하면서 밸브를 갖는 가스 라인을 통해 흐른다. 위에서, 밸브 b, c, d, e, 및 f는 닫힌다.
당업자는 프로그램된, 그렇지 않으면 증착 및 본원의 다른 곳에서 설명되는 반응기 세정 공정이 수행되도록 구성된, 하나 이상의 제어기(들)가 장치에 포함된다는 것을 이해할 것이다. 제어기(들)는, 당업자가 이해하는 바와 같이, 다양한 전력원, 가열 시스템, 펌프, 로보틱스, 및 반응기의 가스 흐름 제어기 또는 밸브들과 통신한다.
공정 사이클은, 예를 들면 도 1a에 도시된 장치를 포함하는 임의의 적합한 장치를 사용하여 수행될 수 있다. 도 1a는, 본 발명의 일부 구현예에 사용될 수 있고 바람직하게는 아래에 설명되는 시퀀스를 수행하기 위해 프로그램된 제어와 협력하는 PEALD 장치의 개략도이다. 이 도면에서, 서로 마주하며 평행한 한 쌍의 전기 전도성 평판 전극(4, 2)을 반응 챔버(3)의 내부(반응 구역)(11)에 공급하고, HRF 전력(13.56 MHz 또는 27 MHz)(25)을 일측에 인가하고 타측(12)을 전기적으로 접지시킴으로써, 플라즈마가 전극들 사이에서 여기된다. 온도 조절기가 하부 스테이지(하부 전극)(2)에 제공되고, 그 위에 놓인 기판(1)의 온도는 주어진 온도로 일정하게 유지된다. 상부 전극(4)은 샤워 플레이트(shower plate)로서의 역할도 수행하며, 반응물 가스 및/또는 희석 가스, 그리고, 만약에 존재한다면, 전구체 가스가 각각의 가스 라인(21) 및 가스 라인(22)을 통해서 그리고 샤워 플레이트(4)를 통해서 반응 챔버(3)로 유입된다. 추가적으로, 반응 챔버(3)에는 배기 라인(7)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기된다. 추가적으로, 반응 챔버(3) 아래에 배치된 이송 챔버(5)는, 이송 챔버(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 씰 가스를 유입하기 위한 씰 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 챔버(5)로 또는 이송 챔버(5)로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 챔버는 또한 배기 라인(6)을 구비한다. 일부 구현예에서, 다중-요소 막의 증착 및 표면 처리는 동일한 반응 공간에서 수행되어, 모든 단계들이 기판을 공기 또는 다른 산소-함유 대기에 노출시키지 않고 연속적으로 수행될 수 있다.
일부 구현예에서, 도 1a에 묘사된 장치에서, 도 1b에 도시된(앞에서 설명된) 불활성 기체의 흐름 및 전구체 가스의 흐름을 스위칭하는 시스템이, 반응 챔버의 압력을 변동시키지 않고 전구체 가스를 펄스로 유입하기 위해 사용될 수 있다.
당업자는 프로그램된, 그렇지 않으면 증착 및 본원의 다른 곳에서 설명되는 반응기 세정 공정이 수행되도록 구성된, 하나 이상의 제어기(들)가 장치에 포함된다는 것을 이해할 것이다. 제어기(들)는, 당업자가 이해하는 바와 같이, 다양한 전력원, 가열 시스템, 펌프, 로보틱스, 및 반응기의 가스 흐름 제어기 또는 밸브들과 통신한다.
일부 구현예에서, 듀얼 챔버 반응기(서로 근접하게 배치된 웨이퍼를 프로세싱하기 위한 두 개의 섹션 또는 컴파트먼트)가 사용될 수 있고, 반응물 가스 및 비활성 가스는 공유된 라인을 통해 공급될 수 있고, 반면 전구체 가스는 공유되지 않는 라인을 통해 공급된다.
일부 구현예에서, PEALD 사이클은 아래의 표 1에 나타난 조건하에서 수행될 수 있다.
(수치는 근사치임)
PEALD를 위한 조건
기판 온도 25 내지 800℃(바람직하게는 50 내지 550℃)
전극 갭(기판의 두께는 약 0.7 mm임) 4 내지 40 mm(바람직하게는 8 내지 15 mm)
압력 10 내지 2000 Pa(바람직하게는 100 내지 800 Pa)
캐리어 가스의 유량(연속적) 100 내지 5000 sccm(바람직하게는 1000 내지 3000 sccm)
전구체의 유량 캐리어 가스의 유량에 대응함
퍼지 가스의 유량(연속적) 0 내지 15000 sccm(바람직하게는 0 내지 6000 sccm)
제1 반응물의 유량(연속적) 1000 내지 15000 sccm(바람직하게는 1000 내지 6000 sccm)
제2 반응물의 유량(연속적) 연속적: 제1 유량비에서 100 내지 5000 sccm(바람직하게는 100 내지 2000 sccm)
램프 다운/업: 0 내지 1000 sccm/초 (바람직하게는
0 내지 500 sccm/초)
계단식: 0.5 내지 5초의 계단 지속시간에서 100 내지 1000 sccm/계단(바람직하게는100 내지 500 sccm/계단) (바람직하게는 0.5 내지 1초)
제1 유량비(제2 반응물의 유량/"RF"의 시작 시 총 유량) 1/1 내지 1/200(바람직하게는 1/5 내지 1/100)
제2 유량비(제2 반응물의 유량/"RF"의 종료 시 총 유량) 0 내지 1/10(바람직하게는 0 내지 1/100)
300-mm 웨이퍼를 위한 RF 전력(13.56 MHz) 연속적: 25 내지 1000 W(바람직하게는 50 내지 600 W)
램프 업: 20 내지 500 W/초 (바람직하게는 50 내지 200 W/초)
"피드"의 지속시간 0.05 내지 10초 (바람직하게는 0.1 내지 1.5초)
"퍼지 1"의 지속시간 0.05 내지 10초 (바람직하게는 0.2 내지 1.5초)
"RF"의 지속시간 0.1 내지 20초 (바람직하게는 1 내지 5초)
"퍼지 2"의 지속시간 0.1 내지 10초 (바람직하게는 0.1 내지 1초)
한 사이클의 지속시간 0.3 내지 50초 (바람직하게는 0.5 내지 5초)
사이클당 글로우(glow) 속도(nm/min) 상면에서 0.001 내지 0.1(바람직하게는 0.01 내지 0.1)
용어 "피드(Feed)", "퍼지 1", "RF", 및 "퍼지 2"는 도 3~13에 도시된 명칭에 해당한다. "RF"에서, 제2 반응물 유동은 "RF'의 종료 전에 제2 유량비에 도달하여 "RF"의 종료까지 제2 유량비를 유지할 수 있다. 적용 가능하다면, 다른 가스 유동의 램프 다운/업은 위의 표에 나타낸 제2 반응물의 램프 다운/업과 유사한 방식으로 수행될 수 있다. 위에서 표시된 300-mm 웨이퍼용 RF 전력은 W/cm2(웨이퍼의 단위 면적당 전력량)으로 변환될 수 있는 데, 이는 200 mm 또는 450 mm와 같이 상이한 직경을 갖는 웨이퍼에 적용할 수 있다.
일부 구현예에서, 주기적 PECVD는 아래의 표 2에 나타낸 조건 하에서 수행될 수 있다.
(수치는 근사치임)
PECVD를 위한 조건
기판 온도 25 내지 800℃(바람직하게는 50 내지 550℃)
전극 갭(기판의 두께는 약 0.7 mm임) 4 내지 40 mm(바람직하게는 8 내지 15 mm)
압력 10 내지 2000 Pa(바람직하게는 100 내지 800 Pa)
캐리어 가스의 유량(연속적) 100 내지 5000 sccm(바람직하게는 1000 내지 3000 sccm)
전구체의 유량 캐리어 가스의 유량에 대응함
퍼지 가스의 유량(연속적) 0 내지 15000 sccm(바람직하게는 0 내지 6000 sccm)
제1 반응물의 유량(연속적) 1000 내지 15000 sccm(바람직하게는 1000 내지 6000 sccm)
제2 반응물의 유량(연속적) 연속적: 제1 유량비에서 100 내지 5000 sccm(바람직하게는 100 내지 2000 sccm)
램프 다운/업: 0 내지 1000 sccm/초 (바람직하게는 0 내지 500 sccm/초)
계단식: 0.5 내지 5초의 계단 지속시간에서 100 내지 1000 sccm/계단(바람직하게는100 내지 500 sccm/계단) (바람직하게는 0.5 내지 1초)
제1 유량비(제2 반응물의 유량/"RF"의 시작 시 총 유량) 1/1 내지 1/200(바람직하게는 1/5 내지 1/100)
제2 유량비(제2 반응물의 유량/"RF"의 종료 시 총 유량) 0 내지 1/10(바람직하게는 0 내지 1/100)
300-mm 웨이퍼를 위한 RF 전력(13.56 MHz) (연속적) 25 내지 1000 W(바람직하게는 50 내지 600 W)
"단계 a"의 지속시간 5 내지 60초 (바람직하게는 10 내지 20초)
"단계 b"의 지속시간 0.05 내지 5초 (바람직하게는 0.1 내지 1초)
"단계 c"의 지속시간 0.05. 내지 20초 (바람직하게는 0.5 내지 5초)
"단계 d"의 지속시간 0.05 내지 5초 (바람직하게는 0.1 내지 1초)
"단계 e"의 지속시간 1 내지 20초 (바람직하게는 5 내지 10초)
단계 c와 d의 사이클의 수 목표 막 두께에 도달하기 위해 필요한 만큼
사이클당 글로우(glow) 속도(nm/사이클) 상면에서 0.1 내지 20(바람직하게는 0.5 내지 5)
용어 "단계 a", "단계 b", "단계 c", "단계 d", 그리고 "단계 e"는 도 14에 나타낸 단계들에 해당한다. "단계 c"에서, 제2 반응물 유동은 "단계 c'의 종료 전에 제2 유량비에 도달하여 "단계 c"의 종료까지 제2 유량비를 유지할 수 있다. 적용 가능하다면, 다른 가스 유동의 램프 다운/업은 위의 표에 나타낸 제2 반응물의 램프 다운/업과 유사한 방식으로 수행될 수 있다. 위에서 표시된 300-mm 웨이퍼용 RF 전력은 W/cm2(웨이퍼의 단위 면적당 전력량)으로 변환될 수 있는 데, 이는 200 mm 또는 450 mm와 같이 상이한 직경을 갖는 웨이퍼에 적용할 수 있다.
일부 구현예들에서, 증착된 유전체막은 하기 표 3에 나타낸 조건 하에서 전구체를 공급하지 않고서 주어진 비율로 Ar, He, N2, H2, 또는 이들의 혼합물을 이용하여 증착후 처리를 받는다.
(수치는 근사치임)
증착후 처리를 위한 조건
기판 온도 25 내지 800℃(바람직하게는 50 내지 600℃)
전극 갭(기판의 두께는 약 0.7 mm임) 5 내지 20 mm(바람직하게는 8 내지 15 mm)
압력 10 내지 5000 Pa(바람직하게는 100 내지 3000 Pa)
처리 가스의 유량(연속적) 500 내지 10000 sccm(바람직하게는 2000 내지 6000 sccm)
300-mm 웨이퍼를 위한 RF 전력(13.56 MHz) (연속적) 50 내지 1500 W(바람직하게는 200 내지 1000 W)
처리 지속시간 30 내지 1200초 (바람직하게는 30 내지 600초)
일부 구현예들에서, 증착후 처리는 유전체막의 증착 완료 후 수행될 수 있다. 일부 구현예들에서, 증착후 처리는 주어진 증착 사이클 수(예컨대, 2 내지 100, 바람직하게는 10 내지 50)의 완료시마다 수행될 수 있다
일부 구현예들에서, 기판의 트렌치들 내 유전체 막은 플라즈마-지원 주기적 증착에 의해 기판의 트렌치 내에 증착된다. 본 개시에서, 인접하는 수직 스페이스들 사이의 리세스 및 임의의 다른 리세스 패턴은 "트렌치"로 지칭된다. 즉, 트렌치는 수직 스페이서로 형성된 패턴을 포함하는 임의의 리세스 패턴이고, 일부 구현예에서, 약 20 nm 내지 약 100 nm의 폭(전형적으로 약 30 nm 내지 약 50 nm)(여기서, 트렌치의 길이가 실질적으로 폭과 동일할 때, 이는 홀/비아(via)로 지칭되며, 이들의 직경은 약 20 nm 내지 약 100 nm임), 약 30 nm 내지 약 100 nm의 깊이(전형적으로 약 40 nm 내지 약 60 nm), 그리고 약 2 내지 약 10의 종횡비(전형적으로 약 2 내지 약 5)를 갖는다. 트렌치의 적절한 치수는 공정 조건, 막 조성물, 의도된 용도 등에 따라 달라질 수 있다.
아래의 작용 실시예를 참조하여 본 발명을 더 설명한다. 그러나, 이러한 실시예는 본 발명을 제한하려는 의도는 아니다. 조건 및/또는 구조가 명시되지 않는 본 실시예에서, 당업자는 일상적인 실험에 따라 본 개시의 관점으로 이러한 조건 및/또는 구조를 쉽게 제공할 수 있다. 또한, 특정한 실시예에 적용된 수치들은 일부 구현예에서 적어도 ±50%의 범위로 수정될 수 있으며, 이러한 수치들은 근사치이다.
실시예
실시예 1과 2, 및 비교예 1과 2
도 3에 도시된 시퀀스를 이용하여, 약 30 nm의 폭(개구) 및 약 85 nm의 깊이를 갖는 트렌치들(약 3의 단차비를 가짐)을 갖는 기판(300 mm의 직경 및 0.7 mm의 두께를 가짐) 상에 PEALD로 막을 형성하였는 데, 상기 시퀀스의 각 사이클은 도 1a에 도시된 PEALD 장치 및 도 1b에 도시된 가스 공급 시스템(FPS)을 사용하여 아래의 표 4(공정 사이클)에 나타난 조건하에서 수행되었다. 습식 식각율과 등각성의 면에서 결과적인 막들을 분석하였다. 결과는 도 16에 나타나 있다. 도 16은 비교예 1, 실시예 1, 비교예 2, 및 실시예 2에 따라 PEALD에 의해 증착된 막들의 습식 식각 결과를 보여주는 표이다. 표에서, 회색 셀들은 셀 내에 기재된 숫자들이 통상의 산업적 또는 내부 표준을 만족함을 나타내고; "식각 조건"은 25°C의 온도에서 5분(비교예 1과 실시예 1) 또는 6분(비교예 2와 실시예 2) 동안 100:1 dHF를 사용한 각 실험에서 증착된 막에 대하여 수행된 습식 식각의 조건들을 지칭하며; "등각성"은 습식 식각 전에 약 3의 단차비를 갖는 트렌치 내에 증착된 막의 등각성(%)을 지칭하며, 여기서 괄호 안의 숫자는 두께의 측정 에러 및/또는 국부적 변화를 표시하는 백분율 표시 두 수치 차이를 나타내고; "Tox에 대한 WERR"은 1로 간주되는 표준 열적 산화물막의 습식 식각율에 대한 습식 식각율을 지칭하고, 여기서 "±"는 습식 식각율의 측정 에러 및/또는 국부적 변화를 표시하고, "필드(field)"는 넓고 편평한 영역을 지칭하고; "WERR 등각성"은 트렌치 내에 있는 식각된 막의 등각성(비)을 지칭하며, 여기서 괄호 안의 숫자는 두께의 측정 에러 및/또는 국부적 변화를 표시하는 백분율 표시 두 수치 차이를 나타낸다.
아울러, (조밀한 영역에) 35 nm의 폭(개구) 및 85 nm의 깊이를 갖는 이격된 트렌치들(약 3의 단차비를 가짐)을 갖는 기판 및 (고립 영역에) 72 nm의 폭(개구) 및 85 nm의 깊이를 갖는 좁은 트렌치들(약 1의 단차비를 가짐)을 갖는 기판 상에 동일한 막을 증착하여 이격된 트렌치들 및 고립된 트렌치들 내에서 막의 등각성 차이를 조사하였다. 도 16의 표에서, "로딩(loading)"은 조밀한 영역("좁은(narrow)"은 트렌치들 사이의 간격이 작은 영역을 지칭함)에서 측벽막의 두께를 고립된 영역("이격된"은 트렌치들이 고립되어 있다고 여길 정도로 트렌치들 사이의 간격이 충분히 큰 영역을 지칭함)에서 측벽막의 두께로 나눔으로써 계산된 백분율을 지칭하며, 여기서 "±"는 습식 식각율의 측정 에러 및/또는 국부적 변화를 표시한다.
(수치는 근사치임)
PEALD를 위한 공통 조건
증착 온도 비교예 1(Com. Ex. 1) 및 실시예 1(Ex. 1)의 경우 400℃
비교예 2(Com. Ex. 2) 및 실시예 2(Ex. 2)의 경우 550℃
전극 갭(기판의 두께는 약 0.7 mm임) 13 mm
압력 350 Pa
전구체 디클로로실란(DCS)
퍼지 가스(N2)의 유량(연속적) 11000 sccm
제1 반응물(N2)의 유량(연속적) 제1 반응물 및 퍼지는 여기서 동일함
제2 반응물(H2)의 유량 연속적: 비교예 1, 실시예 1, 비교예 2, 및 실시예 2에 공통적으로 100 sccm            
제2 반응물(H2)의 유량
제1 유량비(H2의 유량/"RF"의 시작 시 총 유량)
램프 다운/업: 150 sccm/초,
최저: 실시예 1과 실시예 2의 경우 0 sccm    
0.07
제2 유량비(H2의 유량/"RF"의 종료 시 총 유량) 비교예 1과 비교예 2의 경우 0.07        
제2 유량비(H2의 유량/"RF"의 종료 시 총 유량)
RF 전력(13.56 MHz)
실시예 1과 실시예 2의 경우 0    
145 W
"피드"의 지속시간 0.3초
"퍼지 1"의 지속시간 0.5초
"RF"의 지속시간 3.2초
"퍼지 2"의 지속시간 0.1초
한 사이클의 지속시간 4.1초
사이클당 글로우(glow) 속도(nm/min) 상면에서 0.6
증착 온도(℃) 실시예 1과 비교예 1: 550 실시예 2와 비교예 2: 450
표(도 16)에 도시된 바와 같이, H2 유동이 위의 표 5에 도시된 바와 같이 실시예 1과 2의 "RF"에서 램프 다운되었을 때, 막의 습식 식각율은, 비교예 1과 2의 일정한 H2 유동과 비교할 때, "필드", "최상부", 측면", 및 "바닥" 모두에서 상당히 개선되었다. 또한, 표(도 16)에 도시된 바와 같이, 램프 다운 작동은 등각성의 면에서 추가적인 이점들도 나타내었다.
실시예 3~5 및 비교예 3~5
실시예 3~5에서, 증착 온도가 300℃(실시예 3), 350℃(실시예 4), 및 550℃(실시예 5)였다는 사실을 제외하면 실시예 1 및 2와 동일한 방식으로 막을 증착하였고, 비교예 3~5에서, 증착 온도가 300℃(비교예 3), 350℃(비교예 4), 및 550℃(비교예 5)였다는 사실을 제외하면 비교예 1 및 2와 동일한 방식으로 막을 증착하였다. 각각의 막의 수소 함량(원자/cm3)을 SIMS로 측정하였다. 결과는 도 15에 나타나 있다. 도 15는 비교예 3~5에서 수소 가스 유량이 일정하였을 때(●로 표시) 그리고 실시예 3~5에서 수소 가스 함량이 램프 다운되었을 때(◆로 표시) 막의 수소 함량과 PEALD로 증착된 막의 증착 온도 사이의 관계를 보여주는 그래프이다. 도 15에 도시된 바와 같이, 특히 증착 온도가 350℃ 이하였을 때, 실시예 3~5에서 H2 유동이 램프 다운되었을 때 각각의 막의 수소 함량은 비교예 3~5에서 H2 유동이 일정할 때의 수소 함량보다 상당히 낮았다(일반적으로, 증착 온도가 400℃ 이하였을 때, 이러한 효과는 더욱 두드러짐). 도 16에 도시된 결과들을 고려하면, 램프 다운 작동은 막 내에 불순물로서 결합된 수소의 함량을 낮출 수 있고 막의 화학적 저항 증가(예컨대, 더 낮은 습식 식각율)에 기여할 수 있다고 결론지을 수 있다.
실시예 6
실시예 6에서, 실리콘 기판 상에 다수의 막을 다음과 같이 증착하였다: 단계 1(St1)에서, 디클로로실란(DCS)을 전구체로서 그리고 N2/H2를 반응물로서 사용하여 저압 CVD(LPCVD)로 제1 SiN막을 증착하였고; 단계 2(St2)에서, (증착 온도가 350℃였다는 사실을 제외하면) 비교예 1의 방식과 실질적으로 유사한 방식으로 DCS를 전구체로서 그리고 N2/H2를 반응물로서 사용하여 표준 PEALD로 상기 제1 SiN막 위에 제2 SiN막을 증착하였고; 단계 3(St3)에서, (증착 온도가 350℃였다는 사실을 제외하면) 실시예 1의 방식과 실질적으로 유사한 방식으로 DCS를 전구체로서 그리고 N2/H2를 반응물로서 사용하여 램프 PEALD(램프 다운 반응물 유동을 갖는 PEALD는 "램프 PEALD"로 지칭됨)로 상기 제2 SiN막 위에 제3 SiN막을 증착하였고; 단계 4(St4)에서, 미국 특허 출원 공개 제2014/00273528호(이의 개시 내용은 전체가 본원에 참조로 포함되어 있음)에서 개시된 실시예 1의 방식과 실질적으로 유사한 방식으로 350℃의 증착 온도에서 H2SiI2를 전구체로 그리고 N2/H2를 반응물로서 사용하여 PEALD로 상기 제3 SiN막 위에 제4 SiN막을 증착하였고; 단계 5(St5)에서, 본 개시에서 수소 유동이 실시예 1에서처럼 램프 다운되었다는 사실을 제외하면, 미국 특허 출원 공개 제2014/00273528호에서 개시된 실시예 1의 방식과 실질적으로 유사한 방식으로 300℃의 증착 온도에서 H2SiI2를 전구체로 그리고 N2/H2를 반응물로서 사용하여 램프 PEALD로 상기 제4 SiN막 위에 제5 SiN막을 증착하였고; 단계 6(St6)에서, (증착 온도가 300℃였다는 사실을 제외하면) 비교예 1의 방식과 실질적으로 유사한 방식으로 DCS를 전구체로서 그리고 N2/H2를 반응물로서 사용하여 표준 PEALD로 상기 제5 SiN막 위에 제6 SiN막을 증착하였고; 단계 7(St7)에서, (증착 온도가 300℃였다는 사실을 제외하면) 실시예 1의 방식과 실질적으로 유사한 방식으로 DCS를 전구체로서 그리고 N2/H2를 반응물로서 사용하여 램프 PEALD로 상기 제6 SiN막 위에 제7 SiN막을 증착하였고; 그리고 단계 8(St8)에서, 미국 특허 출원 공개 제2014/00273528호에서 개시된 실시예 1의 방식과 실질적으로 유사한 방식으로 300℃의 증착 온도에서 H2SiI2를 전구체로 그리고 N2/H2를 반응물로서 사용하여 PEALD로 상기 제7 SiN막 위에 제8 SiN막을 증착하였다. 다수의 SiN막으로 이루어진 결과적인 적층체의 조성을 SIMS로 분석하였다. 결과는 도 17에 나타나 있다.
도 17은 PEALD에 의해 증착된 막의 깊이에 대하여 다수의 막으로 이루어진 적층체의 H, Cl, N, O, C, X (X는 I), 및 Si의 농도(원자/cc)를 보여주는 차트이다. 도 17에 도시된 바와 같이, Si 및 N의 농도는 전구체의 종류 및 증착 방법에 상관 없이, 두께 방향에서 적층체 전체에 걸쳐서 실질적으로 일정하였다. 두께 방향에서 적층체의 Cl 및 X (I)의 농도는 전구체의 종류에 따라, 즉 전구체가 할로겐으로서 Cl을 함유하는지 아니면 I를 함유하는 지에 따라 달라졌다. 두께 방향에서 적층체 내 C의 농도는 오히려 전구체의 종류에 따라 달라졌다. 두께 방향에서 적층체 내의 H와 O의 농도는 반응물(H2) 유동이 램프 다운되었는 지 아니면 일정하였는 지에 따라 매우 달랐는 데, 여기서 H 농도의 변화 패턴과 O 농도의 변화 패턴은 실질적으로 반대였다. 즉, H 농도가 증가하였을 때, O 농도는 감소하였고, 그 역도 가능하였다. 증착 방법의 종류 및 전구체의 종류에 상관 없이, (St 3(DCS를 이용한 PEALD), St5(H2SiI2를 이용한 PEALD), 및 St7(DCS를 이용한 PEALD)에서) RF 전력의 인가 동안 반응물 유동이 램프 다운되었을 때, 각각의 막 내 수소 농도는 효과적으로 감소되었는 데, 이는 각각의 막의 화학적 저항이 개선되었음을 나타낸다.
당업자는 본 발명의 사상을 벗어나지 않고서 다수의 그리고 다양한 변형들이 만들어질 수 있음을 이해할 것이다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며 본 발명의 범위를 한정하도록 의도된 것이 아니라는 것을 분명히 이해해야 한다.

Claims (20)

  1. 질화물 또는 산화물막을 플라즈마-지원 주기적 증착으로 형성하기 위한 방법으로서:
    (i) 제1 반응물, 제2 반응물, 및 전구체를 기판이 놓여진 반응 공간에 공급하는 단계로서, 상기 제2 반응물은 수소 함유 화합물 또는 산소 함유 화합물로 구성되고, 상기 제2 반응물은 제1 유량비로 흐르고, 유량비는 상기 반응 공간으로 흐르는 가스들의 총 유량에 대한 상기 제2 반응물의 유량의 비로 정의되는, 공급 단계;
    (ii) RF 전력을 상기 반응 공간에 인가하여 상기 기판을 플라즈마에 노출시키면서, 상기 제1 유량비에서 제2 유량비로 점차적으로 감소되는 유량비로 상기 제1 반응물 및 상기 제2 반응물을 연속적으로 공급하면서 상기 전구체의 공급을 멈추는, 공급 중단 단계; 및
    (iii) 상기 질화물 또는 산화물막의 원하는 두께가 얻어질 때까지 단계 (i)과 단계 (ii)를 반복하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 플라즈마-지원 주기적 증착은 주기적 플라즈마 강화 화학 기상 증착(주기적 PECVD)으로서, RF 전력은 단계 (i)과 (ii)를 통하여 연속적으로 공급되는, 방법.
  3. 제1항에 있어서, 상기 플라즈마 지원-주기적 증착은 플라즈마 강화 원자층 증착(PEALD)으로서, RF 전력은 단계 (i) 동안 공급되지 않는, 방법.
  4. 제3항에 있어서, PEALD의 반복 사이클은:
    (ia) 단계 (i) 후에 상기 전구체를 공급하지 않고 그리고 RF 전력을 인가하지 않고서, 상기 제1 반응물 및 상기 제2 반응물을 연속적으로 공급하면서 상기 반응 공간을 퍼지하는 단계; 및
    (iia) 단계 (ii) 후에 상기 제1 반응물 또는 상기 제1 및 제2 반응물을 연속적으로 공급하면서 상기 반응 공간을 퍼지하는 단계를 포함하는, 방법.
  5. 제1항에 있어서, 단계 (ii)에서, 상기 제2 유량비는 0인, 방법.
  6. 제2항에 있어서, 단계 (ii)에서, 상기 제2 반응물의 유동은 램프 업되는, 방법.
  7. 제4항에 있어서, 단계 (ii)에서, 상기 제2 반응물의 유동은 램프 다운되는, 방법.
  8. 제4항에 있어서, 단계 (iia)에서, 상기 제2 반응물의 유동은 상기 제2 유량비로 유지되는, 방법.
  9. 제4항에 있어서, 단계 (i)에서, 상기 제2 반응물의 유동은 단계 (i)의 시작 시에 제2 유량비로 시작하여 제2 유량비로부터 제1 유량비까지 램프 업되는, 방법.
  10. 제7항에 있어서, 단계 (ii)에서, 상기 제2 반응물의 유동은 일정한 속도로 램프 다운되는, 방법.
  11. 제7항에 있어서, 단계 (ii)에서, 상기 제2 반응물의 유동은 계단식으로 램프 다운되는, 방법.
  12. 제4항에 있어서, 단계 (ii)에서, 상기 제2 반응물의 유동이 램프 다운되는 동안, RF 전력은 램프 업되는, 방법.
  13. 제4항에 있어서, 단계 (ii)에서, 단계 (ii)의 종료 전에 상기 제2 반응물의 유동은 제2 유량비까지 램프 다운되고, 단계 (ii)의 종료까지 제2 유량비로 유지되는, 방법.
  14. 제13항에 있어서, 단계 (ii)에서, 상기 제2 반응물의 유동이 제2 유량비로 유지되는 동안의 RF 전력은 상기 제2 반응물의 유동이 램프 다운되는 동안의 RF 전력보다 높은, 방법.
  15. 제4항에 있어서, 단계 (i) 내지 (iia)에서, 상기 제1 반응물의 유동과 상기 제2 반응물의 유동의 합이 일정하도록 상기 제1 반응물의 유동은 상기 제2 반응물의 유동 변화를 보상하는 방식으로 변화되는, 방법.
  16. 제4항에 있어서, PEALD의 상기 반복 사이클은 상기 제1 및 제2 반응물 이외의 퍼지 가스를 공급하는 단계를 더 포함하는, 방법.
  17. 제1항에 있어서, 상기 질화물 또는 산화물막은 실리콘 질화물 또는 실리콘 산화물막이고, 상기 전구체는 실리콘을 포함하는, 방법.
  18. 제17항에 있어서, 상기 질화물 또는 산화물막은 실리콘 질화물막인, 방법.
  19. 제1항에 있어서, 상기 전구체는 할로겐을 함유하는, 방법.
  20. 제1항에 있어서, 증착후 처리로서, Ar, He, N2, H2, 또는 상기한 가스들의 혼합물로 이루어진 플라즈마에 상기 기판을 주어진 비율로 노출시키는 단계를 더 포함하는, 방법.
KR1020180044612A 2017-04-17 2018-04-17 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법 KR102603686B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/489,660 2017-04-17
US15/489,660 US9984869B1 (en) 2017-04-17 2017-04-17 Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas

Publications (2)

Publication Number Publication Date
KR20180116761A KR20180116761A (ko) 2018-10-25
KR102603686B1 true KR102603686B1 (ko) 2023-11-17

Family

ID=62165995

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180044612A KR102603686B1 (ko) 2017-04-17 2018-04-17 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법

Country Status (4)

Country Link
US (1) US9984869B1 (ko)
KR (1) KR102603686B1 (ko)
CN (1) CN108728824B (ko)
TW (1) TWI782003B (ko)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN112930581A (zh) * 2018-10-19 2021-06-08 朗姆研究公司 沉积氮化硅膜的方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) * 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) * 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4235066B2 (ja) * 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 薄膜形成方法
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2011166106A (ja) * 2010-01-13 2011-08-25 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
WO2012039107A1 (ja) * 2010-09-21 2012-03-29 株式会社アルバック 薄膜製造方法及び薄膜製造装置
TWI588286B (zh) * 2013-11-26 2017-06-21 烏翠泰克股份有限公司 經改良的電漿強化原子層沉積方法、周期及裝置
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
CN104498895B (zh) * 2014-12-23 2017-02-22 国家纳米科学中心 一种超薄氮氧化硅膜材料及其制备方法和用途

Also Published As

Publication number Publication date
KR20180116761A (ko) 2018-10-25
CN108728824B (zh) 2022-01-11
TW201903190A (zh) 2019-01-16
CN108728824A (zh) 2018-11-02
TWI782003B (zh) 2022-11-01
US9984869B1 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
KR102603686B1 (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
US11637011B2 (en) Method of topology-selective film formation of silicon oxide
US20230112490A1 (en) Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
CN111048400B (zh) 通过循环cvd形成保形碳化硅膜的方法
US9607837B1 (en) Method for forming silicon oxide cap layer for solid state diffusion process
US9343297B1 (en) Method for forming multi-element thin film constituted by at least five elements by PEALD
US10043661B2 (en) Method for protecting layer by forming hydrocarbon-based extremely thin film
US9909214B2 (en) Method for depositing dielectric film in trenches by PEALD
US20190311898A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9627221B1 (en) Continuous process incorporating atomic layer etching
KR102373917B1 (ko) 원자층 증착에 의해 컨포멀 질화, 산화 또는 탄화된 유전체 막을 형성하는 방법
US9899291B2 (en) Method for protecting layer by forming hydrocarbon-based extremely thin film
KR20220137859A (ko) 기판 상의 구조물 형성 방법
KR102324575B1 (ko) 플라즈마 이용 프로세싱에 의한 산화물 막의 형성 방법
US20170250068A1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP7405572B2 (ja) 酸窒化膜を形成する方法
KR20180124788A (ko) 트렌치의 측벽 또는 평탄면 상에 실리콘 질화물막을 선택적으로 형성하기 위한 방법
CN107794515B (zh) 通过形成基于烃的超薄膜对层进行保护的方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant