TW201637095A - 電漿輔助原子層蝕刻的方法 - Google Patents

電漿輔助原子層蝕刻的方法 Download PDF

Info

Publication number
TW201637095A
TW201637095A TW104141679A TW104141679A TW201637095A TW 201637095 A TW201637095 A TW 201637095A TW 104141679 A TW104141679 A TW 104141679A TW 104141679 A TW104141679 A TW 104141679A TW 201637095 A TW201637095 A TW 201637095A
Authority
TW
Taiwan
Prior art keywords
gas
etching
reaction space
reaction
layer
Prior art date
Application number
TW104141679A
Other languages
English (en)
Other versions
TWI689012B (zh
Inventor
深澤篤毅
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201637095A publication Critical patent/TW201637095A/zh
Application granted granted Critical
Publication of TWI689012B publication Critical patent/TWI689012B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本案發明說明揭露一種在基板上蝕刻一膜層之方法,其包括至少一蝕刻循環,其中一蝕刻循環包括:將一惰性氣體連續地提供至反應空間內;將一脈衝之蝕刻氣體提供至該反應空間上游之連續惰性氣體流中,以將未激發狀態之蝕刻氣體化學吸附在該基板之表面上;且在電極之間提供一脈衝之RF功率放電,使得在該反應空間中產生該惰性氣體之反應物類,以蝕刻該基板上之膜層。

Description

電漿輔助原子層蝕刻的方法
本發明整體上係關於一種原子層蝕刻(ALE)方法,尤其係關於一種電漿輔助原子層蝕刻(PEALE)之方法。
為處理伴隨半導體裝置之微型化及複雜結構之各種加工,加工之精確度已明顯地藉由諸如雙圖案化之新技術改良。然而,使用習知蝕刻技術,諸如激發反應種類之連續蝕刻可能難以形成精緻、狹窄凹凸圖案且可能引起形狀之缺陷。因此,開發能進行原子層級蝕刻且適於例如雙圖案化製程之蝕刻技術變得重要。然而,必須改善低蝕刻速率、對蝕刻方向性不佳之控制性、或諸如此類。
為提供本發明之內容之目的,任何與相關技術關聯之問題及解決方案之討論已單獨包括在本揭露中,且不應視為承認在作出本發明時知曉任何或全部討論。
本發明某些具體實施例提供一種原子層級蝕刻之方法(稱為原子層蝕刻,ALE),其特性為以下特徵中之一或多者:(a)將一蝕刻氣體與 一惰性氣體一起經由一質量流量控制器供應至一反應空間,其中該蝕刻氣體與該惰性氣體於該質量流量控制器下游聚集,且連續地供應該惰性氣體,但脈衝式地供應該蝕刻氣體,藉此增加清洗的效率;(b)使用電漿以用於蝕刻,其中向該反應空間供應惰性氣體或氮氣作為基本反應氣體以用於在其中產生電漿,其中藉由選擇性地添加諸如氧氣之氧化氣體或諸如氫氣之還原氣體作為額外之反應氣體來控制蝕刻速率;(c)該製程溫度係控制在0℃至250℃;且(d)藉由選擇反應氣體之類型及蝕刻條件控制蝕刻之方向性以進行各向異性或各向同性蝕刻。
在某些具體實施例中,可在反應室中進行ALE,其亦用作用於藉由化學氣相沉積(CVD)、原子層沉積(ALD)等沉積膜之一反應室,及/或用作用於乾式蝕刻之一反應室,其中不需額外室以用於進行蝕刻。或者,可在一反應室中進行ALE,該室係貼附至一晶圓操作室作為安裝於群集裝置中之多個室中之一者。在某些具體實施例中,ALE為在亦為在任何組合中用於O2-灰化、各向異性蝕刻等之相同室之相同反應室中進行之各向異性或各向同性ALE。在某些具體實施例中,ALE為在一群集裝置之多個反應室中之一者中進行之各向異性或各向同性ALE,其中其它反應室為在任何組合中用於O2-灰化、各向異性蝕刻等之反應室。
在某些具體實施例中,用於ALE之電漿係使用導電耦合電極、遠端電漿單元、或該等之組合而產生。
在某些具體實施例中,用於ALE之蝕刻氣體係選自由氟碳、其它含鹵素氣體、及烴氣組成之群組之至少一氣體。
在某些具體實施例中,連續地供應該惰性氣體作為流經一載 體氣體管線之一載體氣體、流經一反應氣體管線之一反應氣體、或載體氣體及反應氣體兩者。由於該惰性氣體連續地流動,其可作用為一清洗氣體。
基於總結本發明之態樣及優於相關技術所達成之優點的目的,在本揭露中描述本發明之某些目的及優點。當然,應了解根據本發明之任何特定具體實施例可不必達成所有此等目標或優點。因此,例如,熟悉此技術之人士將認知本發明可以達到或最佳化本文教示之一優點或一群優點之方式下體現或實行,而不必達成如可在本文教示或建議之其它目標或優點。
本發明之其它態樣、特徵及優點將由以下實施方式變得顯而易見。
1‧‧‧基板
2‧‧‧低電極/基座
3‧‧‧反應室
4‧‧‧高電極/噴淋板
5‧‧‧HRF功率
6‧‧‧排氣管
11‧‧‧內部
12‧‧‧電接地
21‧‧‧質量流量控制器
22‧‧‧質量流量控制器
23‧‧‧質量流量控制器
24‧‧‧密封氣體流量控制器
25‧‧‧質量流量控制器
26‧‧‧質量流量控制器
27‧‧‧質量流量控制器
28‧‧‧質量流量控制器
30‧‧‧氣體歧管
31‧‧‧脈衝流控制閥
50‧‧‧LRF功率
本發明之此等及其它特徵現將參照較佳具體實施例之圖式示描述,該等較佳具體實施例其意欲在於說明而非限制本發明。該等圖式基於說明性目的而大幅地簡化且不必按比例繪製。
圖1係可用於本發明之一具體實施例中用於蝕刻一半導體基板之PEALE(電漿輔助原子層蝕刻)裝置之示意圖。
圖2顯示根據本發明之一具體實施例在一循環中之PEALE之示意製程順序,其中在行中說明以一步階表示呈開啟(ON)狀態而在行中說明以無步階表示為關閉(OFF)狀態,且每一行之寬度不表示每一製程之持續時間。
圖3顯示根據一比較性具體實施例之非循環蝕刻製程之一示意製程順序,其中在行中說明之一步階表示為開啟(ON)狀態而在行中說 明之無步階表示為關閉(OFF)狀態,且每一行之寬度不表示每一製程之持續時間。
圖4為根據本發明之一具體實施例顯示每循環之蝕刻速率(EPC)(nm/循環)及每循環之蝕刻氣體饋送時間(秒)之間之關係之圖。
圖5為根據本發明之一具體實施例顯示每循環之蝕刻速率(EPC)(nm/循環)及每循環之清洗時間(秒)之間之關係之圖。
在本揭露中,「氣體」可包括蒸發固體及/或液體,且可由單一氣體或氣體混合物構成。在本揭露中,經由噴淋頭引入反應室之製程氣體可包含一蝕刻氣體及一添加氣體、基本上由其組成、或由其組成。該添加氣體通常包括用於當施加射頻(RF)功率至一反應氣體時與該蝕刻氣體反應之該反應氣體。該反應氣體可以一稀釋氣體稀釋,其作為與該反應氣體一起之一混合氣體或與該反應氣體分開地引入該反應室。該蝕刻氣體可與諸如一貴重氣體之一載體氣體一起引入。並且,除該製程氣體外之一氣體(即未通過該噴淋頭引入之一氣體)可用於例如密封該反應空間,其包括諸如一貴重氣體之一密封氣體。在某些具體實施例中,該術語「蝕刻氣體」通常指至少一種氣態或蒸發化合物,其參與蝕刻在一基板上之一層之蝕刻反應,且尤其指至少一化合物,其化學吸附在呈未激發狀態之該層上且當經活化時蝕刻該層,而該術語「反應氣體」指至少一氣態或蒸發態化合物,其有助於該蝕刻氣體之活化或藉由該蝕刻氣體催化一蝕刻反應。該術語「蝕刻氣體」指不含一載體氣體之一活化氣體,或一活化氣體及一載體氣體之 混合物,取決於該內容。同樣地,該術語「反應氣體」取決於該內容係指無稀釋氣體之一反應氣體,或以一稀釋氣體稀釋之一反應氣體。術語「載體氣體」係指呈未激發狀態之惰性或未活化氣體,其以混合狀態攜帶一蝕刻氣體至該反應空間且作為包括該蝕刻氣體之混合氣體進入該反應空間。該惰性氣體及該蝕刻氣體可在該反應空間上游任一處聚集為一混合氣體,例如:(a)在提供於該蝕刻氣體管線中之一質量流量控制器上游之一蝕刻氣體管線中,其中該惰性氣體經提供為流經該蝕刻氣體管線之一載體氣體或清洗氣體,(b)在提供於該蝕刻氣體管線中之一質量流量控制器下游但在一氣體歧管上游之一蝕刻氣體管線中,在該處所有或主要製程氣體聚集,其中提供該惰性氣體作為該蝕刻氣體之部份(作為一載體氣體或清洗氣體),及/或(c)在一氣體歧管中,在該處所有或主要製程氣體聚集,其中該惰性氣體在該氣體歧管上游流入一反應氣體管線作為一反應氣體或清洗氣體。上文中,通常(a)為罕見。因此,該惰性氣體可作為一載體氣體(作為蝕刻氣體之一部份)及/或一反應氣體之至少一部份,其中上述氣體亦可用作清洗氣體。
在某些具體實施例中,「膜」意指實質上無針孔在垂直於厚度方向上之方向連續延伸以覆蓋整個目標或關注表面之一層,或僅係覆蓋目標或關注表面之一層。在某些具體實施例中,「層」意指具有在一表面上形成之一定厚度之一結構或膜或非膜結構之同義字。一膜或層可由具有某種特性之不連續單一膜或層,或多個膜或層構成,且相鄰膜或層之間之邊界可或可不為清晰的,且可基於物理、化學、及/或任何其它特性、形成製程或順序,及/或相鄰膜或層之功能或目的建立。
此外,在本揭露中,任何兩個數目之變數可構成變數之可作 用範圍,而可作用範圍可基於例行工作確定,且所指出之任何範圍可包括或排除端點。此外,任何所指出之變數之值(無論其等是否係以「約」指出)可係指精確值或近似值,且包括等效值,且在某些具體實施例中可指平均值、中值、代表值、多數等。此外,術語「由……構成」及「具有」在某些具體實施例中獨立地指「通常或廣泛地包含」、「包含」、「基本上由……組成」、或「由……組成」。進一步地,冠詞「一」或「一種」係指一種類或包括多種類之屬。在此揭露中,在某些具體實施例中任何所定義之意義不必排除普通及習知之意義。
在其中未具體指明條件及/或結構之本揭露中,熟悉本技術者考量本揭露依照例行實驗可容易地提供此等條件及/或結構。在所有所揭露之具體實施例中,任何在具體實施例中所用之元件可以用於所欲目的之其任何等效元件替代,包括本文明確地、必要地、或本質上地揭露者。進一步地,本發明可同樣地應用在裝置及方法中。
該等具體實施例將就較佳具體實施例說明。然而,本發明不限於該等較佳具體實施例。
解決先前討論之該等問題之至少一者之某些具體實施例提供一種用於藉由原子層蝕刻(ALE)製程在置於在一反應空間中之電極間之一基板上蝕刻一層之方法,其包含至少一蝕刻循環,其中一蝕刻循環包含:(i)連續地提供一惰性氣體,其至少作為用於一蝕刻氣體之一載體氣體流入該反應空間內;(ii)提供一脈衝之蝕刻氣體至該反應空間上游之連續惰性氣體流內以化學吸附呈未激發狀態之蝕刻氣體於該反應空間中之基板之表面上;且(iii)在該等電極間提供一脈衝之RF功率放電以在該反應空間中產生 該惰性氣體之反應種類並將該基板之蝕刻氣體-化學吸附表面與該反應種類接觸,以蝕刻在該基板上之層。在上文中,術語「連續地」係指空間中無中斷(例如:在該基板上未中斷之供應)、流動中無中斷(例如:未中斷之流入物)、及/或在一恆定速率下(該術語不需同時滿足所有前述者),取決於該具體實施例。在某些具體實施例中,「連續」流具有一恆定流速(或者,儘管該流係「連續的」,其流速可隨時間改變)。在本揭露中,「化學吸附」係指化學飽和吸附。由於化學吸附係自限制吸附反應製程,所沈積蝕刻氣體分子之量係由反應表面位址之數目確定,且與飽和後之前驅物曝露無關,及該蝕刻氣體之供應係使得反應表面位址因此在每一循環飽和。
在某些具體實施例中,該載體氣體亦用作一反應氣體(例如,用於ALE之惰性氣體由該載體氣體組成)。在某些具體實施例中,該方法進一步包含將與該載體氣體分開之一反應氣體提供至該反應空間內,且在某些具體實施例中,該反應氣體包括一惰性氣體,其連續地在該ALE製程期間連續地流進該反應空間中。
在某些具體實施例中,該脈衝之蝕刻氣體及該脈衝之RF功率放電不重疊。在某些具體實施例中,一清洗週期係在該脈衝之蝕刻氣體及該脈衝之RF功率放電間進行以自該反應空間移除過量蝕刻氣體,且一清洗週期係在該脈衝之RF功率放電後進行以自該反應空間移除反應物。
在某些具體實施例中,重覆多次該蝕刻循環(例如,50次至5,000次,通常200次至2,000次),取決於待蝕刻之目標厚度,其中將該層蝕刻至每ALE循環化學吸附為在該層之表面上之一原子層之該蝕刻氣體可蝕刻的程度。在某些具體實施例中,當該基板之層係諸如氧化矽膜之含矽 介電層時,每循環之蝕刻速率(EPC)係至少0.003nm/循環(通常0.005nm至0.05nm/循環),且每分鐘之蝕刻速率係至少0.10nm/min(通常0.15nm/min至1.5nm/min),如在蝕刻一頂層或披覆層時所測。
在某些具體實施例中,該蝕刻氣體係至少一種含鹵素氣體,諸如:C2F6、C4F8、C3F8、C5F8、及/或至少一烴氣,諸如己烷。在某些具體實施例中,在整個ALE製程中除含鹵素氣體外無氣體流動作為該蝕刻氣體。
在某些具體實施例中,該惰性氣體連續地在該ALE製程期間作為一載體氣體在該反應空間中流動。或者或另外,該惰性氣體連續地在該ALE製程期間作為一反應氣體在該反應空間中流動。若該惰性氣體構成且亦用作一載體氣體,且該反應氣體包括一惰性氣體,該反應氣體之惰性氣體可脈衝式地在該反應空間中流動。除該惰性氣體外之一反應氣體可脈衝式地在該反應空間中流動。與該反應空間上游(例如:一噴淋頭或其中多種氣體聚集置於該噴淋頭上游之一氣體歧管的上游)之該蝕刻氣體混合之該載體氣體、反應氣體、或任何其它連續流動氣體可廣泛地稱為一載體氣體,此係由於該氣體與該蝕刻氣體一起以一混合狀態進入該反應空間內,且亦為一清洗氣體,其係由於該連續流可自該反應空間及該基板表面清洗不需要之蝕刻氣體及反應物。
在某些具體實施例中,該惰性氣體係選自由一貴重氣體(諸如He、Ne、Ar、Kr、及/或Xe,較佳地Ar及/或He)、氮氣、或一貴重氣體與氮氣之組合組成之群組。
在某些具體實施例中,該反應氣體由該惰性氣體或該惰性氣體以及選自由氧化氣體(例如:O2氣體)及還原氣體(例如:H2氣體)組成之群 組之至少一額外氣體組成。在某些具體實施例中,用於ALE之該惰性氣體由流經一載體氣體管線之一載體氣體組成,或由連續地流經一載體氣體管線之一載體氣體及連續地流經與該載體氣體管線分開之一反應氣體管線之一反應氣體之至少一部份組成。
在某些具體實施例中,該基板之層具有下凹圖案。如同原子層沉積(ALD),蝕刻之依形性或方向性在該ALE製程中通常係極高的。然而,在某些具體實施例中,該基板之層經各向異性地蝕刻,其係在使用某種RF功率、壓力等之條件下,藉由以超過1,000sccm(例如:2,000sccm、3,000sccm、4,000sccm、5,000sccm、6,000sccm、7,000sccm、或其間任何值)之流速將該惰性氣體供應至該反應空間,其中該載體氣體之流速係超過500sccm(例如:1,000sccm、1,500sccm、2,000sccm、或其間任何值)。當經蝕刻表面之依形性(其係將側壁處之經蝕刻厚度除以在一頂表面處之該經蝕刻厚度計算之百分比)係30%或更低時,該蝕刻係「各向異性」。若該依形性係20%或更低,10%或更低,或5%或更低時,該蝕刻係高度各向異性。在某些具體實施例中,蝕刻之依形性或方向性可藉由將含氮氣體、氧化氣體、及/或還原氣體包括在該反應氣體中來調整。在某些具體實施例中,該ALE製程係在0℃至200℃,較佳地約50℃至約100℃之溫度下進行。
在某些具體實施例中,該反應空間係在用於成膜或乾式蝕刻之一反應室中形成。該ALE製程可使用用於成膜或乾式蝕刻之相同反應室進行,使得可連續地且有效地進行一裝置之製造。或者,該ALE製程可在貼附至一群集裝置之常用晶圓操作室之一室中進行。
待蝕刻之介電膜包括(但不限於)由以下製造之低k膜:由 SiO、SiC、SiCN、SiN或諸如此類構成之含矽絕緣膜;由Al、Ti或諸如此類構成之含金屬氧化物膜;氮化物膜;或金屬膜。在某些具體實施例中,該介電膜係在包括側壁及底表面之溝渠或穿孔中,及/或平坦表面形成,其係藉由電漿輔助CVD、熱CVD、循環CVD、電漿輔助ALD、熱ALD、自由基輔助ALD、或任何其它薄膜沉積方法。通常,該介電膜之厚度係在約50nm至約500nm之範圍中(可根據薄膜之應用及目的等等合適地選擇所需膜厚度)。該介電膜可用於雙圖案化。
圖1係可用在本發明之某些具體實施例中之PEALE裝置之示意圖,其希望連同經程式化以進行以下描述之順序控制。此裝置亦可用於藉由ALD或CVD之膜沉積。在本圖式中,藉由平行地且彼此相對地在反應室3之內部11中提供一對導電平板電極4、2,向一側施加HRF功率(13.56MHz或27MHz)5及5MHz或更低之LRF功率(400kHz~500kHz)50,及向另一側提供電接地12,在該等電極間激發電漿。在較低之台2(該低電極)中提供一溫度調節器,且其上所置之基板1之溫度在所給溫度下保持恆定。該低電極2用作一基座,且該高電極4亦用作一噴淋板,其經製造以在置於該基座2上之基板1之整個表面上均勻地供應氣體。
將一蝕刻氣體與清洗氣體經過一質量流量控制器23、一脈衝流控制閥31、一氣體歧管30、及該噴淋板4引入該反應室3內。其上提供有該質量流量控制器23之一氣體管線係一蝕刻氣體管線,其上提供有一質量流量控制器21之一氣體管線係一氮氣管線,及其上提供有一質量流量控制器22之一氣體管線係一貴重氣體管線,其中該蝕刻氣體管線、該氮氣管線、及該貴重氣體管線亦可總稱為蝕刻氣體管線。一貴重氣體流過具該 質量流量控制器22之該貴重氣體管線並作為一惰性載體氣體,其在該質量流量控制器23下游但在該氣體歧管30上游與該蝕刻氣體混合。或者或另外,一氮氣流過具該質量流量控制器21之氮氣管線,並添加至該蝕刻氣體作為一惰性載體氣體。一反應氣體係由一氮氣(其流經其上提供有一質量流量控制器25之一氮氣管線)、一貴重氣體(其流經其上提供有一質量流量控制器26之一貴重氣體管線)、一氧化氣體(其流經其上提供有一質量流量控制器27之一氧化氣體管線)、及/或一氫氣(其流經其上提供有一質量流量控制器28之一氫氣管線)構成,其中該氮氣管線、該貴重氣體管線、該氧化氣體管線、及該氫氣管線亦可總稱為一反應氣體管線。該貴重氣體(其流經其上提供有一質量流量控制器26之貴重氣體管線)、及/或該氮氣(其流經其上提供有該質量流量控制器25之氮氣管線)作為惰性反應氣體,其在該噴淋板4上游之氣體歧管30處與該蝕刻氣體混合。由於上述惰性氣體連續地流入該反應空間內,其等亦可作用為一清洗氣體。作為該連續惰性氣體流,該惰性載體氣體流較該惰性反應氣體流更有效率,因此在某些具體實施例中,可去除該惰性反應氣體流。
此外,在反應室3中,提供一排氣管6,反應室3之內部11中之氣體經由該排氣管6排放。此外,反應室3提供有密封氣體流量控制器24以將密封氣體引入反應室3之內部11中(本圖式中省略在反應室內部中用於分隔一反應區及一傳送區之一分隔板)。
熟悉本技術者將了解本裝置包括一或多個控制器(未示出),其經程式化或是經組態以使得進行本文他處所描述之沈積及蝕刻製程。該(等)控制器與各種電源、加熱系統、幫浦、機器人及反應器之氣 體流量控制器或閥連通,如熟悉本技術者所了解。
在某些具體實施例中,該製程順序可設定成如圖2中所示。圖2顯示根據本發明之一具體實施例在一循環中之PEALE之示意製程順序,其中在行中說明之一步階表示為開啟(ON)狀態而在行中說明之無步階表示為關閉(OFF)狀態,且每一行之寬度不表示每一製程之持續時間。在本具體實施例中,PEALE之一循環係由以下組成:「饋送」,其中將一蝕刻氣體經由一載體氣體饋送至一反應空間,該載體氣體在未施加RF功率下攜帶該蝕刻氣體至該反應空間,並且將一反應氣體饋送至該反應空間,藉以經由自限制吸附將該蝕刻氣體化學吸附在一基板之表面上;「清洗1」,其中無蝕刻氣體饋送至該反應空間,同時在未施加RF功率下將該載體氣體及反應氣體連續地饋送至該反應空間,藉此自該基板之表面移除未化學吸附之蝕刻氣體及過量氣體;「RF」,其中將RF功率施加至該反應空間,同時在未饋送該蝕刻氣體下將該載體氣體及反應氣體連續地饋入該反應空間,藉以蝕刻其上經由與該反應氣體之電漿反應化學吸附該蝕刻氣體之一層;及「清洗2」,其中在未饋送該蝕刻氣體及未施加RF功率至該反應空間下,將該載體氣體及反應氣體連續地饋送至該反應空間,藉此自該基板之表面移除反應物及過量氣體。該載體氣體可由該反應氣體構成。由於作為該蝕刻氣體間歇地或脈衝地注入其中之一連續流之進入該反應空間之該載體氣體之連續流,可有效地進行清洗以快速地自該層表面移除過量氣體及反應物,藉以有效地持續多次ALE循環。附帶地,在此具體實施例中,該饋送步驟、該清洗1步驟、該RF步驟及該清洗2步驟之持續時間係0.3秒、1秒、1秒、及0.2秒,且因此,一次循環之總持續時間係2.5秒。
圖3顯示根據一比較性具體實施例之非循環蝕刻製程之一示意製程順序,其中在行中說明之一步階表示一開啟(ON)狀態而在行中說明之無步階表示一關閉(OFF)狀態,且每一行之寬度不表示每一製程之持續時間。在本比較性具體實施例中,該非循環蝕刻製程由「設置氣體」、「穩定化」、「蝕刻」、及「關閉」組成。圖3所示之順序並非原子層蝕刻。即,在到達該層之表面之前使用在一反應空間中產生之活化種類進行蝕刻,且接著到達該層之表面,藉以蝕刻該表面。因此,在該蝕刻步驟中,饋送該蝕刻氣體同時施加RF功率,且進行非循環蝕刻,其中該蝕刻步驟之持續時間與該ALE製程相較係長的(例如,10至30秒)。儘管該非循環蝕刻製程之蝕刻速率極高,由於該活化種類掉落在該層之表面上,在該基板上形成之圖案之凹部之側壁未顯著地蝕刻,即,蝕刻通常為各向異性,且難以形成細緻、狹窄圖案。
在某些具體實施例中,PEALE可在下表1中所示之條件下進行。
在該ALE製程中,該蝕刻速率為低,因為蝕刻在一個時間下使用一蝕刻氣體層發生,且依形性通常為高,由於該蝕刻氣體通常未定向地化學吸附。然而,藉由(i)特別地將一貴重氣體作為該惰性氣體而非氮氣氣體供應至該反應空間(ii)在增加之流速下(例如3,000sccm或更高,其中該載體氣體之流速超過500sccm),在以下條件下(iii)某一RF功率(例如:250W或更高),及(iv)某一壓力(例如:300Pa或更高),其中使用條件(i)及(ii)至(iv)中之至少一者,可有效地各向異性地蝕刻該基板之層。令人驚奇地,自依形性蝕刻至各向異性蝕刻之變化在上述條件下以決定性的方式發 生。不受限於理論,在上述條件下,輔助電漿且經激發之種類行進長距離,藉以增加在水平表面上而非下凹處側壁上之反應性,並有效地進行各向異性之蝕刻。
本發明進一步地參照以下工作實施例解釋。然而,該等實施例未意欲限制本發明。就本揭露而言,依常規實驗,在其中未指定條件及/或結構之該等實施例中,熟習本技術者可立即提供此等條件及/或結構。並且,應用於該等特定實施例之數字在某些具體實施例中可以至少±50%之範圍修正,且該等數字為近似值。
實施例 實施例1-12及比較例1-3
氧化矽膜係以PEALD在300-mm基板上形成,該基板具有含約2之高寬比及約50nm之開口寬度之圖案化表面。在實施例1-12及比較例3中,在下表2所示之條件下使用圖1所示之PEALE裝置使用C2F6或C4F8作為蝕刻氣體在該氧化矽膜上進行PEALE。在每一循環之PEALE中使用之順序顯示於以下圖2及表3中。Ar氣體僅作為流經該蝕刻氣體管線之質量流量控制器22之載體氣體饋送並亦作為一反應氣體。將氮氣、氧氣、及氫氣饋送作為分別流經該等反應氣體管線之質量流量控制器25、27、及28之反應氣體,其中饋送氮氣作為載體氣體。
在比較例1及2中,在以下所示之條件下使用圖1所示之PEALE裝置在該氧化矽膜上進行非循環蝕刻。在該非循環蝕刻中所用之順 序係顯示於以下圖3及表4中。
該等結果顯示在下表5中。經蝕刻表面之依形性係由將在一側壁或底部處之經蝕刻厚度除以在一頂表面處之經蝕刻厚度計算而得之百分比。
如表5所示,當使用Ar作為一載體氣體及一反應氣體而無 其它氣體時(實施例1、11、及12),該蝕刻速度為最高(分別地,EPC=0.04、0.03、及0.05),且當使用N2作為一載體氣體及一反應氣體而無其它氣體時(實施例5及9),該蝕刻速率較使用其它氣體作為反應氣體高(分別地,EPC=0.03及0.03)。當添加O2或H2至該載體氣體時(實施例2、3、及4),該蝕刻速率降低(EPC=0.02);然而,當添加H2至其係Ar之該載體氣體時(實施例4),該蝕刻依形性變為在底部及側壁處蝕刻該層較多(依形性=105/115)。當在100℃及200℃之溫度下使用N2作為一載體氣體而無其它氣體時(實施例9及10),觀察到類似現象(分別地,依形性=105/115及100/119)。
該蝕刻溫度大幅地影響蝕刻速率。當該溫度係50℃(實施例2)、100℃(實施例6)、200℃(實施例7)、及250℃(實施例8)及當該載體氣體係Ar且該反應氣體為O2時,該蝕刻速率(EPC)分別為0.02、0.03、0.02、及0.01,表示當該溫度為250℃時,該蝕刻速率明顯降低。進一步地,當該溫度為260℃時(比較例3),,未發生ALE,即,該蝕刻速率為零。類似地,當該溫度為50℃(實施例5)、100℃(實施例9)、及200℃(實施例10)及該載體氣體為N2且無其它氣體時,該蝕刻速率(EPC)分別為0.03、0.03、及0.005,表示當該溫度為200℃時,該蝕刻速率明顯降低。當該蝕刻溫度高時,將不充份增強蝕刻氣體之吸附,且當該溫度超過250℃時,無ALE製程可進行。
當進行非循環蝕刻時(比較例1及2),該蝕刻依形性為零,表示該蝕刻明確地為各向異性。進一步地,由於未循環地進行蝕刻,其將極難實行蝕刻厚度之精密調節。相對地,該ALE製程通常進行依形性蝕刻 (各向同性蝕刻;依形性不少於80%)且可達成蝕刻厚度之精密調節,表示該ALE製程適於形成具高精確度之微圖案。然而,儘管進行該ALE製程,可藉由使用某種條件調節該蝕刻依形性,且當以高流率(在實施例11中6slm)饋送該載體氣體時、當RF功率為高時(在實施例13中500W)、當壓力為高時(在實施例14中500Pa)、且當RF功率及壓力皆為高時(在實施例15中500W及400Pa),高效率地進行各向異性蝕刻(依形性:實施例11中3/120、實施例13中9/98、實施例14中8/95、及實施例15中10/105)。
實施例13
根據以上實施例5進行該ALE製程,但改變蝕刻氣體之饋送時間。圖4係顯示每循環蝕刻速率(EPC)(nm/循環)及每循環蝕刻氣體饋送時間(秒)之間之關係之圖。如圖4所示,在該饋送時間到達0.2秒後,未改變EPC,表示進行自限制吸附反應製程。
實施例14
根據以上實施例5進行ALE製程,但改變在饋送該蝕刻氣體時之清洗時間。圖5係顯示每循環蝕刻速率(EPC)(nm/循環)及每循環清洗時間(秒)之間之關係之圖。如圖5所示,在該清洗時間到達0.5秒後,未改變EPC,表示進行該蝕刻氣體之化學吸附。
熟悉本技術之人士應了解可在不背離本發明之精神下進行許多及各種修正。因此,應清楚了解本發明之形式僅作說明之用,且未意欲限制本發明之範疇。
1‧‧‧基板
2‧‧‧低電極/基座
3‧‧‧反應室
4‧‧‧高電極/噴淋板
5‧‧‧HRF功率
6‧‧‧排氣管
11‧‧‧內部
12‧‧‧電接地
21‧‧‧質量流量控制器
22‧‧‧質量流量控制器
23‧‧‧質量流量控制器
24‧‧‧密封氣體流量控制器
25‧‧‧質量流量控制器
26‧‧‧質量流量控制器
27‧‧‧質量流量控制器
28‧‧‧質量流量控制器
30‧‧‧氣體歧管
31‧‧‧脈衝流控制閥
50‧‧‧LRF功率

Claims (18)

  1. 一種用於藉由一原子層蝕刻(ALE)製程在一反應空間中置於電極之間之基板上蝕刻一層之方法,其包含至少一蝕刻循環,其中一蝕刻循環包含:連續地提供一惰性氣體,其將至少作為用於一蝕刻氣體之一載體氣體流入該反應空間內;將一脈衝之蝕刻氣體提供入該反應空間上游之該連續惰性氣體流內以將呈未激發狀態之該蝕刻氣體化學吸附在該反應空間中之基板之一表面上;及將一脈衝之RF功率放電提供在該等電極間以在該反應空間中產生該惰性氣體之反應種類且將該基板之蝕刻氣體-化學吸附表面與該反應種類接觸以蝕刻該基板上之層。
  2. 如申請專利範圍第1項之方法,其中該蝕刻氣體係含鹵素氣體及/或含烴氣體。
  3. 如申請專利範圍第1項之方法,其中該載體氣體亦作用為一反應氣體。
  4. 如申請專利範圍第1項之方法,其進一步包含將除該載體氣體外之一反應氣體提供至該反應空間內。
  5. 如申請專利範圍第4項之方法,其中該反應氣體包括連續地在ALE製程期間連續地在該反應空間中流動之一惰性氣體。
  6. 如申請專利範圍第4項之方法,其中該載體氣體流經一質量流量控制器且接著通過置於該反應空間上游之一氣體歧管,該蝕刻氣體流經一質量流量控制器且在用於該載體氣體之該質量流量控制器下游及該氣體歧管上游聚集在該載體氣體流內,且每一反應氣體流經一質量流量控制器且接著在該氣體歧管處與該載體氣體聚集在該蝕刻氣體內。
  7. 如申請專利範圍第1項之方法,其中該惰性氣體係選自由貴重氣體及氮氣組成之群組。
  8. 如申請專利範圍第4項之方法,其中該反應氣體包括至少一氣體,其係選自由氧化氣體及還原氣體組成之群組。
  9. 如申請專利範圍第1項之方法,其中該ALE製程在0℃至200℃之溫度之間進行。
  10. 如申請專利範圍第1項之方法,其中該脈衝之蝕刻氣體及該脈衝之RF功率放電未重疊。
  11. 如申請專利範圍第10項之方法,其中一清洗週期係在該脈衝之蝕刻氣體及該脈衝之RF功率放電間進行以自該反應空間移除過量蝕刻氣體,且一清洗週期係在該脈衝之RF功率放電後進行以自該反應空間移除反應物。
  12. 如申請專利範圍第1項之方法,其中在整個該ALE製程中除含鹵素氣體外無氣體流動作為該蝕刻氣體。
  13. 如申請專利範圍第1項之方法,其中該基板之層具有一下凹圖案。
  14. 如申請專利範圍第1項之方法,其中該惰性氣體係一貴重氣體,且該基板之層係藉由向該反應空間供應該惰性氣體而各向異性地蝕刻。
  15. 如申請專利範圍第14項之方法,其中在該ALE製程期間採用以下條件之至少一者:(i)該惰性氣體之流速係3,000sccm或更高,(ii)一RF功率係250W或更高,且(iii)一壓力係300Pa或更高。
  16. 如申請專利範圍第1項之方法,其中重覆多次該蝕刻循環。
  17. 如申請專利範圍第1項之方法,其中該反應空間係在用於成膜或乾式蝕刻之一反應室中形成。
  18. 如申請專利範圍第1項之方法,其中該基板之層係含矽介電層,且一蝕刻速率係至少0.015nm/min。
TW104141679A 2015-01-16 2015-12-11 電漿輔助原子層蝕刻的方法 TWI689012B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/598,532 US9396956B1 (en) 2015-01-16 2015-01-16 Method of plasma-enhanced atomic layer etching
US14/598,532 2015-01-16

Publications (2)

Publication Number Publication Date
TW201637095A true TW201637095A (zh) 2016-10-16
TWI689012B TWI689012B (zh) 2020-03-21

Family

ID=56381718

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104141679A TWI689012B (zh) 2015-01-16 2015-12-11 電漿輔助原子層蝕刻的方法

Country Status (5)

Country Link
US (1) US9396956B1 (zh)
JP (1) JP6653577B2 (zh)
KR (1) KR102605757B1 (zh)
CN (1) CN105810580B (zh)
TW (1) TWI689012B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI692797B (zh) * 2017-03-31 2020-05-01 日商芝浦機械電子裝置股份有限公司 電漿處理裝置
US11004665B2 (en) 2017-03-31 2021-05-11 Shibaura Mechatronics Corporation Plasma processing apparatus
US11875998B2 (en) 2019-12-18 2024-01-16 Wonik Ips Co., Ltd. Substrate processing method

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI757545B (zh) 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109559995A (zh) * 2017-09-27 2019-04-02 东莞新科技术研究开发有限公司 金属焊点表面的刻蚀方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10541144B2 (en) * 2017-12-18 2020-01-21 Lam Research Corporation Self-assembled monolayers as an etchant in atomic layer etching
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JPWO2019235196A1 (ja) * 2018-06-08 2021-06-17 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20220038717A (ko) 2019-07-29 2022-03-29 에이이에스 글로벌 홀딩스 피티이 리미티드 다수의 부하의 펄스 구동을 위한 채널 오프셋을 갖는 멀티플렉싱된 전력 발생기 출력
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7394665B2 (ja) * 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5826746B2 (ja) * 1975-05-20 1983-06-04 住友化学工業株式会社 シツフエンキカゴウブツノセイゾウホウ
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
TW200427858A (en) 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US7494882B2 (en) 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20110027999A1 (en) 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
JP2008198629A (ja) * 2007-02-08 2008-08-28 Mitsubishi Electric Corp 表面処理方法および太陽電池セル
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP2012195513A (ja) * 2011-03-17 2012-10-11 Tokyo Electron Ltd プラズマ処理装置
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI692797B (zh) * 2017-03-31 2020-05-01 日商芝浦機械電子裝置股份有限公司 電漿處理裝置
US11004665B2 (en) 2017-03-31 2021-05-11 Shibaura Mechatronics Corporation Plasma processing apparatus
US11875998B2 (en) 2019-12-18 2024-01-16 Wonik Ips Co., Ltd. Substrate processing method

Also Published As

Publication number Publication date
JP6653577B2 (ja) 2020-02-26
JP2016134623A (ja) 2016-07-25
US9396956B1 (en) 2016-07-19
CN105810580B (zh) 2020-08-25
KR20160088818A (ko) 2016-07-26
US20160211147A1 (en) 2016-07-21
TWI689012B (zh) 2020-03-21
CN105810580A (zh) 2016-07-27
KR102605757B1 (ko) 2023-11-23

Similar Documents

Publication Publication Date Title
TW201637095A (zh) 電漿輔助原子層蝕刻的方法
TWI618144B (zh) 氮化鈦之選擇性移除
CN108987271B (zh) 使用氢等离子体进行原子层蚀刻的方法
US10622375B2 (en) Method of processing a substrate and a device manufactured by using the method
US9627221B1 (en) Continuous process incorporating atomic layer etching
US9735024B2 (en) Method of atomic layer etching using functional group-containing fluorocarbon
TWI732777B (zh) 氧化物蝕刻選擇性系統
US9793135B1 (en) Method of cyclic dry etching using etchant film
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
TWI631232B (zh) 藉由原子層沉積法形成順應性的氧化、氮化、或碳化介電膜的方法
JP6758839B2 (ja) 基板処理システムにおいてハードマスクとして使用する非晶質炭素およびシリコン膜の金属ドーピング
JP6367658B2 (ja) プラズマアシストプロセスにより酸化膜を生成する方法
US8722546B2 (en) Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9309598B2 (en) Oxide and metal removal
TWI520212B (zh) 選擇性氮化鈦蝕刻
TWI605514B (zh) 用於選擇性移除鎢之乾蝕刻
TW202018121A (zh) 藉由循環cvd形成保形碳化矽膜之方法
TW201725281A (zh) 以peald於溝槽中沉積介電膜的方法
CN111247269A (zh) 介电膜的几何选择性沉积
TW201718918A (zh) 在pecvd系統中用以改善非晶硼-碳硬光罩製程之膜內顆粒效能之方法
TW201631660A (zh) 氮化鈦移除
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
TW201144475A (en) Plasma activated conformal film deposition
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
TW202044559A (zh) 用於進階半導體應用的低應力膜