KR102454422B1 - 유동성 증착에 의한 저-k 유전체 갭필 - Google Patents

유동성 증착에 의한 저-k 유전체 갭필 Download PDF

Info

Publication number
KR102454422B1
KR102454422B1 KR1020150100558A KR20150100558A KR102454422B1 KR 102454422 B1 KR102454422 B1 KR 102454422B1 KR 1020150100558 A KR1020150100558 A KR 1020150100558A KR 20150100558 A KR20150100558 A KR 20150100558A KR 102454422 B1 KR102454422 B1 KR 102454422B1
Authority
KR
South Korea
Prior art keywords
silicon
carbon
containing precursor
oxygen
low
Prior art date
Application number
KR1020150100558A
Other languages
English (en)
Other versions
KR20160011151A (ko
Inventor
키란 브이. 타다니
징메이 리앙
영 에스. 이
무컨드 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160011151A publication Critical patent/KR20160011151A/ko
Application granted granted Critical
Publication of KR102454422B1 publication Critical patent/KR102454422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

패터닝된 기판 상에 유동성 저-k 유전체 층을 형성하기 위한 방법들이 설명된다. 막은 실리콘-탄소-산소(Si-C-O) 층일 수 있으며, 여기에서, 실리콘 및 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래하는 한편, 산소는 원격 플라즈마 구역에서 활성화된 산소-함유 전구체로부터 유래할 수 있다. 유사하게 증착된 실리콘 산화물 층이, 갭필(gapfill) 능력들을 개선하기 위해, 우선 증착될 수 있다. 대안적으로 또는 조합되어, 대략, 패터닝된 기판의 피처(feature)들의 충전 후에, 저-k로부터 고 강도로 특성들을 변화시키기 위해, 실리콘-및-탄소-함유 전구체의 유동이 증착 동안에 감소될 수 있다.

Description

유동성 증착에 의한 저-K 유전체 갭필{LOW-K DIELECTRIC GAPFILL BY FLOWABLE DEPOSITION}
[0001] 본 발명의 실시예들은, 기판 갭들 내로 저-k 유전체를 유동성 증착하는 것에 관한 것이다.
[0002] 반도체 회로 엘리먼트들의 소형화(miniaturization)는, 28 nm, 22 nm, 그리고 심지어 14 nm의 피처(feature) 사이즈들이 상업적인 규모(commercial scale)로 제조되는 지점에 도달하였다. 치수들이 계속해서 더 작아짐에 따라, 전기적인 크로스-토크(electrical cross-talk)를 방지하는 유전체 재료로 회로 엘리먼트들 사이의 갭(gap)을 충전(filling)하는 것과 같은 프로세스 단계들에 대해 새로운 난제(challenge)들이 발생한다. 엘리먼트들 사이의 폭이 계속해서 축소됨에 따라, 종종, 엘리먼트들 사이의 갭은 더 높아지고(taller) 더 좁아져서, 유전체 재료가 움직일 수 없게 되어(getting stuck) 공극(void)들 또는 약한 시임(seam)들을 생성하게 되는 것 없이 갭을 충전하는 것을 어렵게 한다. 종래의 화학 기상 증착(CVD) 기법들은 종종, 갭이 완전히 충전되기 전에 갭의 상단에서 재료의 과성장(overgrowth)을 경험한다. 이는, 증착되는 유전체 재료가 과성장에 의해 조기에 차단(cut off)되는 경우에 갭에서 공극 또는 시임을 생성할 수 있고; 때때로 브레드로핑(breadloafing)이라고 지칭되는 문제를 생성할 수 있다.
[0003] 브레드로핑 문제에 대한 하나의 해법은, 더 쉽게 갭들 내로 유동하는 유전체 시재료(starting material)들에 대해 액체 전구체들을 사용하는 것이었다. 이를 행하기 위해 현재 상업적으로 사용되고 있는 기법은 스핀-온-글래스(SOG)라고 호칭된다. 더 최근에, CVD에 의해 증착되는 유전체 재료들에 유동성 특성들을 부여하는 기법들이 개발되어 왔다. 이러한 기법들은, 공극들 또는 약한 시임들을 생성하는 빈도(incidence)를 감소시키면서, 높고 좁은 갭을 충전하기 위해 유동성 전구체들을 증착할 수 있다. 새로운 유동성 CVD 기법들이, 실리콘 산화물과 같은 유전체 재료들로, 높고 좁은(즉, 고 종횡비(high-aspect ratio)) 갭들을 충전하는 데에 있어서, 상당한 타개책을 제시하지만, 여전히, 저-k 유전체 재료들로 그러한 갭들을 시임이 없게(seamlessly) 충전할 수 있는 기법들에 대한 필요성이 존재한다. 본원은, 기판 상에 실리콘-및-탄소 함유 유전체 재료들을 형성하기 위한 유동성 CVD 기법들을 설명함으로써, 이러한 필요성을 처리한다.
[0004] 패터닝된 기판 상에 유동성 저-k 유전체 층을 형성하기 위한 방법들이 설명된다. 막은 실리콘-탄소-산소(Si-C-O) 층일 수 있으며, 그 실리콘-탄소-산소(Si-C-O) 층에서, 실리콘 및 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래하는 한편, 산소는 원격 플라즈마 구역에서 활성화된 산소-함유 전구체로부터 유래할 수 있다. 갭필(gapfill) 능력들을 개선하기 위해, 유사하게 증착되는 실리콘 산화물 층이 먼저 증착될 수 있다. 대안적으로 또는 조합되어, 대략, 패터닝된 기판의 피처들의 충전 후에 저-k로부터 고 강도(strength)로 특성들을 변화시키기 위해, 실리콘-및-탄소-함유 전구체의 유동이 증착 동안에 감소될 수 있다.
[0005] 본 발명의 실시예들은 트렌치를 충전하는 방법들을 포함한다. 방법들은, 기판 프로세싱 챔버의 기판 프로세싱 구역 내로 트렌치를 포함하는 패터닝된 기판을 이송하는 단계를 포함한다. 방법들은, 라디칼-산소 전구체를 형성하기 위해, 원격 플라즈마를 점화시키면서, 원격 플라즈마 구역 내로 산소-함유 전구체를 유동시키는 단계를 포함한다. 방법들은, 먼저 플라즈마를 통해 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 기판 프로세싱 구역 내로 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 포함한다. 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O:Si 비율을 갖는다. 방법들은, 기판 프로세싱 구역 내로 라디칼 산소 전구체를 유동시키는 단계를 더 포함한다. 방법들은, 패터닝된 기판 상에 저-k 유전체 층을 형성하기 위해, 기판 프로세싱 구역에서, 실리콘-및-탄소-함유 전구체와 라디칼 산소 전구체를 결합시키는 단계를 더 포함한다. 트렌치를 충전하기 위해, 저-k 유전체 층의 형성 동안에, 저-k 유전체 층의 부분이 기판 상에 증착되고, 표면을 따라 유동한다. 실리콘-및-탄소-함유 전구체의 유량은, 저-k 유전체 층의 형성 동안에 감소된다. 방법들은, 트렌치 내의 저-k 유전체 층을 응고(solidifying)시키는 단계를 더 포함한다.
[0006] 본 발명의 실시예들은 트렌치를 충전하는 방법들을 포함한다. 방법들은, 기판 프로세싱 챔버의 기판 프로세싱 구역 내로 트렌치를 포함하는 패터닝된 기판을 이송하는 단계를 포함한다. 방법들은, 라디칼-산소 전구체를 형성하기 위해, 원격 플라즈마를 점화시키면서, 원격 플라즈마 구역 내로 산소-함유 전구체를 유동시키는 단계를 더 포함한다. 방법들은, 기판 프로세싱 구역 내로 라디칼 산소 전구체를 유동시키는 단계를 더 포함한다. 방법들은, 먼저 플라즈마를 통해 제 1 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 기판 프로세싱 구역 내로 제 1 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 더 포함한다. 제 1 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O:Si 비율을 갖는다. 방법들은, 먼저 플라즈마를 통해 제 2 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 기판 프로세싱 구역 내로 제 2 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 더 포함한다. 제 2 실리콘-및-탄소-함유 전구체는 2 초과의 Si-O:Si 비율을 갖는다. 방법들은, 패터닝된 기판 상에 저-k 유전체 층을 형성하기 위해, 기판 프로세싱 구역에서, 제 1 실리콘-및-탄소-함유 전구체와, 제 2 실리콘-및-탄소-함유 전구체와, 라디칼 산소 전구체를 결합시키는 단계를 더 포함한다. 트렌치를 충전하기 위해, 저-k 유전체 층의 형성 동안에, 저-k 유전체 층의 부분이 기판 상에 증착되고, 표면을 따라 유동한다. 기판 프로세싱 구역 내에서의 제 1 실리콘-및-탄소-함유 전구체 대 제 2 실리콘-및-탄소-함유 전구체의 부분 압력 비율은, 저-k 유전체 층을 형성하는 동안에 감소된다. 방법들은, 트렌치 내의 저-k 유전체 층을 응고시키는 단계를 더 포함한다.
[0007] 본 발명의 실시예들은 트렌치를 충전하는 방법들을 포함한다. 방법들은, 기판 프로세싱 챔버의 기판 프로세싱 구역 내로 트렌치를 포함하는 패터닝된 기판을 이송하는 단계를 포함한다. 방법들은, 라디칼-산소 전구체를 형성하기 위해, 원격 플라즈마를 점화시키면서, 원격 플라즈마 구역 내로 산소-함유 전구체를 유동시키는 단계를 더 포함한다. 방법들은, 기판 프로세싱 구역 내로 라디칼 산소 전구체를 유동시키는 단계를 더 포함한다. 방법들은, 먼저 플라즈마를 통해 라이너(liner) 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 기판 프로세싱 구역 내로 라이너 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 더 포함한다. 라이너 실리콘-및-탄소-함유 전구체는 2 초과의 Si-O:Si 비율을 갖는다. 방법들은, 패터닝된 기판 상에 라이너 실리콘 산화물 층을 형성하기 위해, 기판 프로세싱 구역에서, 라이너 실리콘-및-탄소-함유 전구체와 라디칼 산소 전구체를 결합시키는 단계를 더 포함한다. 방법들은, 먼저 플라즈마를 통해 벌크(bulk) 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 기판 프로세싱 구역 내로 벌크 실리콘-및-탄소-함유 전구체를 유동시키는 단계를 더 포함한다. 벌크 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O:Si 비율을 갖는다. 방법들은, 라이너 실리콘 산화물 층 상에 저-k 유전체 층을 형성하기 위해, 기판 프로세싱 구역에서, 벌크 실리콘-및-탄소-함유 전구체와 라디칼 산소 전구체를 결합시키는 단계를 더 포함한다. 트렌치를 충전하기 위해, 저-k 유전체 층의 형성 동안에, 저-k 유전체 층의 부분이 기판 상에 증착되고, 표면을 따라 유동한다. 방법들은, 트렌치 내의 저-k 유전체 층을 응고시키는 단계를 더 포함한다.
[0008] 부가적인 실시예들 및 피처들이 하기의 설명에서 일부 개진되며, 일부는 본 발명의 실시에 의해 학습될 수 있거나 또는 본 명세서의 검토 시에 당업자에게 명백하게 될 것이다. 본 발명의 피처들 및 유리한 점들은 본 명세서에 설명된 수단들(instrumentalities), 조합들, 및 방법들에 의해 실현 및 달성될 수 있다.
[0009] 본 명세서의 나머지 부분들 및 도면들을 참조함으로써, 본 발명의 본질 및 유리한 점들의 추가적인 이해가 실현될 수 있다.
[0010] 도 1은, 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법에서의 선택된 단계들을 예시하는 흐름도이다.
[0011] 도 2는, 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법에서의 선택된 단계들을 예시하는 흐름도이다.
[0012] 도 3은, 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법에서의 선택된 단계들을 예시하는 흐름도이다.
[0013] 도 4는, 본 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시한다.
[0014] 도 5a는, 본 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시한다.
[0015] 도 5b는, 본 발명의 실시예들에 따른 가스 분배 샤워헤드를 도시한다.
[0016] 패터닝된 기판 상에 유동성 저-k 유전체 층을 형성하기 위한 방법들이 설명된다. 막은 실리콘-탄소-산소(Si-C-O) 층일 수 있으며, 그 실리콘-탄소-산소(Si-C-O) 층에서, 실리콘 및 탄소 성분들은 실리콘 및 탄소 함유 전구체로부터 유래하는 한편, 산소는 원격 플라즈마 구역에서 활성화된 산소-함유 전구체로부터 유래할 수 있다. 갭필 능력들을 개선하기 위해, 유사하게 증착되는 실리콘 산화물 층이 먼저 증착될 수 있다. 대안적으로 또는 조합되어, 대략, 패터닝된 기판의 피처들의 충전 후에 저-k로부터 고 강도로 특성들을 변화시키기 위해, 실리콘-및-탄소-함유 전구체의 유동이 증착 동안에 감소될 수 있다.
[0017] 본 발명을 더 양호하게 이해하고 인식하기 위해, 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법(100)에서의 선택된 단계들을 예시하는 흐름도인 도 1이 이제 참조된다. 동작(110)에서, 플라즈마 유출물들을 형성하기 위해, 원격 플라즈마 구역에서의 원격 플라즈마 내로 산소-함유 전구체가 유동된다. 산소-함유 전구체는, 기판 프로세싱 챔버 외부에 위치된 원격 플라즈마 시스템(RPS), 및/또는 기판 프로세싱 챔버 내에 있지만 샤워헤드와 같은 제한(restriction)에 의해 기판 프로세싱 구역으로부터 파티셔닝된(partitioned) 원격 플라즈마 구역에서 형성되는 원격 플라즈마에서 에너자이징된다(energized). 산소-함유 전구체는 원격 플라즈마에서 여기될 수 있다. (위치와 무관하게) 원격 플라즈마에서, 산소-함유 전구체는, 플라즈마 유출물들 내에서의 라디칼-산소 전구체로 해리될(dissociated) 수 있고, 그리고/또는 라디칼화될(radicalized) 수 있고, 그리고/또는 그렇지 않으면, 변환될(transformed) 수 있다. 예컨대, 산소-함유 전구체의 소스가 O2인 경우에, 라디칼-산소 전구체는 ·O, ·O2, O3, 및 산소 라디칼들 중 하나 또는 그 초과를 포함할 수 있다. 그 후에, 라디칼-산소 전구체는 샤워헤드와 같은 제한을 통해 기판 프로세싱 구역 내로 유동된다. 독립적으로, 동작(120)에서, 실리콘-및-탄소-함유 전구체가 기판 프로세싱 구역 내로 유동된다. 라디칼-산소 전구체는, 기판 프로세싱 구역에서, 실리콘-및-탄소-함유 전구체와 처음으로 혼합될 수 있다(동작(130)). 실시예들에 따르면, 실리콘-및-탄소-함유 전구체는, 기판 프로세싱 구역에 진입하기 전에, 어떠한 플라즈마를 통해서도 유동하지 않았다.
[0018] 산소-함유 전구체를 위한 예시적인 소스들은, 특히, 오존(O3), 분자 산소(O2), 원자 산소(O), NO, N2O, 및 NO2를 포함할 수 있다. 실시예들에 따르면, 산소-함유 전구체는 수소(H2), 질소(N2), 헬륨, 네온, 아르곤과 같은 하나 또는 그 초과의 부가적인 가스들을 수반할 수 있다. 플라즈마 유출물들은, 적어도 하나의 언페어링된(unpaired) 전자를 갖는 임의의 산소-함유 컴포넌트들로서 정의되는 라디칼-산소 전구체를 포함한다. 라디칼-산소 전구체는, 실시예들에서 실리콘-탄소-산소 막일 수 있는 증착된 저-k 막에서의 산소 성분 중 일부 또는 전부를 제공할 수 있다. 몇몇 실시예들에 따르면, 산소-전구체는, 비교적 낮은-k 유전체 갭필의 형성을 촉진하기 위해 무-질소(nitrogen-free)일 수 있다. 유사하게, 실시예들에 따르면, 저-k 유전체의 형성을 촉진하기 위해, 원격 플라즈마 구역에 질소가 없을 수 있다(예컨대, 질소(N2)가 없음). 예컨대, 실시예들에서, 산소-함유 전구체는 오존, 분자 산소, 및 원자 산소를 포함할 수 있다. 이러한 경우에서, 라디칼-산소(플라즈마 유출물들의 컴포넌트)가 또한 무-질소일 것이다. 실시예들에서, 실리콘-탄소-산소 막은 무-질소일 수 있다. 산소-전구체는 또한, 증착된 실리콘-탄소-산소 층에서의 탄소 성분 중 적어도 일부를 제공하는 탄소를 함유할 수 있다.
[0019] 기판 프로세싱 구역에 있게 되면, 동작(130)에서, 기판 상에 유동성 저-k 유전체 또는 실리콘-탄소-산소 층을 형성하기 위해, 라디칼 산소 전구체와 실리콘-및-탄소-함유 전구체가 반응할 수 있다. 동작(140)에서, 실리콘-및-탄소-함유 전구체의 유량은, 유동성 저-k 유전체 막의 형성 동안에 감소될 수 있다. 유량(또는 더 일반적으로, 실리콘-및-탄소-함유 전구체의 부분 압력 또는 부분 압력 비율)을 감소시키는 것은, 저-k 유전체 막의 특성들을 유익하게 변경하는 것으로 발견되었다. 실리콘-및-탄소-함유 전구체의 부분 압력을 감소시키는 것은, 기판 프로세싱 구역으로부터 반응되지 않은 또는 프로세스 유출물 가스들을 배기하기 위해 사용되는 펌핑 속도를 증가시킴으로써, 그리고/또는 유량을 감소시킴으로써 달성될 수 있다. 막 형성에서 조기에, 저-k 막은 패터닝된 기판 상의 좁은 피처들을 충전하고 있고, 막이 유동성이고 저-k를 갖는 경우에, 완성된 디바이스는 이익을 얻는다. 막 형성에서 더 나중에, 저-k 막은 이미 좁은 피처들을 충전하였고, 막의 구조적인 무결성(integrity)이 높은 경우에, 후속 프로세싱 단계들(예컨대, CMP)은 이익을 얻는다. 저-k 막 형성 동안에 실리콘-및-탄소-함유 전구체의 유량을 감소시키는 것은, 저-k 유전체 막의 유동성을 감소시키고, 유전 상수를 증가시키고, 강도 또는 탄성(resilience)을 증가시키는 것으로 발견되었다. 일반적으로 말하면, 방금 설명된 이종(heterogeneous) 저-k 막을 생성하기 위해, 실시예들에서, 저-k 유전체 막의 형성 동안에, 실리콘-및-탄소-함유 전구체의 부분 압력이 감소될 수 있다.
[0020] 실시예들에 따르면, 실리콘-및-탄소-함유 전구체의 부분 압력(또는 유량)은, 저-k 유전체 막의 형성 동안에, 25 % 초과, 30 % 초과, 또는 40 % 초과만큼 감소될 수 있다. 실시예들에서, 부분 압력 또는 유량은 단일의 불연속적인(discrete) 단계로 감소될 수 있거나, 또는 부분 압력 또는 유량은 다수의 불연속적인 단계들로 감소될 수 있다. 실시예들에 따른 저-k 유전체 막 형성 프로세스(100)의 부분 동안에, 부분 압력 또는 유량은 부드럽게(smoothly) 감소될 수 있다. 실시예들에서, 위에서 제공되는 부분 압력 또는 유량 감소를 조절(governing)하는 퍼센티지들은, 실리콘-및-탄소-함유 전구체 유동이 중단되기 전 마지막 부분 압력/유량과 제 1 부분 압력/유량을 비교한다. 실시예들에 따르면, 저-k 유전체 층의 형성의 종단에서의 기판 프로세싱 구역에서 측정된, 실리콘-및-탄소-함유 전구체의 제 2 부분 압력은, 저-k 유전체 층의 형성의 개시에서 측정된 제 1 부분 압력보다 (위의 퍼센티지들 중 임의의 것만큼) 더 작을 수 있다.
[0021] 실리콘-및-탄소-함유 전구체는 산소를 더 함유할 수 있다. 실시예들에 따르면, 실리콘-및-탄소-함유 전구체는, 1 또는, 3 미만의 Si-O 대 Si 비율을 보유할 수 있다. 이러한 비율들은, 본원에서 설명되는 방법들을 사용하는 유동성 저-k 유전체 막들의 생성과 상관된다. Si-O 대 Si 비율은, 전구체에서의 Si-O 결합들의 수를 세고, 전구체에서의 실리콘 원자들의 수로 나눔으로써 계산된다. 예컨대, 실리콘-및-탄소-함유 전구체는 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane) 또는 테트라메틸시클로테트라실록산(tetramethylcyclotetrasiloxane)일 수 있고, 이들은 각각, 1의 Si-O:Si 비율을 갖고, 감소되는 유전 상수 및 유동성의 증가되는 정도와 상관되는 것으로 발견되었다. 실시예들에서, 실리콘-및-탄소-함유 전구체는, 무-질소 저-k 유전체의 생성을 가능하게 하기 위해 무-질소일 수 있다.
[0022] 초기-증착되는 실리콘-탄소-산소 층의 유동성은, 라디칼 산소 전구체를 실리콘-및-탄소-함유 전구체와 혼합시키는 것으로부터 기인하는 다양한 특성들로 인한 것일 수 있다. 이러한 특성들은, 초기-증착되는 실리콘-탄소-산소 층에서의 상당한 수소 함유량 및/또는 상당한 탄소 함유량을 포함할 수 있다. 유동성은 높은 기판 온도에 의존하지 않고, 따라서, 초기-유동성 실리콘-탄소-및-산소 함유 층은, 비교적 낮은 온도의 기판들 상에서도 갭들을 충전할 수 있다. 실시예들에서, 방법(100) 동안의 기판의 온도는, 150 ℃ 미만, 120 ℃ 미만, 100 ℃ 미만, 또는 65 ℃ 내지 95 ℃일 수 있다. 방법(100)의 저-k 유전체 또는 실리콘-탄소-산소 막의 증착 동안에, 기판 프로세싱 구역에서의 압력은, 약 0.1 Torr 내지 약 10 Torr(예컨대, 약 0.5 내지 약 6 Torr 등)일 수 있다. 초기 유동성 실리콘-탄소-산소 층은, 노출된 평탄한 표면들 상에, 뿐만 아니라, 갭들 내에 증착될 수 있다. 실시예들에 따르면, 저-k 유전체 층(실리콘-탄소-산소 층)의 두께는, 50 Å 초과, 100 Å 초과, 150 Å 초과, 250 Å 초과, 또는 350 Å 초과일 수 있다. 실시예들에서, 실리콘-탄소-산소 층은, 실리콘, 탄소, 및 산소로 구성될 수 있거나, 또는 실리콘, 탄소, 산소, 및 수소로 구성될 수 있다. 실시예들에서, 실리콘-탄소-산소 층은 무-질소이다. 본 예에 대해 주어진 모든 막 특성들 및 프로세스 파라미터들은 또한, 실시예들에 따른 후속 예들에 적용된다.
[0023] 유동성 실리콘-탄소-산소 층이 원하는 두께에 도달하는 경우에, 기판-프로세싱 구역으로부터 프로세스 유출물들이 제거될 수 있고, 그리고/또는 기판 프로세싱 구역 내로의 라디칼-산소의 유동이 중단될 수 있다. 이러한 프로세스 유출물들은, 임의의 반응되지 않은 산소-함유 그리고 실리콘-및-탄소-함유 전구체들, 희석제 및/또는 캐리어 가스들, 및 기판 상에 증착되지 않았던 반응 생성물들을 포함할 수 있다. 프로세스 유출물들은, 기판 프로세싱 구역을 진공배기(evacuating)함으로써, 그리고/또는 기판 프로세싱 구역에서 유출물들을 비-증착 가스들로 교체(displacing)함으로써 제거될 수 있다. 실시예들에서, 실리콘-탄소-산소 층의 (원자 퍼센티지에 기초하는) 탄소 함유량은 5 % 초과 또는 8 % 초과일 수 있다. 바람직한 실시예에서, 탄소 함유량의 원자 퍼센티지는 10 % 초과이고, 이는, 초기-유동성 실리콘-탄소-산소 막들의 유동성을 더 증가시킨다. 실시예들에서, 실리콘-탄소-산소 층의 (원자 퍼센티지에 기초하는) 산소 함유량은 5 % 초과, 8 % 초과, 또는 10 % 초과일 수 있다.
[0024] 실시예들에서, 산소 함유 전구체는, 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 500 sccm 내지 2000 sccm의 유량으로 원격 플라즈마 구역 내로 유동될 수 있다. 실리콘-및-탄소-함유 전구체는 가스 또는 액체의 소스로 공급될 수 있다. 실시예들에 따르면, 실리콘-및-탄소-함유 전구체는, 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 1200 sccm 내지 2000 sccm의 유량으로 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 실시예들에서, 실리콘-및-탄소-함유 전구체는, 분당 0.1 밀리그램 내지 분당 2000 밀리그램, 분당 0.3 밀리그램 내지 분당 1000 밀리그램, 또는 분당 0.5 밀리그램 내지 분당 100 밀리그램의 유량으로 (캐리어 가스의 보조로) 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다.
[0025] 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법(200)에서의 선택된 단계들을 예시하는 흐름도인 도 2가 이제 참조될 것이다. 유량들, 압력들, 온도들, 두께들은 이전의 예에 대해 설명된 양들과 동일한 양들을 가질 수 있고, 여기에서 반복되지 않을 수 있다. 동작(210)에서, 플라즈마 유출물들을 형성하기 위해, 원격 플라즈마 구역에서의 원격 플라즈마 내로 산소-함유 전구체가 유동된다. 산소-함유 전구체는, 원격 플라즈마 시스템(RPS), 또는 기판 프로세싱 챔버 내부의 원격 플라즈마 구역에서 형성되는 플라즈마에서 에너자이징될 수 있다. 원격 플라즈마 구역은 기판 프로세싱 구역으로부터 파티셔닝될 수 있다. 기판 프로세싱 구역은, 증착 프로세스 동안에 "무 플라즈마(plasma free)" 구역일 수 있거나, 또는 랭뮤어 프로브(Langmuir probe)로 측정되는 바와 같은 낮은 전자 온도(electron temperature)를 보유할 수 있다.
[0026] 산소-함유 전구체를 위한 예시적인 소스들은, 특히, 오존(O3), 분자 산소(O2), 원자 산소(O), NO, N2O, 및 NO2를 포함할 수 있다. 산소-함유 전구체는, 비교적 낮은-k 유전체 갭필의 형성을 촉진하기 위해 무-질소일 수 있다. 그 후에, 라디칼-산소 전구체는, 샤워헤드와 같은 제한을 통해 기판 프로세싱 구역 내로 유동된다. 독립적으로, 동작(220)에서, 제 1 실리콘-및-탄소-함유 전구체(예컨대, 옥타메틸시클로테트라실록산)가 기판 프로세싱 구역 내로 유동된다. 동작(230)에서, 제 2 실리콘-및-탄소-함유 전구체(예컨대, 테트라메틸 오르토실리케이트(tetramethyl orthosilicate)가 또한, 기판 프로세싱 구역 내로 유동된다. 제 1 실리콘-및-탄소-함유 전구체와 제 2 실리콘-및-탄소-함유 전구체의 결합은, 2개의 그러한 전구체들이 존재하는, 본원에서 설명되는 모든 실시예들에 대해, 실리콘-및-탄소-함유 전구체라고 지칭될 것이다. 제 1 및 제 2 실리콘-및-탄소-함유 전구체들에 대한 특성들의 일반화(generalization)들이 짧게 설명될 것이다. 라디칼-산소 전구체는, 기판 프로세싱 구역에서, 실리콘-및-탄소-함유 전구체와 처음으로 혼합될 수 있다(동작(240)). 실시예들에 따르면, 실리콘-및-탄소-함유 전구체(제 1 및 제 2 컴포넌트들)는, 기판 프로세싱 구역에 진입하기 전에, 어떠한 플라즈마를 통해서도 유동하지 않았다. 제 1 실리콘-및-탄소-함유 전구체 및 제 2 실리콘-및-탄소-함유 전구체는, 개별적인 유입구들을 통해 유동할 수 있거나, 또는 기판 프로세싱 구역에 진입하기 전에 결합될 수 있다. 실시예들에 따르면, 저-k 유전체의 형성을 허용하기 위해, 원격 플라즈마 구역 및 기판 프로세싱 구역에 각각 질소가 없을 수 있다. 예컨대, 실시예들에서, 산소-함유 전구체는 오존, 분자 산소, 및 원자 산소를 포함할 수 있다. 라디칼-산소 및/또는 플라즈마 유출물들은 또한 무-질소일 수 있다. 실시예들에서, 저-k 유전체 막 및/또는 실리콘-탄소-산소 막은 무-질소일 수 있다. 산소-전구체는 또한, 증착된 실리콘-탄소-산소 층에서의 탄소 성분 중 적어도 일부를 제공하는 탄소를 함유할 수 있다.
[0027] 기판 프로세싱 구역에 있게 되면, 기판 상에 유동성 저-k 유전체 또는 실리콘-탄소-산소 층을 형성하기 위해, 라디칼 산소 전구체와 실리콘-및-탄소-함유 전구체가 반응할 수 있다. 동작(250)에서, 제 1 실리콘-및-탄소-함유 전구체 대 제 2 실리콘-및-탄소-함유 전구체의 유량들의 비율은, 유동성 저-k 유전체 막의 형성 동안에 감소될 수 있다. 유량들의 비율(또는 더 일반적으로, 제 1 실리콘-및-탄소-함유 전구체 대 제 2 실리콘-및-탄소-함유 전구체의 부분 압력들의 비율)을 감소시키는 것은, 저-k 유전체 막의 특성들을 유익하게 변경하는 것으로 발견되었다. 제 1 실리콘-및-탄소-함유 전구체 대 제 2 실리콘-및-탄소-함유 전구체의 비율을 감소시키는 것은, 제 1 실리콘-및-탄소-함유 전구체의 유량을 감소시킴으로써, 그리고/또는 제 2 실리콘-및-탄소-함유 전구체의 유량을 증가시킴으로써 달성될 수 있다. 이전의 예에서와 같이, 유량들에서의 변화는, 예컨대, 피처들이 충전되고 증착이 오버버든 페이즈(overburden phase)로 이동한 후에, 좁은 피처들의 충전 동안의 유동성으로부터 고 구조적인 무결성으로, 저-k 막을 전이시킨다. 저-k 막 형성 동안에 제 2 실리콘-및-탄소-함유 전구체에 비한 제 1 실리콘-및-탄소-함유 전구체의 유량 비율을 감소시키는 것은, 저-k 유전체 막의 유동성을 감소시키고, 유전 상수를 증가시키고, 강도 또는 탄성을 증가시키는 것으로 발견되었다. 일반적으로 말하면, 방금 설명된 이종 저-k 막을 생성하기 위해, 실시예들에서, 저-k 유전체 막의 형성 동안에, 제 2 실리콘-및-탄소-함유 전구체에 비한 제 1 실리콘-및-탄소-함유 전구체의 부분 압력 비율이 감소될 수 있다. 제 2 실리콘-및-탄소-함유 전구체는, 유전 상수를 증가시키고 유동성을 감소시키면서, 유전체 막 내의 가교(cross-linking)를 증가시키고, 따라서, 구조적인 무결성을 증가시키는 것으로 생각된다.
[0028] 실시예들에 따르면, 저-k 유전체 막의 형성 동안에, 제 1 실리콘-및-탄소-함유 전구체 대 제 2 실리콘-및-탄소-함유 전구체의 부분 압력 비율(또는 유량 비율)은, 25 % 초과, 30 % 초과, 또는 40 % 초과만큼 감소될 수 있다. 실시예들에서, 부분 압력 비율 또는 유량 비율은 단일의 불연속적인 단계로 감소될 수 있거나, 또는 부분 압력 비율 또는 유량 비율은 다수의 불연속적인 단계들(예컨대, 10개의 불연속적인 단계들)로 감소될 수 있다. 실시예들에 따른 저-k 유전체 막 형성 프로세스(100)의 부분 동안에, 부분 압력 비율 또는 유량 비율은 부드럽게 감소될 수 있다. 실시예들에서, 위에서 제공되는 부분 압력 비율 또는 유량 비율 감소를 조절하는 퍼센티지들은, 실리콘-및-탄소-함유 전구체 유동이 중단되기 전 마지막 부분 압력 비율/유량 비율과 제 1 부분 압력 비율/유량 비율을 비교한다. 실시예들에 따르면, 저-k 유전체 층의 형성의 종단에서의 기판 프로세싱 구역에서 측정된, 제 1 실리콘-및-탄소-함유 전구체 대 제 2 실리콘-및-탄소-함유 전구체의 제 2 부분 압력 비율은, 저-k 유전체 층의 형성의 개시에서의 기판 프로세싱 구역에서 측정된 제 1 부분 압력 비율보다 (위의 퍼센티지들 중 임의의 것만큼) 더 작을 수 있다.
[0029] 제 1 실리콘-및-탄소-함유 전구체 및 제 2 실리콘-및-탄소-함유 전구체는 산소를 더 함유할 수 있다. 실시예들에 따르면, 제 1 실리콘-및-탄소-함유 전구체는, 1 또는, 3 미만의 Si-O 대 Si 비율을 보유할 수 있다. 이러한 비율들은, 본원에서 설명되는 방법들을 사용하는 유동성 저-k 유전체 막들의 생성과 상관된다. Si-O 대 Si 비율은, 전구체에서의 Si-O 결합들의 수를 세고, 전구체에서의 실리콘 원자들의 수로 나눔으로써 계산된다. 예컨대, 실리콘-및-탄소-함유 전구체는 옥타메틸시클로테트라실록산 또는 테트라메틸시클로테트라실록산일 수 있고, 이들은 각각 1의 Si-O:Si 비율을 갖는다. 실시예들에서, 무-질소 저-k 유전체의 생성을 가능하게 하기 위해, 실리콘-및-탄소-함유 전구체는 무-질소일 수 있다. 실시예들에서, 제 2 실리콘-및-탄소-함유 전구체는, 4 또는, 2 초과의 Si-O 대 Si 비율을 보유할 수 있다. 이러한 비율들은, 덜 유동적이고 덜 저-k이지만 더 높은 강도의 막의 생성과 상관된다. 실시예들에 따르면, 더 강한 막들은, 더 높은 농도의 Si-O:Si=1 또는 Si-O:Si=2의 전구체들로 제조된 막들과 비교하여, 더 큰 체적 탄성률(bulk modulus) 또는 더 큰 전단 탄성률(shear modulus)을 가질 수 있다. 제 2 실리콘-및-탄소-함유 전구체는 테트라메틸 오르토실리케이트일 수 있고, 이는 4의 Si-O:Si 비율을 갖는다.
[0030] 초기-증착되는 저-k 유전체 층의 유동성은, 도 1과 연관된 논의 동안에 이전에 제시된 요인들로 인한 것일 수 있다. 저-k 유전체 막의 증착 동안의, 기판 프로세싱 구역에서의 압력들 및 기판의 온도들은, 다시, 이전에 열거된 바와 같을 수 있다. 또한 이전과 같이, 실시예들에 따르면, 저-k 유전체 층의 두께는, 50 Å 초과, 100 Å 초과, 150 Å 초과, 250 Å 초과, 또는 350 Å 초과일 수 있다. 실시예들에서, 실리콘-탄소-산소 층은, 다시, 실리콘, 탄소, 및 산소로 구성될 수 있거나, 또는 실리콘, 탄소, 산소, 및 수소로 구성될 수 있다. 실시예들에서, 실리콘-탄소-산소 층은 무-질소이다.
[0031] 증착 프로세스(200)는, 이전과 같이, 기판 프로세싱 구역으로부터 전구체들 및 플라즈마 유출물들을 제거함으로써 종료될 수 있다. 실시예들에서, 실리콘-탄소-산소 층의 (원자 퍼센티지에 기초한) 탄소 함유량은 5 % 초과 또는 8 % 초과일 수 있다. 바람직한 실시예에서, 탄소 함유량의 원자 퍼센티지는 10 % 초과이고, 이는, 초기-유동성 실리콘-탄소-산소 막들의 유동성을 더 증가시킨다. 실시예들에서, 실리콘-탄소-산소 층의 (원자 퍼센티지에 기초한) 산소 함유량은 5 % 초과, 8 % 초과, 또는 10 % 초과일 수 있다.
[0032] 실시예들에서, 산소 함유 전구체는, 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 500 sccm 내지 2000 sccm의 유량으로 원격 플라즈마 구역 내로 유동될 수 있다. 제 1 실리콘-및-탄소-함유 전구체 및/또는 제 2 실리콘-및-탄소-함유 전구체는 액체 또는 가스의 소스로 공급될 수 있다. 실시예들에서, 제 1 실리콘-및-탄소-함유 전구체는, 10 sccm 내지 4000 sccm, 200 sccm 내지 3000 sccm, 또는 1200 sccm 내지 2000 sccm의 유량으로 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 실시예들에서, 제 2 실리콘-및-탄소 함유 전구체는, 10 sccm 내지 2000 sccm, 200 sccm 내지 1500 sccm, 또는 600 sccm 내지 1000 sccm의 유량으로 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 대안적으로, 실시예들에서, 제 1 또는 제 2 실리콘-및-탄소-함유 전구체는, 분당 0.1 밀리그램 내지 분당 2000 밀리그램, 분당 0.3 밀리그램 내지 분당 1000 밀리그램, 또는 분당 0.5 밀리그램 내지 분당 100 밀리그램의 유량으로 (캐리어 가스의 보조로) 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다.
[0033] 실시예들에 따른, 기판 상에 갭필 저-k 유전체 막을 형성하는 방법(300)에서의 선택된 단계들을 예시하는 흐름도인 도 3이 이제 참조될 것이다. 본 예는, 이전의 예들에서 설명된 벌크(bulk) 저-k 유전체 막들과 유사한 증착 방법을 사용하여 증착되는 라이너(liner) 층의 포함을 수반한다. 본 예의 라이너 층의 포함은, 본원에서 설명되는 모든 벌크 저-k 유전체 층들과 조합될 수 있고, 따라서, 이전에 설명된 벌크 저-k 유전체 막들의 프로세스 파라미터들은, 본 예가 설명되는 동안, 반복되지 않을 것이다. 동작(310)에서, 플라즈마 유출물들을 형성하기 위해, 원격 플라즈마 구역에서의 원격 플라즈마 내로 산소-함유 전구체가 유동된다. 산소-함유 전구체는, 원격 플라즈마 시스템(RPS), 또는 기판 프로세싱 챔버 내부의 원격 플라즈마 구역에서 형성되는 원격 플라즈마에서 여기된다. 기판 프로세싱 구역은, 증착 프로세스 동안에 "무 플라즈마" 구역일 수 있거나, 또는 랭뮤어 프로브로 측정되는 바와 같은 낮은 전자 온도를 보유할 수 있다.
[0034] 비교적 낮은-k 유전체 라이너의 형성을 촉진하기 위해, 산소-함유 전구체는 무-질소일 수 있다. 그 후에, 라디칼-산소 전구체는, 샤워헤드와 같은 제한을 통해 기판 프로세싱 구역 내로 유동된다. 독립적으로, 동작(320)에서, 라이너 실리콘-및-탄소-함유 전구체(예컨대, 테트라메틸 오르토실리케이트)가 기판 프로세싱 구역 내로 유동된다. 실시예들에 따르면, 라이너 실리콘-및-탄소-함유 전구체는, 4 또는, 2 초과의 Si-O:Si 비율을 가질 수 있다. 라디칼-산소 전구체는, 기판 프로세싱 구역에서, 실리콘-및-탄소-함유 전구체와 처음으로 혼합될 수 있다. 실시예들에 따르면, 라이너 실리콘-및-탄소-함유 전구체는, 기판 프로세싱 구역에 진입하기 전에, 어떠한 플라즈마를 통해서도 유동하지 않았다. 예컨대, 실시예들에서, 산소-함유 전구체는 오존, 분자 산소, 및 원자 산소를 포함할 수 있다. 라디칼-산소 및/또는 플라즈마 유출물들이 또한 무-질소일 수 있다.
[0035] 기판 프로세싱 구역에 있게 되면, 동작(330)에서, 기판 상에 라이너 실리콘 산화물 층을 형성하기 위해, 라디칼 산소 전구체와 실리콘-및-탄소-함유 전구체가 반응할 수 있다. 실시예들에서, 라이너 실리콘 산화물은 무-질소일 수 있다. 실시예들에 따르면, 라이너 실리콘 산화물의 형성을 허용하기 위해, 원격 플라즈마 구역 및 기판 프로세싱 구역에 각각 질소가 없을 수 있다. 실시예들에 따르면, 라이너 실리콘 산화물의 두께는, 5 Å 내지 120 Å, 10 Å 내지 100 Å, 또는 15 Å 내지 70 Å일 수 있다. 실시예들에서, 라이너 실리콘 산화물 층의 (원자 퍼센티지에 기초한) 탄소 함유량은 8 % 미만 또는 5 % 미만, 또는 3 % 미만일 수 있다. 실시예들에서, 방법(300)에서의 라이너 실리콘 산화물 층의 증착 동안의 기판의 온도는, 150 ℃ 미만, 120 ℃ 미만, 100 ℃ 미만, 또는 65 ℃ 내지 95 ℃일 수 있다.
[0036] 라이너 실리콘 산화물의 성장은, 본원에서 이전에 설명된, 막 성장을 중단시키기 위한 방법들 중 임의의 것에 의해 중단된다. 기판 프로세싱 구역 내로의 플라즈마 유출물들의 유동은, 계속되거나, 또는 중단 후에 재시작되고, 그 후에, 동작(340)에서, 벌크 저-k 실리콘-및-탄소-함유 전구체가, 사전 플라즈마 여기 없이, 기판 프로세싱 구역 내로 직접적으로 유동된다. 예컨대, 실시예들에 따르면, 벌크 실리콘-및-탄소-함유 전구체는, 1 또는, 3 미만의 Si-O:Si 비율을 가질 수 있다. 벌크 저-k 실리콘-및-탄소-함유 전구체는 옥타메틸시클로테트라실록산 또는 테트라메틸시클로테트라실록산일 수 있고, 동작(350)에서, 라이너 실리콘 산화물 층 상에 벌크 저-k 유전체 막이 형성된다. 벌크 저-k 유전체 막은, 실시예들에 따른, 도 1 및 도 2에서 그리고 본 명세서의 다른 곳에서 제시되는 방법들을 사용하여, 유동성 증착된다. 그러나, 라이너 프로세스 파라미터들은 이전에 제시되지 않았고, 아래에서 제공된다.
[0037] 실시예들에서, 산소 함유 전구체는, 5 sccm 내지 2000 sccm, 200 sccm 내지 1500 sccm, 또는 300 sccm 내지 1000 sccm의 유량으로, 라이너 실리콘 산화물 층의 증착 동안에, 원격 플라즈마 구역 내로 유동될 수 있다. 라이너 실리콘-및-탄소-함유 전구체는, 액체 또는 가스의 소스로 공급될 수 있다. 실시예들에서, 라이너 실리콘-및-탄소-함유 전구체는, 3 sccm 내지 1500 sccm, 10 sccm 내지 1000 sccm, 또는 100 sccm 내지 700 sccm의 유량으로 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 실시예들에서, 라이너 실리콘-및-탄소-함유 전구체는, 분당 0.1 밀리그램 내지 분당 2000 밀리그램, 분당 0.3 밀리그램 내지 분당 1000 밀리그램, 또는 분당 0.5 밀리그램 내지 분당 100 밀리그램의 유량으로 (캐리어 가스의 보조로) 기판 프로세싱 구역 내로 직접적으로 유동될 수 있다. 기판 프로세싱 구역에서의 압력은, 방법(300)의 라이너 실리콘 산화물 층의 증착 동안에, 약 0.1 Torr 내지 약 6 Torr(예컨대, 약 0.2 내지 약 3 Torr 등)일 수 있다. 라이너 실리콘 산화물 층의 증착 동안의 기판 프로세싱 구역에서의 압력은, 벌크 유동성 저-k 유전체 층의 증착 동안의 기판 프로세싱 구역에서의 압력 미만일 수 있거나, 또는 그 압력의 대략 절반일 수 있다.
[0038] 본원에서 제시되는 모든 예들에 대해, 유동성 증착되는 저-k 유전체 층은, 막의 형성 후에 응고된다. 응고는, 경화, 어닐링, 및/또는 응고가 발생하기를 단순히 대기하는 것을 수반할 수 있다. 응고 후에, 실시예들에 따르면, 저-k 유전체 층은, 2.2 내지 3.0, 2.4 내지 2.8, 또는 2.5 내지 2.7의 유전 상수를 가질 수 있다. 라이너 저-k 유전체 막을 포함하는 실시예들에서, 라이너 저-k 유전체 막 및 벌크 저-k 유전체 막 양자 모두가 갭필로서 포함되고, 유전 상수를 결정하는 것에 대해 이들 양자 모두의 기여들이 포함된다.
[0039] 본원에서 설명되는 기법들을 사용하여 충전되는 갭들 및 트렌치들은, (예컨대, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1 또는 그 초과 등과 같이) 1:1보다 상당히 더 큰, 높이 대 폭의 종횡비(AR)(즉, H/W)를 정의하는, 높이 및 폭을 가질 수 있다. 다수의 경우들에서, 실시예들에 따르면, 고 AR은, 32 nm 미만, 28 nm 미만, 22 nm 미만, 또는 16 nm 미만의 작은 갭 폭들로 인한 것이다.
[0040] 본원에서 설명되는 증착 방법들 중 임의의 것 또는 모두는, 실시예들에 따라, 낮은 유전 상수를 유지하고, 그리고/또는 유동성을 증가시키기 위해, 증착 동안에, 기판 프로세싱 구역에서 낮은 전자 온도를 가질 수 있다. 따라서, 샤워헤드 및/또는 이온 억제기를 사용하여 전자 온도를 감소시키기 위한 기법들이 사용될 수 있다. 라디칼-산소 전구체를 포함하는 플라즈마 유출물들은, 원격 플라즈마 구역으로부터 기판 프로세싱 구역으로 샤워헤드 및/또는 이온 억제기를 통해 유동한다. 실시예들에서, 증착을 위해 라디칼 및/또는 중성 종들을 제공하기 위하여 (샤워헤드일 수 있는) 이온 억제기가 사용될 수 있다. 이온 억제기는 또한, 이온 억제 엘리먼트라고 지칭될 수 있다. 실시예들에서, 예컨대, 이온 억제기는, 원격 플라즈마 구역으로부터 기판 프로세싱 구역으로 가는 도중에, (라디칼-산소를 포함하는) 플라즈마 유출물들을 필터링하기 위해 사용된다. 이온 억제기는, 이온들보다 더 높은 농도의 라디칼들을 갖는 반응성 가스를 제공하기 위해 사용될 수 있다. 플라즈마 유출물들은, 기판 프로세싱 구역과 원격 플라즈마 구역 사이에 배치된 이온 억제기를 통과한다. 이온 억제기는, 플라즈마 생성 구역으로부터 기판으로 이동하는 이온 종들을 극적으로 감소시키거나 또는 실질적으로 제거하도록 기능한다. 본원에서 설명되는 이온 억제기들은, 단순히, 위에서 설명되는, 실리콘 산화물 라이너 증착 및/또는 저-k 유전체 증착 동안에, 기판 프로세싱 구역에서 낮은 전자 온도를 달성하기 위한 하나의 방식이다.
[0041] 전자 온도는, 원격 플라즈마에서의 플라즈마의 여기 동안에, 기판 프로세싱 구역에서, 랭뮤어 프로브를 사용하여 측정될 수 있다. 실시예들에서, 전자 온도는, 0.5 eV 미만, 0.45 eV 미만, 0.4 eV 미만, 또는 0.35 eV 미만일 수 있다. 전자 온도에 대한 이러한 극도로 낮은 값들은, 샤워헤드 및/또는 이온 억제기의 존재에 의해 가능하게 된다. 대전되지 않은 중성 및 라디칼 종들은, 기판에서 반응하기 위해, 샤워헤드/이온 억제기에서의 개구들을 통과할 수 있다. 라디칼들 및 다른 중성 종들을 사용하는 그러한 프로세스는, 스퍼터링 및 충격(bombardment)을 포함하는 종래의 증착 프로세스들과 비교하여, 플라즈마 손상을 감소시킬 수 있다.
[0042] 대안적인 명명법(nomenclature)을 도입하면, 기판 프로세싱 구역은, 본원에서 설명되는 증착 프로세스들 동안에, "무-플라즈마"로서 본원에서 설명될 수 있다. "무-플라즈마"가 반드시 구역에 플라즈마가 전혀 없다는 것을 의미하는 것은 아니다. 플라즈마 구역에서 생성되는 자유 전자들 및 이온화된 종들이, 극도로 작은 농도들로, 파티션(샤워헤드)에서의 세공(pore)들(구멍(aperture)들)을 통해 이동할 수 있다. 챔버 플라즈마 구역에서의 플라즈마의 경계(border)들은 정의하기 어렵고, 샤워헤드에서의 구멍들을 통해 기판 프로세싱 구역에 침입할 수 있다. 게다가, 본원에서 설명되는 증착 프로세스들의 바람직한 피처들을 제거하지 않으면서, 기판 프로세싱 구역에서, 낮은 세기의 플라즈마가 생성될 수 있다. 여기된 플라즈마 유출물들의 생성 동안에 챔버 플라즈마 구역보다 훨씬 더 낮은 세기의 이온 밀도를 갖는 플라즈마에 대한 모든 원인들은, 본원에서 사용되는 바와 같은 "무-플라즈마"의 범위로부터 벗어나지 않는다.
[0043] 다음 섹션에서 예시적인 프로세싱 시스템을 설명하는 동안에, 부가적인 프로세스 파라미터들이 도입된다.
예시적인 기판 프로세싱 시스템들 및 챔버들
[0044] 집적 회로 칩들을 생성하기 위한 기판 프로세싱 시스템에, 기판 프로세싱 챔버들의 실시예들이 포함될 수 있다. 도 4는, 실시예들에 따른, 증착, 베이킹, 및 경화 챔버들을 위한 예시적인 프로세싱 시스템(1001)을 도시한다. 도면에서, 한 쌍의 FOUP들(front opening unified pods)(1002)이 기판들(예컨대, 300 mm 직경의 웨이퍼들)을 공급하며, 이러한 기판들은 로봇식 암들(1004)에 의해 수용되고(received), 기판 프로세싱 챔버들(1008a-f) 중 하나 내에 배치되기 전에 저압 홀딩 영역(1006) 내에 배치된다. 홀딩 영역(1006)으로부터 기판 프로세싱 챔버들(1008a-f)로 그리고 그 역으로 기판 웨이퍼들을 운반하기 위해, 제 2 로봇식 암(1010)이 사용될 수 있다.
[0045] 기판 프로세싱 챔버들(1008a-f)은, 기판 웨이퍼 상에서의 유동성 유전체 막의 증착, 어닐링, 경화, 및/또는 에칭을 위한 하나 또는 그 초과의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 2개의 쌍들의 프로세싱 챔버(예컨대, 1008c-d 및 1008e-f)는 기판 상에 유동성 유전체 재료를 증착하기 위해 사용될 수 있고, 제 3 쌍의 프로세싱 챔버들(예컨대, 1008a-b)은 증착된 유전체를 어닐링하기 위해 사용될 수 있다. 다른 구성에서, 동일한 2개의 쌍들의 프로세싱 챔버들(예컨대, 1008c-d 및 1008e-f)은 기판 상에서의 유동성 유전체 막의 증착 및 어닐링 양자 모두를 행하도록 구성될 수 있는 한편, 제 3 쌍의 챔버들(예컨대, 1008a-b)은 증착된 막의 UV 또는 E-빔 경화를 위해 사용될 수 있다. 또 다른 구성에서, 모든 3개의 쌍들의 챔버들(예컨대, 1008a-f)은, 기판 상에서의 유동성 유전체 막의 증착 및 경화를 행하도록 구성될 수 있다. 또 다른 구성에서, 2개의 쌍들의 프로세싱 챔버들(예컨대, 1008c-d 및 1008e-f)은 유동성 유전체의 증착, 및 UV 또는 E-빔 경화 양자 모두를 행하기 위해 사용될 수 있는 한편, 제 3 쌍의 기판 프로세싱 챔버들(예컨대, 1008a-b)은 유전체 막을 어닐링하기 위해 사용될 수 있다. 실시예들에서, 설명되는 프로세스들 중 임의의 하나 또는 그 초과는 기판 프로세싱 시스템(1001)으로부터 분리된 챔버(들) 상에서 수행될 수 있다.
[0046] 도 5a는 실시예들에 따른 기판 프로세싱 챔버(1101)이다. 원격 플라즈마 시스템(RPS)(1110)은, 가스 유입구 어셈블리(1111)를 통해 이후에 이동하는 가스를 프로세싱할 수 있다. 가스 유입구 어셈블리(1111) 내에서 2개의 별개의 가스 공급 채널들을 볼 수 있다. 제 1 채널(1112)은 원격 플라즈마 시스템(RPS)(1110)을 통과하는 가스를 운반하는 한편, 제 2 채널(1113)은 RPS(1110)를 바이패스(bypass)한다. 실시예들에서, 제 1 채널(1112)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(1113)은 처리 가스(treatment gas)를 위해 사용될 수 있다. 덮개(또는 전도성 상단 부분)(1121)와 천공된 파티션(perforated partition)(1153)이 이들 사이의 절연 링(1124)과 함께 도시되어 있으며, 절연 링은 AC 전위가 천공된 파티션(1153)에 대하여 덮개(1121)에 인가되게 허용한다. 프로세스 가스는 제 1 채널(1112)을 통해 챔버 플라즈마 구역(1120) 내로 이동하고, RPS(1110)와 조합하여 또는 단독으로 챔버 플라즈마 구역(1120)에서 플라즈마에 의해 여기될 수 있다. 챔버 플라즈마 구역(1120) 및/또는 RPS(1110)의 조합은 본원에서 원격 플라즈마 시스템이라고 지칭될 수 있다. 천공된 파티션(또한 샤워헤드라고 지칭됨)(1153)은 샤워헤드(1153) 아래의 기판 프로세싱 구역(1170)으로부터 챔버 플라즈마 구역(1120)을 분리시킨다. 샤워헤드(1153)는 챔버 플라즈마 구역(1120)에 존재하는 플라즈마가 기판 프로세싱 구역(1170) 내의 가스들을 직접적으로 여기시키는 것을 피하게 허용하는 한편, 여전히, 여기된 종들이 챔버 플라즈마 구역(1120)으로부터 기판 프로세싱 구역(1170) 내로 이동하게 허용한다.
[0047] 샤워헤드(1153)는 챔버 플라즈마 구역(1120)과 기판 프로세싱 구역(1170) 사이에 위치되며, 챔버 플라즈마 구역(1120) 내에서 생성되는 플라즈마 유출물들(전구체들 또는 다른 가스들의 여기된 유도체들)로 하여금, 플레이트(plate)의 두께를 관통(traverse)하는 복수의 스루 홀(through hole)들(1156)을 통과하게 허용한다. 샤워헤드(1153)는 또한, 하나 또는 그 초과의 빈 볼륨들(hollow volumes)(1151)을 가지며, 이러한 볼륨들은 증기 또는 가스의 형태의 전구체(예컨대, 실리콘-및-탄소-함유 전구체)로 충전될 수 있고, 작은 홀들(1155)을 통해 기판 프로세싱 구역(1170) 내로 통하지만, 챔버 플라즈마 구역(1120) 내로는 직접적으로 통하지 않는다. 이러한 실시예에서, 샤워헤드(1153)는 스루 홀들(1156)의 최소 직경(1150)의 길이보다 더 두껍다. 챔버 플라즈마 구역(1120)으로부터 기판 프로세싱 구역(1170)으로 통과(penetrating)하는 여기된 종들의 상당한 농도를 유지하기 위해, 스루 홀들의 최소 직경(1150)의 길이(1126)는 샤워헤드(1153)를 관통하는 중간에 스루 홀들(1156)의 더 큰 직경 부분들을 형성함으로써 제한될 수 있다. 실시예들에서, 스루 홀들(1156)의 최소 직경(1150)의 길이는 스루 홀들(1156)의 최소 직경과 동일한 크기(same order of magnitude)일 수 있거나 또는 그 미만일 수 있다.
[0048] 도시된 실시예에서, 샤워헤드(1153)는 산소를 함유하는 프로세스 가스들, 및/또는 챔버 플라즈마 구역(1120)에서 플라즈마에 의해 여기될 시의 프로세스 가스들의 플라즈마 유출물들을 (스루 홀들(1156)을 통해) 분배할 수 있다. 실시예들에서, 제 1 채널(1112)을 통해 챔버 플라즈마 구역(1120) 및/또는 RPS(1110) 내로 도입되는 프로세스 가스는, 산소(O2), 오존(O3), N2O, NO, 및 NO2 중 하나 또는 그 초과를 함유할 수 있다. 그러나, 실시예들에서, 산소-함유 전구체에 질소가 없을 수 있고, 원격 플라즈마 구역에 질소가 없을 수 있고, 상응하여, 결과적인 Si-O-C 막에 질소가 없을 수 있다. 프로세스 가스는 또한, 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 제 2 채널(1113)은 또한, 프로세스 가스 및/또는 캐리어 가스, 및/또는 성장하는 또는 증착-직후의 막으로부터 원하지 않는 컴포넌트를 제거하기 위해 사용되는 막-경화 가스(예컨대, O3)를 전달할 수 있다. 플라즈마 유출물들은 프로세스 가스의 이온화된 또는 중성의 유도체들을 포함할 수 있으며, 또한, 본원에서는, 도입되는 프로세스 가스의 원자 성분들을 참조(referring)하여, 라디칼-산소 전구체라고 지칭될 수 있다.
[0049] 실시예들에서, 스루 홀들(1156)의 수는 약 60 내지 약 2000 개일 수 있다. 스루 홀들(1156)은 다양한 형상들을 가질 수 있으나, 가장 용이하게는 원형으로 제조된다. 실시예들에서, 스루 홀들(1156)의 최소 직경(1150)은 약 0.5 mm 내지 약 20 mm, 또는 약 1 mm 내지 약 6 mm일 수 있다. 또한, 스루 홀들의 단면 형상을 자유롭게 선택할 수 있으며, 단면 형상은 원뿔형, 원통형 또는 이 두가지 형상들의 조합으로 제조될 수 있다. 기판 프로세싱 구역(1170) 내로 가스를 도입하기 위해 사용되는 작은 홀들(1155)의 수는, 실시예들에서, 약 100 내지 약 5000 개, 또는 약 500 내지 약 2000 개일 수 있다. 작은 홀들(1155)의 직경은 약 0.1 mm 내지 약 2 mm일 수 있다.
[0050] 도 5b는 실시예들에 따른 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(1153)의 저면도이다. 샤워헤드(1153)는 도 5a에서 도시된 샤워헤드와 대응한다. 스루 홀들(1156)은 샤워헤드(1153)의 바닥 상에서 더 큰 내부 직경(ID)을 갖고 상단에서 더 작은 ID를 갖는 것으로 도시되어 있다. 작은 홀들(1155)이 샤워헤드의 표면에 걸쳐, 심지어는 스루 홀들(1156) 사이에서도 실질적으로 균등하게 분포됨으로써, 더 균등한 혼합을 제공하는 것을 돕는다.
[0051] 빈 볼륨들(1151)로부터 유래하여 작은 홀들(1155)을 통해 도달하는 실리콘-및-탄소-함유 전구체와 샤워헤드(1153)에서의 스루 홀들(1156)을 통해 도달하는 플라즈마 유출물들이 결합되는 경우에, 기판 프로세싱 구역(1170) 내에서 페데스탈(미도시)에 의해 지지되는 기판 상에, 예시적인 막이 생성된다. 기판 프로세싱 구역(1170)이 경화와 같은 다른 프로세스들을 위한 플라즈마를 지원하도록 장비될 수 있다고 하더라도, 예시적인 막들의 성장 동안에 플라즈마가 존재하지 않는다.
[0052] 플라즈마는 샤워헤드(1153) 위의 챔버 플라즈마 구역(1120) 또는 샤워헤드(1153) 아래의 기판 프로세싱 구역(1170) 중 어느 하나에서 점화될 수 있다. 산소-함유 가스의 유입(inflow)으로부터 라디칼-산소 전구체를 생성하기 위해 플라즈마가 챔버 플라즈마 구역(1120)에 존재한다. 증착 동안에 챔버 플라즈마 구역(1120)에서 플라즈마를 점화하기 위해, 전형적으로 무선 주파수(RF) 범위의 AC 전압이 샤워헤드(1153)와 프로세싱 챔버의 전도성 상단 부분(1121) 사이에 인가된다. RF 전력 공급부는 13.56 MHz의 높은 RF 주파수를 생성하지만, 또한, 13.56 MHz 주파수와 조합된 또는 단독의 다른 주파수들을 생성할 수 있다. 예시적인 RF 주파수들은 2.4 GHz와 같은 마이크로파 주파수들을 포함한다. 유동성 막의 증착 동안에, 실시예들에서, 상단 플라즈마 전력은, 약 1000 와트 또는 그 초과, 약 2000 와트 또는 그 초과, 약 3000 와트 또는 그 초과, 또는 약 4000 와트 또는 그 초과일 수 있다.
[0053] 기판 프로세싱 구역(1170)과 접한 내부 표면들을 세정(clean)하기 위해 또는 제 2 경화 스테이지 동안에 기판 프로세싱 구역(1170)에서 바닥 플라즈마(bottom plasma)가 턴 온(turn on)되는 경우에, 상단 플라즈마는 저전력 또는 무전력으로 유지될 수 있다. 기판 프로세싱 구역(1170)에서의 플라즈마는 샤워헤드(1153)와 챔버의 바닥 또는 페데스탈 사이에 AC 전압을 인가함으로써 점화된다. 플라즈마가 존재하는 동안, 세정 가스가 기판 프로세싱 구역(1170) 내로 도입될 수 있다.
[0054] 페데스탈은 열 교환 채널을 가질 수 있으며, 이러한 열 교환 채널을 통해, 열 교환 유체가 유동하여 기판의 온도를 제어한다. 이러한 구성은 기판 온도가 비교적 낮은 온도들(실온 내지 약 120 ℃)로 유지되도록 냉각 또는 가열되게 허용한다. 열 교환 유체는 에틸렌 글리콜 및 물을 포함할 수 있다. (바람직하게는, 알루미늄, 세라믹, 또는 이들의 조합인) 페데스탈의 웨이퍼 지지 플래터(platter)는 또한, 평행한 동심원들의 형태로 2개의 완전한 턴들(turns)을 만들도록 구성된 내장식 단일 루프 내장식 가열기 엘리먼트를 사용하여 비교적 높은 온도들(약 120 ℃ 내지 약 1100 ℃)을 달성하기 위해 저항식으로 가열될 수 있다. 가열기 엘리먼트의 외측 부분은 지지 플래터의 주변(perimeter) 근처에서 연장될(run) 수 있는 한편, 내측 부분은 더 작은 반경을 갖는 동심원의 경로 상에서 연장된다. 가열기 엘리먼트에 대한 와이어링(wiring)은 페데스탈의 스템(stem)을 통과한다.
[0055] 시스템 제어기에 의해 기판 프로세싱 시스템이 제어된다. 예시적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 탈착식 미디어 드라이브, 및 프로세서를 포함한다. 프로세서는 단일-보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들, 및 스테퍼 모터 제어기 보드들을 포함한다. 시스템 제어기는 증착 시스템의 모든 활동들(activities)을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 시스템 제어 소프트웨어는 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램이다. 바람직하게는, 매체는 하드 디스크 드라이브이지만, 매체는 또한 다른 종류들의 메모리일 수 있다. 컴퓨터 프로그램은, 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 특정한 프로세스의 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다. 예컨대 플로피 디스크 또는 그 이외의 다른 적절한 드라이브를 포함하는 다른 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 또한, 시스템 제어기에 명령하기 위해 사용될 수 있다.
[0056] 시스템 제어기에 의해 실행되는 컴퓨터 프로그램 물건을 사용하여, 기판 상에 막 스택을 증착(예컨대, 실리콘-산소-및-수소-함유 층 그리고 그 후의 실리콘-산소-및-탄소-함유 층의 순차적인 증착)하고 막을 실리콘 산화물로 변환시키기 위한 프로세스, 또는 챔버를 세정하기 위한 프로세스가 구현될 수 있다. 컴퓨터 프로그램 코드는, 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예컨대, 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 언어들로 기록될 수 있다. 적합한 프로그램 코드는, 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다수의 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장되거나 또는 수록된다(embodied). 입력된 코드 텍스트가 고레벨 언어로 이루어진 경우에, 코드가 컴파일링되고, 그 후에, 결과적인 컴파일러 코드는 프리컴파일링된 라이브러리 루틴들의 오브젝트 코드와 링크된다. 링크된 컴파일링된 오브젝트 코드를 실행하기 위해, 시스템 사용자는 오브젝트 코드를 호출(invoke)하여, 컴퓨터 시스템이 메모리에 코드를 로딩하게 한다. 그 후에, 프로그램에서 식별된 태스크들을 수행하기 위해, CPU는 코드를 판독 및 실행한다.
[0057] 사용자와 제어기 사이의 인터페이스는 평판 터치-감응 모니터를 통한다. 바람직한 실시예에서, 2개의 모니터들이 사용되는데, 오퍼레이터들을 위해 클린 룸(clean room) 벽에 하나가 장착되고, 서비스 기술자들을 위해 벽 뒤에 다른 하나가 장착된다. 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그 경우에, 한번에 하나의 모니터만이 입력을 수용한다. 특정한 스크린 또는 기능을 선택하기 위해, 오퍼레이터는 터치-감응 모니터의 지정된 영역을 터치한다. 터치된 영역이 그 터치된 영역의 하이라이트된 컬러를 변화시키거나, 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 오퍼레이터와 터치-감응 모니터 사이의 통신을 확인한다. 사용자로 하여금 시스템 제어기와 통신하게 허용하기 위해, 터치-감응 모니터 대신에 또는 터치-감응 모니터에 부가하여, 키보드, 마우스, 또는 다른 포인팅 또는 통신 디바이스와 같은 다른 디바이스들이 사용될 수 있다.
[0058] 본원에서 사용되는 바와 같이, "기판"은, 층들이 위에 형성되거나 또는 형성되지 않은 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있고, 예컨대, 집적 회로들의 제조에서 사용되는 타입의 반도체 기판일 수 있다. "전구체"라는 용어는, 표면 상에 재료를 증착하거나 또는 표면으로부터 재료를 제거하기 위해 반응에 참여하는 임의의 프로세스 가스를 지칭하기 위해 사용된다. "여기된 상태"에 있는 가스는, 가스 분자들의 적어도 일부가 진동-여기된, 해리된, 및/또는 이온화된 상태들에 있는 가스를 설명한다. 가스(또는 전구체)는 2개 또는 그 초과의 가스들(또는 전구체들)의 조합일 수 있다. "라디칼 전구체"는, 표면으로부터 재료를 제거하거나 또는 표면 상에 재료를 증착하기 위해 반응에 참여하는 플라즈마 유출물들(플라즈마를 빠져나가는 여기된 상태의 가스)을 설명하기 위해 사용된다. "라디칼-산소 전구체"는 산소를 함유하는 라디칼 전구체이고, 실시예들에서, 무-질소일 수 있다. "비활성 가스(inert gas)"라는 구는, 에칭하는 경우 또는 막에 통합되는 경우에 화학 결합들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 비활성 가스들은 노블(noble) 가스들을 포함하지만, (전형적으로) 막 내에 소량(trace amounts)이 트랩되는 경우에 화학 결합들이 형성되지 않는 한 다른 가스들을 포함할 수 있다.
[0059] "갭" 또는 "트렌치"라는 용어들은, 에칭된 기하형상(geometry)이 큰 수평 종횡비를 갖는다는 암시 없이 전반에 걸쳐 사용된다. 표면 위에서부터 보면, 갭들 및 트렌치들은, 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. 본원에서 사용되는 바와 같이, 등각(conformal) 층은, 표면과 동일한 형상의, 표면 상의 재료의 대체로 균일한 층을 지칭하며, 즉, 층의 표면 및 커버되는 표면이 대체로 평행하다. 증착된 재료가 100 % 등각적일 수는 없다고 예상되고, 따라서 "대체로(generally)"라는 용어는 용인 가능한 허용 오차들을 허용한다는 것을 당업자는 인지할 것이다.
[0060] 수개의 실시예들이 설명되었지만, 본 발명의 사상을 벗어나지 않고, 다양한 변형들, 대안적인 구성들, 및 등가물들이 사용될 수 있다는 것이 당업자에 의해 인지될 것이다. 부가적으로, 본 발명을 불필요하게 불명료히 하는 것을 피하기 위해서, 다수의 잘 알려진 프로세스들 및 엘리먼트들은 설명되지 않았다. 따라서, 위의 설명은 본 발명의 범주를 제한하는 것으로 간주되지 않아야 한다.
[0061] 수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0062] 본원 및 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들("a", "an" 및 "the")은 문맥상 달리 명백히 표시되어 있지 않는 한 복수의 지시대상들을 포함한다. 따라서, 예컨대, "프로세스(a process)"라는 언급은 복수의 그러한 프로세스들을 포함하며, "상기 전구체(the precursor)"라는 언급은 당업자에게 알려진 하나 또는 그 초과의 전구체들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 유사하다.
[0063] 또한, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 포함("comprise," "comprising," "include," "including," 및 "includes")이라는 단어들은, 언급된 피처들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들은 하나 또는 그 초과의 다른 피처들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 트렌치를 충전하는 방법으로서,
    기판 프로세싱 챔버의 기판 프로세싱 구역 내로 상기 트렌치를 포함하는 패터닝된 기판을 이송하는 단계;
    라디칼-산소 전구체를 형성하기 위해, 원격 플라즈마를 점화시키면서, 원격 플라즈마 구역 내로 산소-함유 전구체를 유동시키는 단계;
    상기 기판 프로세싱 구역 내로 상기 라디칼-산소 전구체를 유동시키는 단계;
    먼저 플라즈마를 통해 제 1 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 상기 기판 프로세싱 구역 내로 상기 제 1 실리콘-및-탄소-함유 전구체를 유동시키는 단계 ― 상기 제 1 실리콘-및-탄소-함유 전구체는 3 미만의 Si-O:Si 비율을 가짐 ―;
    먼저 플라즈마를 통해 제 2 실리콘-및-탄소-함유 전구체를 통과시키지 않으면서, 상기 기판 프로세싱 구역 내로 상기 제 2 실리콘-및-탄소-함유 전구체를 유동시키는 단계 ― 상기 제 2 실리콘-및-탄소-함유 전구체는 2 초과의 Si-O:Si 비율을 가짐 ―;
    상기 패터닝된 기판 상에 저-k 유전체 층을 형성하기 위해, 상기 기판 프로세싱 구역에서, 상기 제 1 실리콘-및-탄소-함유 전구체와, 상기 제 2 실리콘-및-탄소-함유 전구체와, 상기 라디칼-산소 전구체를 결합시키는 단계 ― 상기 트렌치를 충전하기 위해, 상기 저-k 유전체 층의 형성 동안에, 상기 저-k 유전체 층의 부분이 상기 기판 상에 증착되고, 표면을 따라 유동하고, 상기 기판 프로세싱 구역 내에서의 상기 제 1 실리콘-및-탄소-함유 전구체 대 상기 제 2 실리콘-및-탄소-함유 전구체의 부분 압력 비율은, 상기 저-k 유전체 층의 형성 동안에 감소됨 ―; 및
    상기 트렌치 내의 상기 저-k 유전체 층을 응고시키는 단계
    를 포함하고,
    상기 저-k 유전체 층의 형성의 종단(end)에서의 상기 기판 프로세싱 구역에서 측정된 상기 제 1 실리콘-및-탄소-함유 전구체 대 상기 제 2 실리콘-및-탄소-함유 전구체의 제 2 부분 압력 비율은, 상기 저-k 유전체 층의 형성의 개시에서의 제 1 부분 압력 비율보다, 적어도 25 % 더 작은,
    트렌치를 충전하는 방법.
  2. 제 1 항에 있어서,
    상기 저-k 유전체 층은, 응고 후에, 2.2 내지 3.0의 유전 상수를 갖는,
    트렌치를 충전하는 방법.
  3. 제 1 항에 있어서,
    상기 저-k 유전체 층의 형성 동안의 상기 기판의 온도는 150 ℃ 미만인,
    트렌치를 충전하는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 실리콘-및-탄소-함유 전구체는 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane) 또는 테트라메틸시클로테트라실록산(tetramethylcyclotetrasiloxane)인,
    트렌치를 충전하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 실리콘-및-탄소-함유 전구체는 1의 Si-O:Si 비율을 갖는,
    트렌치를 충전하는 방법.
  6. 제 1 항에 있어서,
    상기 제 2 실리콘-및-탄소-함유 전구체는 4의 Si-O:Si 비율을 갖는,
    트렌치를 충전하는 방법.
  7. 제 1 항에 있어서,
    상기 제 2 실리콘-및-탄소-함유 전구체는 테트라메틸 오르토실리케이트(tetramethyl orthosilicate)인,
    트렌치를 충전하는 방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020150100558A 2014-07-16 2015-07-15 유동성 증착에 의한 저-k 유전체 갭필 KR102454422B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/333,262 2014-07-16
US14/333,262 US9412581B2 (en) 2014-07-16 2014-07-16 Low-K dielectric gapfill by flowable deposition

Publications (2)

Publication Number Publication Date
KR20160011151A KR20160011151A (ko) 2016-01-29
KR102454422B1 true KR102454422B1 (ko) 2022-10-14

Family

ID=55075152

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150100558A KR102454422B1 (ko) 2014-07-16 2015-07-15 유동성 증착에 의한 저-k 유전체 갭필

Country Status (3)

Country Link
US (1) US9412581B2 (ko)
KR (1) KR102454422B1 (ko)
TW (1) TW201610205A (ko)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018187429A1 (en) * 2017-04-04 2018-10-11 Applied Materials, Inc. Two-step process for silicon gapfill
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP7168586B2 (ja) * 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN118471789A (zh) 2018-11-16 2024-08-09 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11133177B2 (en) 2018-12-20 2021-09-28 Applied Materials, Inc. Oxidation reduction for SiOC film
US11557509B1 (en) 2018-12-21 2023-01-17 Applied Materials, Inc. Self-alignment etching of interconnect layers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102668222B1 (ko) 2019-05-22 2024-05-24 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11658041B2 (en) 2020-05-28 2023-05-23 Applied Materials, Inc. Methods of modifying portions of layer stacks
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101046968B1 (ko) * 2006-05-30 2011-07-06 어플라이드 머티어리얼스, 인코포레이티드 갭필 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착및 경화하는 방법
KR101215033B1 (ko) * 2006-05-30 2012-12-24 어플라이드 머티어리얼스, 인코포레이티드 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착

Family Cites Families (488)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS5775738U (ko) 1980-10-27 1982-05-11
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
TW297135B (ko) 1995-03-20 1997-02-01 Hitachi Ltd
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
KR100243446B1 (ko) 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
KR100773165B1 (ko) 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003010289A2 (en) 2001-07-25 2003-02-06 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
KR101051276B1 (ko) * 2002-04-02 2011-07-22 다우 글로벌 테크놀로지스 엘엘씨 이중 다마신 배선의 패터닝을 위한 3층 마스킹 구조물
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
CN1778002A (zh) 2003-03-04 2006-05-24 陶氏康宁公司 有机发光二极管
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004328825A (ja) 2003-04-22 2004-11-18 Tdk Corp Dc−dcコンバータ
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
WO2006014034A1 (en) 2004-08-04 2006-02-09 Industry-University Cooperation Foundation Hanyang University Remote plasma atomic layer deposition apparatus and method using dc bias
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
JP2009500857A (ja) 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド シリコン含有膜の堆積方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
EP2007917B1 (en) 2006-04-03 2009-07-29 L'Air Liquide Société Anon. à Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procédés Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
EP2036120A4 (en) 2006-05-30 2012-02-08 Applied Materials Inc NOVEL PLASMA CURING AND PLASMA CURING PROCESS TO ENHANCE THE QUALITY OF SILICON DIOXIDE FILM
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
AU2008221198A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101046968B1 (ko) * 2006-05-30 2011-07-06 어플라이드 머티어리얼스, 인코포레이티드 갭필 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착및 경화하는 방법
KR101215033B1 (ko) * 2006-05-30 2012-12-24 어플라이드 머티어리얼스, 인코포레이티드 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착

Also Published As

Publication number Publication date
US20160020089A1 (en) 2016-01-21
KR20160011151A (ko) 2016-01-29
TW201610205A (zh) 2016-03-16
US9412581B2 (en) 2016-08-09

Similar Documents

Publication Publication Date Title
KR102454422B1 (ko) 유동성 증착에 의한 저-k 유전체 갭필
KR102479806B1 (ko) 유동성 저-k 유전체 갭필 처리
US9209012B2 (en) Selective etch of silicon nitride
US9190293B2 (en) Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US8765574B2 (en) Dry etch process
US9299575B2 (en) Gas-phase tungsten etch
US9437451B2 (en) Radical-component oxide etch
KR102076000B1 (ko) 반도체 프로세싱을 위한 유동성 탄소
US9159606B1 (en) Metal air gap
US9390937B2 (en) Silicon-carbon-nitride selective etch
US9287134B2 (en) Titanium oxide etch
US8551891B2 (en) Remote plasma burn-in
US9034770B2 (en) Differential silicon oxide etch
US8679982B2 (en) Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
KR20130135301A (ko) 라디칼 증기 화학 기상 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right