KR101046968B1 - 갭필 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착및 경화하는 방법 - Google Patents
갭필 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착및 경화하는 방법 Download PDFInfo
- Publication number
- KR101046968B1 KR101046968B1 KR1020087031961A KR20087031961A KR101046968B1 KR 101046968 B1 KR101046968 B1 KR 101046968B1 KR 1020087031961 A KR1020087031961 A KR 1020087031961A KR 20087031961 A KR20087031961 A KR 20087031961A KR 101046968 B1 KR101046968 B1 KR 101046968B1
- Authority
- KR
- South Korea
- Prior art keywords
- silicon oxide
- oxide layer
- substrate
- plasma
- chamber
- Prior art date
Links
- 230000008021 deposition Effects 0.000 title claims description 56
- 238000000034 method Methods 0.000 claims abstract description 155
- 239000000758 substrate Substances 0.000 claims abstract description 85
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 74
- 238000000151 deposition Methods 0.000 claims abstract description 66
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 66
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 41
- 239000002243 precursor Substances 0.000 claims abstract description 40
- 239000012686 silicon precursor Substances 0.000 claims abstract description 25
- 238000006243 chemical reaction Methods 0.000 claims abstract description 21
- 238000009616 inductively coupled plasma Methods 0.000 claims abstract description 8
- 238000010438 heat treatment Methods 0.000 claims abstract description 6
- 238000000137 annealing Methods 0.000 claims description 94
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 24
- 238000012545 processing Methods 0.000 claims description 24
- 229910052734 helium Inorganic materials 0.000 claims description 16
- 239000001307 helium Substances 0.000 claims description 16
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 16
- 229910052786 argon Inorganic materials 0.000 claims description 12
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical group C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 10
- 239000000203 mixture Substances 0.000 claims description 5
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 claims description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 4
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 3
- 229910001882 dioxygen Inorganic materials 0.000 claims description 3
- 229910021529 ammonia Inorganic materials 0.000 claims description 2
- 239000007795 chemical reaction product Substances 0.000 abstract description 3
- 239000007789 gas Substances 0.000 description 94
- 230000008569 process Effects 0.000 description 84
- 210000002381 plasma Anatomy 0.000 description 83
- 238000009826 distribution Methods 0.000 description 14
- 229910052799 carbon Inorganic materials 0.000 description 12
- 238000004140 cleaning Methods 0.000 description 12
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 10
- 230000003287 optical effect Effects 0.000 description 9
- 229910052760 oxygen Inorganic materials 0.000 description 9
- SCPYDCQAZCOKTP-UHFFFAOYSA-N silanol Chemical compound [SiH3]O SCPYDCQAZCOKTP-UHFFFAOYSA-N 0.000 description 9
- 239000001301 oxygen Substances 0.000 description 8
- 238000004590 computer program Methods 0.000 description 7
- 238000010586 diagram Methods 0.000 description 6
- 238000004090 dissolution Methods 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 125000005372 silanol group Chemical group 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 229910008051 Si-OH Inorganic materials 0.000 description 3
- 229910006358 Si—OH Inorganic materials 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 150000001282 organosilanes Chemical class 0.000 description 3
- 150000003961 organosilicon compounds Chemical class 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- -1 DEMS Chemical compound 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- CXQXSVUQTKDNFP-UHFFFAOYSA-N octamethyltrisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)O[Si](C)(C)C CXQXSVUQTKDNFP-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- OBOXTJCIIVUZEN-UHFFFAOYSA-N [C].[O] Chemical class [C].[O] OBOXTJCIIVUZEN-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical group [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- JWNBYUSSORDWOT-UHFFFAOYSA-N [Kr]Cl Chemical compound [Kr]Cl JWNBYUSSORDWOT-UHFFFAOYSA-N 0.000 description 1
- OLBVUFHMDRJKTK-UHFFFAOYSA-N [N].[O] Chemical class [N].[O] OLBVUFHMDRJKTK-UHFFFAOYSA-N 0.000 description 1
- OXRMMGBHYZHRMG-UHFFFAOYSA-N [Si].[Ar] Chemical compound [Si].[Ar] OXRMMGBHYZHRMG-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000011203 carbon fibre reinforced carbon Chemical group 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 1
- OIKHZBFJHONJJB-UHFFFAOYSA-N dimethyl(phenyl)silicon Chemical compound C[Si](C)C1=CC=CC=C1 OIKHZBFJHONJJB-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 235000011194 food seasoning agent Nutrition 0.000 description 1
- 238000003306 harvesting Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- PARWUHTVGZSQPD-UHFFFAOYSA-N phenylsilane Chemical compound [SiH3]C1=CC=CC=C1 PARWUHTVGZSQPD-UHFFFAOYSA-N 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000012713 reactive precursor Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
기판 상에 실리콘 산화물을 형성하는 방법이 설명되어 있다. 상기 방법은 반응 챔버의 외부에서 생성되는 원자 산소 전구체와 실리콘 전구체를 반응시킴으로써 반응 챔버 내의 기판 상에 실리콘 산화물 층을 형성하며 반응 생성물을 기판 상에 증착시키는 단계를 포함할 수 있다. 상기 방법은 또한, 상기 실리콘 산화물 층을 약 600 ℃ 또는 그 미만의 온도에서 가열하는 단계, 및 상기 실리콘 산화물 층을 유도 결합된 플라즈마에 노출시키는 단계를 포함한다. 상기 증착된 실리콘 산화물 층을 자외선에 노출시키고 또한 상기 층을 유도 결합된 플라즈마에 노출시킴으로써 상기 증착된 실리콘 산화물 층을 경화시키는 방법도 설명되어 있다.
Description
관련 출원의 참조
본 발명은 "캡필(gapfill) 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착 및 경화하는 방법"이란 발명의 명칭으로 2006년 5월 30일자로 문로 등에 의해 출원된 미국 가 출원 번호 60/803,489호를 우선권으로 주장한다. 본 발명은 또한, "실리콘 함유 전구체 및 원자 산소를 사용한 고품질 유동형 실리콘 이산화물의 화학 기상 증착"이란 발명의 명칭으로 2006년 5월 30일자로 잉켈 등에 의해 공동 양도된 미국 가 출원 번호 60/803,493호에 관한 것이다. 본 발명은 또한, "실리콘 이산화물의 필름 품질을 개선하기 위한 신규한 증착-플라즈마 경화 사이클 공정"이란 발명의 명칭으로 2006년 5월 30일자로 첸 등에 의해 출원된 미국 가 출원 번호 60/803,481호에 관한 것이다. 또한, 본 발명은 "유전체 갭필용 공정 챔버"란 발명의 명칭으로 2006년 5월 30일자로 루보미르스키에 의해 출원된 미국 가 출원 번호 60/803,499호에 관한 것이다. 우선권인 가 출원과 그 관련 가 출원들의 전체 내용들은 모든 목적을 위해 본 발명에 참조되었다.
유전체 필름의 증착에 있어서, 양호한 절연 특성(즉, 저 유전체 값)과 양호한 필름 품질(예를 들어, 고 필름 밀도와 낮은 습식 에칭률(WERR))을 가지는 고 등각 층을 형성하는 것이 종종 바람직하다. 불행히도, 간단한 증착으로 이러한 모든 특징들을 조합할 수 있는 시작 재료(starting materials)는 (있다하더라도)거의 없다. 실리콘 이산화물 유전체 증착에 있어서, 고 등각 필름은 통상적으로, 필름이 갭, 공동 및 이음부를 경감시킬 수 있게 하는 양호한 유동 특성을 가진다. 그러나, 양호한 유동 특성을 갖는 산화물 필름은 또한 높은 물과 실란올(즉, Si-OH) 농도를 갖는데, 이는 유전체 상수와 필름의 WERR을 증가시킨다. 다른 한편으론, 저습(low-moisture) 산화물 필름의 증착은 통상적으로 낮은 유전체 상수와 WERR을 가지나, 갭을 형성하는 보다 큰 경향을 갖는데 이는 감소된 유동성으로 인한 것으로 보인다.
고습 및 저습 실리콘 이산화물 필름 사이의 결핍을 완화하기 위한 하나의 방법은 먼저 등각의 고습 필름을 증착하고나서 적어도 물 부분을 제거하기 위해 어닐링하는 것이다. 종래의 두 가지 어닐링 방법은 (1)고온 서멀 어닐링과 (2)고밀도 플라즈마 어닐링이다. 서멀 어닐링에 있어서, 증착된 산화물 층은 상당한 양의 습기가 층으로부터 증발하는 온도로 상승된다. 실란올 그룹은 물과 Si-O 결합을 파괴하는데, 여기서 이러한 물의 적어도 일부분은 산화물 층으로부터 이탈한다. 그 결과 초기 증착된 산화물 필름 보다 더욱 조밀하고 더욱 전기 절연성을 갖는(즉, 낮은 유전체 상수를 갖는) 어닐링된 실리콘 산화물 층이 된다.
종래의 서멀 어닐링은 어닐링 온도가 보다 높을 때 더욱 효과적이다. 1000 ℃ 이상의 고온 어닐링은 실란올 결합을 파괴며 300 ℃ 어닐링 보다 상당히 높은 비율에서 증착된 산화물 층으로부터 습기가 증발한다. 보다 높은 제거율은 어닐링 시간을 단축시키며 어닐링 단계의 효율을 증가시킨다. 그러나, 높은 온도의 어닐링은 제작 공정에서 열 관련 제약에 조화를 이루어야 한다. 예를 들어, 서멀 어닐링이 금속 라인들 위에 증착되는 중간 금속 유전체(IMD) 층 상에 수행되면, 어닐링을 위한 온도 상한선은 400 ℃ 또는 그 미만일 수 있다. 몇몇의 예에서, 낮은 열 관련 제약은 긴 어닐링 시간으로 인해 서멀 어닐링을 비실용적이게 한다.
고온 어닐링이 비실용적일 때, 고밀도 플라즈를 포함한 제 2 어닐링 방법이 사용될 수 있다. 이러한 방법에서, 초기에 증착된 실리콘 산화물 층이 헬륨과 아르곤과 같은 불활성 가스의 붕괴로부터 통상적으로 형성되는 고밀도 플라즈마에 노출된다. 플라즈마로부터의 대전 입자들이 산화물 필름과 충돌하며 실란올 결합을 파괴시키며 수증기를 제거하는 원인이 된다. 고밀도 플라즈마에서 어닐링 온도는 일반적으로 서멀 어닐링을 위한 온도보다 일반적으로 더 낮으며, 낮은 열 관련 제약을 갖는 산화물 필름을 어닐링하는데 사용될 수 있다.
고 에너지 플라즈마 입자들은 또한 산화물 필름의 카본-실리콘 및 카본-카본 결합을 파괴할 수 있다. 순수한 실리콘 산화물 층을 증착할 때, 카본의 붕괴와 제거는 플라즈마 어닐링을 위한 바람직한 수확이다. 그러나, 재료의 유전체 상수를 낮추기 위해 카본과 결합하는 저유전체 상수의 산화물 필름을 위해, 플라즈마에 의한 카본 제거는 유전체 상수의 증가에 의해 필름을 손상시킬 수 있다. 따라서, 저 유전체 상수 재료에 악영향을 끼침이 없이 낮은 온도에서 유전체 필름을 효율적으 로 경화시킬 수 있는 추가의 어닐링 방법이 필요하다.
본 발명의 실시예들은 기판 상에 실리콘 산화물 층을 형성하는 방법을 포함한다. 그러한 방법은 원자 산소 전구체와 실리콘 전구체를 반응시키고 반응 생성물을 기판 상에 증착시킴으로써 반응 챔버 내의 기판 상에 실리콘 산화물 층을 형성하는 단계를 포함할 수 있다. 원자 산소 전구체는 반응 챔버의 외측에서 생성될 수 있다. 상기 방법은 또한 실리콘 산화물 층을 약 600 ℃ 또는 그 미만의 온도에서 가열하는 단계, 및 실리콘 산화물 층을 유도 결합된 플라즈마에 노출시키는 단계도 포함한다.
본 발명의 실시예들은 또한, 기판 상에 실리콘 산화물 층을 형성하는 방법을 포함한다. 상기 방법은 원자 산소 전구체와 실리콘 전구체를 반응시키고 반응 생성물을 기판 상에 증착시킴으로써 반응 챔버 내의 기판 상에 실리콘 산화물 층을 형성하는 단계를 포함할 수 있다. 원자 산소 전구체는 반응 챔버의 외측에서 생성될 수 있다. 상기 방법은 또한 실리콘 산화물 층을 자외선에 노출시키는 단계, 및 상기 실리콘 산화물 층을 유도 결합된 플라즈마에 노출시키는 단계도 포함한다.
본 발명의 실시예들은 또한, 웨이퍼 기판 상에 실리콘 산화물 층을 증착하고 어닐링하는 방법도 포함한다. 상기 방법은 실리콘 산화물 층의 증착이 발생하는 HDP-CVD 처리 챔버에 기판 웨이퍼를 제공하는 단계, 및 HDP-CVD 처리 챔버의 외측에 원격 플라즈마 생성 유닛을 제공하는 단계를 포함한다. 원격 플라즈마 생성 유닛은 HDP-CVD 처리 챔버로 공급되는 원자 산소 전구체를 생성하는데 사용될 수 있다. 상기 방법은 또한 실리콘 전구체와 원자 산소 전구체가 반응하여 웨이퍼 상에 실리콘 산화물 층을 형성하는 HDP-CVD 처리 챔버에 실리콘 전구체를 공급하는 단계도 포함한다. 실리콘 산화물 층이 형성된 이후에, 제 1 어닐링이 증착된 실리콘 산화물 층에 수행될 수 있으며, 여기서 제 1 어닐링은 약 1분 내지 약 30분 동안 약 300 ℃ 내지 약 600 ℃의 온도로 상기 층을 가열하는 단계를 포함한다. 그 후, 제 2 어닐링은 증착된 산화물 층에 수행될 수 있는데, 여기서 제 2 어닐링은 약 1분 내지 약 10 분 동안 고밀도 아르곤 플라즈마에 상기 층을 노출하는 단계를 포함한다.
추가의 실시예 및 특징들은 다음의 상세한 설명에 일부 설명되어 있으며, 일부는 다음 상세한 설명의 이해로 본 기술 분야의 당업자에게 명확해지거나 본 발명의 실싱에 의해 학습될 것이다. 본 발명의 특징 및 장점들은 본 발명에 설명된 수단, 조합 및 방법에 의해 실현 및 획득될 것이다.
본 발명의 성격과 장점들의 더욱 명확한 이해는 동일한 도면 부호가 유사한 구성 요소를 지칭하기 위해 여러 도면 전반에 걸쳐 사용된 도면과 나머지 상세한 설명 부분들을 참조함으로써 구현될 수 있다. 몇몇 예에서, 서브라벨(sublabel)은 도면 부호와 관련이 있으며 다중의 유사한 구성 요소 중에 하나를 나타내기 위해 하이픈을 뒤따른다. 존재하는 서브라벨에 대한 상세한 설명없이 도면 부호를 참조할 때, 이는 모든 그러한 다중의 유사한 구성 요소들을 참조하는 것으로 이해해야 한다.
도 1은 본 발명의 실시예에 따른 유전체 증착 및 어닐링에서 선택된 단계들을 도시하는 흐름도이며,
도 2는 본 발명의 실시예에 따른 유전체 및 서멀/플라즈마 어닐링에서 선택된 단계들을 도시하는 흐름도이며,
도 3은 본 발명의 실시예에 따른 유전체 증착 및 UV/플라즈마 어닐링에서 선택된 단계들을 도시하는 흐름도이며,
도 4는 본 발명의 실시예에 따른 다층 유전체 증착 및 서멀/플라즈마 어닐링에서 선택된 단계들을 도시하는 흐름도이며,
도 5a는 본 발명의 실시예에 따른 고밀도 플라즈마 화학 기상 증착(HDPCVD) 시스템의 단순화한 다이어그램이며,
도 5b는 본 발명의 실시예에 따른 HDP-CVD 시스템과 관련하여 사용될 수 있는 가스 링의 예를 도시하는 단순화된 횡단면도이며,
도 5c는 본 발명의 실시예에 따른 HDP-CVD 시스템과 관련하여 사용될 수 있는 모니터 및 광학 펜의 예를 도시하는 단순화된 다이어그램이며,
도 5d는 본 발명의 실시예에 따른 HDP-CVD 시스템을 제어하기 위한 공정 제어기의 예를 도시하는 단순화된 개략도이다.
시스템 및 방법은 실리콘 산화물 층들의 다단계 어닐링에 대해 설명된다. 다단계 어닐링은 고온(예를 들어, 600 ℃ 초과의 온도)에 대한 요구없이, 산화물 층으로부터 습기와 실란올 그룹을 효과적으로 제거할 수 있게 한다. 다단계 어닐 링은 상기 층의 경도를 증가시키고 습기 및/또는 수산화물 그룹의 일부분을 제거하는 제 1 단계 어닐링, 및 양호한 유전체 특성(예를 들어, 3 미만의 k-값)을 갖는 경질(1 GPa 이상) 산화물 층을 생성하도록 (모든 것은 아니지만)대부분의 나머지 습기와 수산화물 그룹을 제거하는 제 2 단계 어닐링을 포함할 수 있다.
다단계 어닐링은 고온 및 긴 어닐링 시간을 필요로 하지 않고도, 초기 증착된 연질 산화물 필름을 고품질의 저-k 유전체 층으로 변형시킬 수 있다. 카본 함유 저-k 산화물 층에 대해, 제 1 단계의 어닐링은 연질 필름을 상기 층의 k-값을 상당히 증가시키기에 충분한 카본을 다음의 어닐링 단계(들)에 의해 파괴하거나 제거하믄 지점으로 경화시킨다. 이와 같이, 고밀도 플라즈마 어닐링과 같은 저온 및 고효율적인 습기 제거 어닐링 방법은 실리콘 산화물 유전체 층을 함유하는 카본의 저-k 특성에 대한 절충 없이 어닐링 공정에 사용될 수 있다.
예시적인 산화물 층 증착 및 어닐링 공정
도 1은 본 발명의 실시예에 따른 유전체 증착 및 어닐링 방법에서 선택된 단계를 가지는 흐름도이다. 상기 방법(100)은 증착 챔버(102)로 웨이퍼 기판을 제공하는 단계를 포함할 수 있다. 웨이퍼 기판은 200mm, 300mm 등의 실리콘 웨이퍼 기판을 포함할 수 있다. 기판은 2:1 또는 그 이상, 5:1 또는 그 이상, 7:1 또는 그 이상, 10:1 또는 그 이상, 13:1 또는 그 이상, 15:1 또는 그 이상 등의 종횡비를 갖는 갭, 트렌치, 스텝 등을 포함하는 구조물이 상부에 형성될 수 있다.
실리콘 전구체는 기판을 포함하는 반응 챔버(104)로 도입될 수 있다. 실리콘 전구체는 하나 또는 그 이상의 카본-함유 유기-실리콘 화합물, 및/또는 실 란(SiH4)와 같은 카본 무함유 실리콘 화합물을 포함할 수 있다. 유기-실리콘 함유 화합물은 직접적인 Si-C 결합을 갖는 것 및/또는 Si-O-C 결합을 갖는 것을 포함할 수 있다. 유기실란 실리콘 전구체의 예에는 다른 것들 중에도, 디메틸실란, 트리메틸실란, 테트라메틸실란, 디에틸실란, 테트라메틸오르토실리케이트(TMOS), 테트라에틸오르토실리케이트(TEOS), 옥타메틸트리실록산(OMTS), 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TOMCATS), DMDMOS, DEMS, 메틸 트리톡시실란(MTES), 페닐디메틸실란, 페닐실란, 및 이들의 혼합물이 포함될 수 있다.
유기-실란 전구체는 증착 챔버로의 도입 이전 또는 도입 중에 캐리어 가스와 함께 혼합될 수 있다. 캐리어 가스는 기판 상의 산화물 층의 형성에 불합리하게 반응하지 않는 불활성 가스일 수 있다. 캐리어 가스의 예로는 다른 것들 중에도, 헬륨, 네온, 및 수소(H2)가 포함된다.
산소-함유 전구체도 기판을 포함하는 반응 챔버(106)로 도입될 수 있다. 산소 함유 전구체도 증착 챔버의 외측에서 원격으로 생성되는 원자 산소를 포함할 수 있다. 원자 산소는 분자 산소(O2), 오존(O3), 질소-산소 화합물(예를 들어, NO, NO2, N2O, 등), 수소-산소 화합물(예를 들어, H2O, H2O2, 등), 카본-산소 화합물(예를 들어, CO, CO2, 등)과 같은 전구체뿐만 아니라, 다른 산소 함유 전구체와 이들 전구체의 조합물의 용해에 의해 생성될 수 있다.
원자 산소를 생성하기 위한 전구체의 용해는 다른 방법들 중에도, 열 용해, 자외선 용해, 및/또는 플라즈마 용해에 의해 수행될 수 있다. 플라즈마 용해는 원격 플라즈마 생성 챔버 내에서 헬륨, 아르곤 등으로부터의 플라즈마를 충돌시키는 단계, 및 원자 산소 전구체를 생성하도록 산소 전구체를 플라즈마로 도입하는 단계를 포함한다.
방법(100)의 실시예에서, 원자 산소 및 유기-실란 전구체는 증착 챔버로 도입되기 이전에 혼합되지 않는다. 전구체는 증착 챔버의 주위에 분포되는 별도의 공간적으로 분리된 전구체 입구를 통해 챔버로 진입될 수 있다. 예를 들어, 원자 산소 전구체는 챔버의 상부에 있으며 기판 바로 위로 위에 위치되는 입구(또는 입구들)로부터 진입된다. 입구는 산소 전구체의 유동을 기판 증착 표면에 수직한 방향으로 지향시킨다. 한편, 실리콘 전구체는 증착 챔버의 측면 주위에 있는 하나 또는 그 이상의 입구들로부터 진입될 수 있다. 입구는 실리콘 전구체의 유동을 증착 표면에 거의 평행한 방향으로 지향시킨다.
추가의 실시예들은 원자 산소와 실리콘 전구체를 다중 포트 샤워헤드의 별도의 포트를 통해 이송하는 단계를 포함한다. 예를 들어, 기판 위에 위치되는 샤워헤드는 전구체가 증착 챔버로 진입하는 개구 패턴을 포함할 수 있다. 한 세트의 개구가 원자 산소 전구체를 공급하는 반면에, 다른 세트의 개구가 실리콘 전구체를 공급한다. 상이한 세트의 개구를 통한 전구체의 이동은 증착 챔버를 이탈할 때까지 서로로부터 유동적으로 격리될 수 있다. 전구체 처리 장비의 형태와 디자안에 대한 추가의 세부 사항은 본 발명과 동일한 날에, "유전체 갭필용 처리 챔버"란 발명의 명칭으로 루보미르스키에 의해 출원된 변호사 참고 번호 A011162/T72700를 갖 는 공동 양도된 미국 가 출원에 설명되어 있으며, 이의 전체 내용은 모든 목적을 위해 본 발명에 참조되었다.
원자 산소 및 실리콘 전구체가 증착 챔버 내에서 반응할 때, 이들 전구체는 기판 증착 표면(108) 위에 실리콘 산화물 층을 형성한다. 초기 증착 중에, 기판은 일정하고 상당히 낮은 온도(예를 들어, 약 30 내지 약 70℃)로 유지될 수 있다. 초기 산화물 층은 우수한 유동성을 가지며 기판 표면 상의 구조물 내의 갭 바닥으로 신속히 이동될 수 있다.
실리콘 산화물 층의 증착 이후에, 습기의 일부분을 제거하고 층의 경도를 증가시키도록 제 1 어닐링이 수행될 수 있다. 이러한 초기 어닐링 단계는 불활성 환경(예를 들어, 건조한-N2 환경)에서 약 1 분 내지 10 분 동안 산화물 층을 가열하는 단계를 포함한다. 서멀 어닐링 온도는 산화물 층이 알루미늄 금속 라인 위에 중착되는 중간 금속 유전체일 때와 같이, 기판 구조물 내에 형성되는 금속 라인을 손상시키지 않도록 선택될 수 있다.
이와는 달리(또는 추가로) 제 1 어닐링 단계는 실리콘 산화물 층을 약 1 분 내지 약 30 분 동안 자외선(UV)에 노출시키는 단계를 포함할 수 있다. 서멀 어닐링 처럼, 자외선 어닐링은 초기에 증착된 산화물 필름 내에 존재하는 습기의 일부분을 제거하며 또한 필름의 경도를 증가시킬 수 있다.
제 1 어닐링의 종료 후에, 산화물 층으로부터 실란올 결합과 습기를 더욱 더 제거하도록 제 2 어닐링 단계가 수행된다. 이러한 어닐링 단계(112)에서, 실질적 으로 모든 습기와 실란올이 제거되어 초기에 증착된 산화물 또는 제 1 어닐링 단계에서 층이 처리된 후보다 낮은 k-값과 낮은 WERR 값을 상기 층에 제공한다. 이러한 제 2 어닐링은 산화물로부터 실란올 그룹과 습기를 제거하는데 효율적인 플라즈마에 산화물 층을 노출시키는 단계를 포함할 수 있다. 그러나, 제 1 어닐링 이후에, 산화물 층은 산화물 내에 존재하는 카본 그룹의 붕괴로부터 플라즈마를 감소(또는 방지)하기에 충분한 경도를 가진다. 따라서, 낮은-K의 카본 함유 산화층에 대해, 플라즈마 어닐링은 층의 K-값을 상당히 증가시키기에 충분한 카본을 제거하지 못한다.
도 2를 참조하면, 본 발명의 실시예에 따른 유전체 증착 및 서멀/플라즈마 어닐링 방법(200)에서 선택된 단계들을 나타내는 흐름도가 도시되어 있다. 방법(200)은 기판을 증착 챔버(202)에 제공하는 단계를 포함한다. 그 후, 초기 산화물 층의 증착은 반응 챔버의 외측에 있는 원격 시스템에서 반응성 원자 산소를 생성하는 단계를 포함한다. 예를 들어, 원자 산소는 4000 내지 6000 와트(예를 들어, 5500 와트)의 RF 전력을 예를 들어, 약 600 내지 약 1200 sccm으로 유동하는 분자 산소(02)와 예를 들어, 약 900 내지 1800 sccm으로 유동하는 아르곤 가스의 조합 가스 스트림으로 공급하는 원격 고밀도 플라즈마 발생기에서 생성될 수 있다. 원격 생성된 원자 산소 전구체는 반응 챔버(206)의 내측으로 도입될 수 있다.
아르곤-실리콘 전구체도 반응 챔버(208)로 도입된다. 이러한 전구체는 유기-실리콘 화합물(가스 또는 액체)을 헬륨 또는 분자 수소(H2)와 같은 캐리어 가스와 혼합함으로써 도입될 수 있다. 예를 들어, 헬륨은 약 800 내지 약 1600 mgm의 비율에서 챔버로 OMCTS의 유동을 제공하도록 옥타메틸시클로테트라실록산(OMCTS)과 같은 실온 액체 유기-실리콘 전구체를 통해 약 600 내지 약 2400 sccm의 유동률로 기포화될 수 있다.
원자 산소 및 실리콘 전구체는 기판(210) 상에 초기 산화물 층을 형성하도록 챔버 내에서 서로 반응한다. 산화물 층 증착 중에 챔버의 전체 압력은 예를 들어, 약 0.5 Torr 내지 약 6 Torr일 수 있다. 보다 높은 전체 압력(예를 들어, 1.3 Torr)에서는 보다 양호한 유동성을 갖는 산화물 필름을 증착하는 반면에, 보다 낮은 압력(예를 들어, 0.5 Torr)에서는 보다 큰 등각 산화물 층을 증착할 수 있다. 원자 산소가 높은 반응성을 가지므로, 반응 챔버 내의 증착 온도는 상당히 낮을 수 있다(예를 들어, 약 100 ℃ 또는 그 미만). 산화물 증착률은 약 500Å/분 내지 약 3000Å/분(예를 들어, 1500Å/분) 범위일 수 있다. 상기 층의 두께는 약 500Å 내지 약 5000Å일 수 있다.
낮은-k의 산화물 필름을 증착한 이후에, 서멀 어닐링이 수행된다(단계 212).이러한 어닐링은 초기 증착된 산화물 층의 온도를 약 300℃ 내지 약 600℃(예를 들어, 약 350℃ 내지 약 400℃, 약 380℃ 등)로 상승시키는 단계를 포함한다. 서멀 어닐링 환경은 건조 질소, 헬륨, 아르곤 등의 불활성 분위기를 포함하며, 챔버 압력은 약 15 mTorr 내지 약 760 Torr(예를 들어, 약 50 Torr)일 수 있다. 산화물 층은 약 1 분 내지 약 30분(예를 들어, 약 1분) 동안 서멀 어닐링되며, 초기 증착된 필름보다 높은 경도와 낮은 습도를 갖는 어닐링된 산화물 층을 생성한다. 서멀 어닐링으로 습기와 실란올을 완전히 제거하지 못하므로, 층의 경도는 완전 건조된 무실란올 산화물의 경도보다 작다. 예를 들어, 후-서멀 어닐링 필름은 약 0.5 GPa 또는 그 미만(예를 들어, 0.1 GPa 내지 약 0.5 GPa)의 경도를 가질 수 있다. 유사하게, 후-서멀 어닐링된 필름의 유전체 상수는 완전 건조된 산화물 층의 유전체 상수보다 정상적으로 높으며, 후-서멀 어닐링된 필름된 필름은 4.0 이상의 k-값을 가질 수 있다.
제 2 플라즈마 어닐링이 열적으로 어닐링된 산화물 층에 수행될 수 있다(단계 214). 플라즈마 어닐링은 헬륨 또는 아르곤과 같은 하나 또는 그 이상의 불활성 가스로부터 생성된 플라즈마에 웨이퍼 기판을 노출시킴으로써 수행될 수 있다. 플라즈마는 유도 결합된 플라즈마(ICP)에 의해 생성괴며 반응 챔버 내에서 인-시츄 방식으로 생성될 수도 있다. 플라즈마를 생성하는데 사용된 RF 전력은 약 1000와트 내지 약 9600 와트(예를 들어, 약 1800 와트)일 수 있으며, 챔버 내의 플라즈마 압력은 약 2 mTorr 내지 약 50 mTorr(예를 들어, 약 20 mTorr)일 수 있다. 웨이퍼는 플라즈마 어닐링 중에 약 350 ℃ 내지 약 400 ℃(예를 들어, 약 380℃)로 가열될 수 있으며 산화물 층은 약 1 내지 약 10 분(예를 들어, 약 3 분)동안 상기 플라즈마에 노출될 수 있다. 층 내의 습도와 실란올 농도는 플라즈마 어닐링 이후에 실질적으로 0에 가깝다. 층의 경도는 습도 및 실란올이 없는 저-k 실리콘 산화물의 경도와 실질적으로 동일하다(예를 들어, 약 1.2 GPa). 상기 층은 2:1 미만(예를 들어, 약 1.8:1 내지 약 1.4:1)의 WERR도 가진다. 유사하게, 상기 층에 대한 k-값은 3.0 미만일 수 있다. 어닐링된 산화물 층도 금속화된 기판의 열 관련 온 도(예를 들어, 약 600 ℃)에서 균일하고 안정할 수 있다.
도 3은 본 발명의 실시예에 따른 유전체 증착 및 UV/플라즈마 어닐링 방법(300)에서 선택된 단계들을 갖는 흐름도이다. 방법(200)과 유사하게, 방법(300)은 기판을 증착 챔버(302)로 제공하는 단계 및 증착 챔버(306)의 내측으로 도입되는 원자 산소(304) 전구체를 생성하는 단계를 포함한다. 실리콘 전구체(예를 들어, 유기-실리콘 화합물)도 챔버(308)로 도입되며, 초기 산화물 층(310)을 형성하도록 원자 산소 전구체와 반응한다. 이러한 초기 증착 이후에, 두 단계의 어닐링이 수행되어 습도와 실란올 그룹을 산화물 층으로부터 제거한다.
제 1 어닐링 단계는 초기 증착된 실리콘 산화물 층을 자외선(312)에 노출시키는 단계를 포함한다. 자외선은 습도를 제거하고 실란올 결합(예를 들어, Si-OH)을 분리시킴으로써 필름의 k-값을 낮추며 경도를 증가시킬 수 있다. 예를 들어, Si-OH 결합은 실란올을 실리콘 산화물과 수증기로 변환시키는 대략 200 nm에서 자외선을 흡수한다.
자외선은 기판 상에 자외선을 쪼이는 하나 또는 그 이상의 자외선 소오스로부터 공급될 수 있다. 이들 자외선 소오스는 자외선 파장에서 피크 세기(예를 들어, 220 nm)를 가지는 광대역에 걸쳐 자외선을 방출하는 자외선 램프를 포함할 수 있다. 자외선 램프의 예에는 다른 형태의 자외선 램프들 중에도, 제논 램프(피크 방출 파장 172 nm), 수은 램프(피크 243 nm), 중수소 램프(피크 140 nm), 및 크립톤 염화물(KrCl2) 램프(피크 222 nm)가 포함된다. 추가의 자외선 소오스는 고유한 협대역 자외선을 산화물 층에 제공하는 레이저를 포함할 수 있다. 레이저 광 소오스에는 엑시머 레이저(예를 들어, XeCl, KrF, F2 등의 엑시머 레이저) 및/또는 적절히 조화된 고상 레이저(예를 들어, Nd-YAG 레이저)가 포함된다. 자외선 소오스로는 다이오드 자외선 소오스도 포함된다.
필터 및/또는 나노크로미터(nanochrometer)가 산화물 층에 도달하는 광의 파장 범위를 좁히는데 사용될 수 있다. 예를 들어, 필터는 자외선 어닐링에 의해 층 내의 카본이 제거되는 것을 방지하도록 170 nm 미만의 파장을 갖는 광을 차단한다.
산화물 층은 약 10초 내지 약 60분 동안 자외선 소오스에 노출될 것이다. 통상적인 노출 시간은 약 1분 내지 약 10분(예를 들어, 약 2 분 내지 약 5분)일 수 있다. 산화물 층의 온도는 자외선 어닐링 단계 중에 약 25℃ 내지 약 900℃일 수 있다. 자외선 노출은 산화물 층이 헬륨, 아르곤, N2, N2O, 암모니아, 오존, H2O, 또는 이들의 혼합물을 함유하는 분위기 내에 있는 동안 수행될 수 있다. 자외선 노출 중에 챔버 내의 분위기의 압력은 약 1 Torr 내지 약 600 Torr 범위일 수 있다.
자외선 어닐링 이후에, 플라즈마 어닐링이 산화물 층(314)에 수행될 수 있다. 이러한 제 2 어닐링은 고 품질(예를 들어, 1.2 GPa의 경도), 낮은-k(예를 들어, 약 3.0 또는 그 미만의 k-값)의 실리콘 산화물 층을 제공하기 위해 실질적으로 모든 나머지 물과 실란올 그룹을 제거한다. 방법(200)에서의 플라즈마 어닐링(214)과 유사하게, 플라즈마 어닐링(314)은 헬륨 또는 아르곤과 같은 하나 또는 그 이상의 불활성 가스로부터 생성되는 플라즈마에 웨이퍼 기판을 노출시킴으로써 수행될 수 있다. 플라즈마는 유도 결합된 플라즈마(ICP)에 의해 생성되며 반응 챔버 내에서 인-시츄 방식으로 생성될 수 있다. 웨이퍼는 플라즈마 어닐링 중에 약 350 ℃ 내지 약 400 ℃로 가열될 수 있으며, 산화물 층은 약 1 내지 약 10 분 동안 플라즈마에 노출될 수 있다. 상기 층 내의 습기와 실란올 농도는 어닐링 이후에 실질적으로 0에 가깝다.
도 4를 참조하면, 본 발명의 실시예에 따른 다층 유전체 증착 및 서멀/플라즈마 어닐링(400)에서 선택된 단계들을 나타내는 흐름도가 도시되어 있다. 상기 방법(400)은 증착 챔버(402)로 기판을 제공하는 단계, 및 제 1 유전체 층을 기판(404) 상에 증착하는 단계를 포함한다. 유전체 층은 원자 산소와 유기-실리콘 전구체의 반응에 의해 형성되는 실리콘 산화물 층일 수 있다. 원자 산소 전구체는 O2와 같은 산소 함유 가스의 고밀도 플라즈마 용해에 의해 원격 생성될 수 있다. 제 1 산화물 층의 두께는 약 50 Å 내지 약 500 Å(예를 들어, 약 100 Å 내지 약 200 Å)일 수 있다.
증착 이후에, 제 1 유전체 층은 2단계 어닐링 공정으로 어닐링될 수 있다. 제 1 어닐링 단계(406)는 상기 층의 경도를 증가시키도록 자외선 또는 서멀 어닐링될 수 있다. 그 후, 제 2 어닐링 단계(408)는 상기 층으로부터 습기와 실란올 결합을 더욱 더 제거하도록 수행될 수 있다. 이는 유전체 층을 불활성 플라즈마에 노출시킴으로써 수행되는 플라즈마 어닐링일 수 있다. 유전체 층의 온도는 2단계 어닐링 중에 약 300 ℃ 내지 약 600 ℃(예를 들어, 약 350 ℃ 내지 약 400 ℃)에서 유지될 수 있다. 제 1 유전체 층의 제 1 및 제 2 어닐링은 약 30 초 내지 약 10 분 동안 지속될 수 있다.
제 2 유전체 층은 이제 제 1 유전체 층을 가지는 기판 상에 형성될 수 있다(단계 410). 제 2 유전체 층은 제 1 유전체 층과 동일한 전구체(예를 들어, 원자 산소 및 유기-실리콘 전구체)로부터 형성될 수 있다. 제 2 유전체도 제 1 층과 동일한 두께(예를 들어, 약 50 Å 내지 약 500 Å)로 형성될 수 있다.
증착 이후에, 제 2 유전체 층은 2 단계 어닐링 공정으로 어닐링될 수 있다. 제 1 어닐링 단계(412)는 상기 층의 경도를 증가시키고 필름 내의 습기와 실란올 레벨을 감소시키도록 자외선 또는 서멀 어닐링된다. 그 후, 제 2 어닐링 단계(414)가 상기 층으로부터 습기와 실란올 결합을 더욱 더 제거하도록 수행된다. 이는 유전체 층을 불활성 플라즈마에 노출시킴으로써 수행되는 플라즈마 어닐링일 수 있다. 유전체 층의 온도는 2단계 어닐링 중에 약 300 ℃ 내지 약 600 ℃(예를 들어, 약 350 ℃ 내지 약 400 ℃)에서 유지될 수 있다. 제 1 유전체 층의 제 1 및 제 2 어닐링은 약 30 초 내지 약 10 분 동안 지속될 수 있다.
유전체 증착 및 2단계 어닐링 사이클은 유전체 재료가 소정의 두께로 형성될 때까지(도시 않음) 여러 번 반복적으로 수행될 수 있다. 예를 들어, 각각의 유전체 층이 100 Å의 두께를 가지며 소정의 전체 유전체 두께가 1.2 ㎛라면, 12번의 증착 및 어닐링 사이클이 수행되어야 한다. 각각 증착된 층의 두께는 다른 변수들 중에서도, 반응성 전구체의 형태와 유동률, 증착 챔버 내의 전체 압력, 및 온도와 같은 산화물 증착률을 유효화하는 변수들을 제어함으로써 설정될 수 있다. 전술한 바와 같이, 산화물 층에 대한 통상적인 증착률은 약 500Å/분 내지 약 3000 Å/분(예를 들어, 약 1500 Å/분)이다.
예시적인 증착 및 어닐링 시스템
본 발명의 실시예들을 실시할 수 있는 증착 시스템으로는 다른 형태의 시스템 중에서도, 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템, 플라즈마 강화 화학 기상 증착(PECVD) 시스템, 대기압 이하 화학 기상 증착(SACVD) 시스템, 및 서멀 화학 기상 증착 시스템이 포함된다. 본 발명의 실시예들을 실시할 수 있는 CVD 시스템의 특정 예로는 미국 캘리포니아 산타클라라 소재의 어플라이드 머티리얼즈 인포포레이티드로부터 이용가능한 센츄라 울티마(등록 상표) HDP-CVD 챔버/시스템, 및 프로듀서(등록 상표) PECVD 챔버/시스템이 포함된다.
본 발명에 따른 실시예들에 사용되도록 변형될 수 있는 하나의 적합한 증착 및 어닐링 시스템은 2004년 5월 7일자로 첸 등에 의해 출원되고 공동 양도된 미국 특허 공보 US2005/0250340(미국 출원 번호 10/841,582호)에 도시되고 설명되어 있으며, 이는 모든 목적을 위해 본 발명에 참조되었다.
이후 도 5a를 참조하면, 본 발명에 따른 시즈닝 필름이 알루미늄 질화물 부품(예를 들어, 노즐, 배플 등) 상에 증착될 수 있는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템(510)의 실시예가 도시되어 있다. 시스템(510)은 챔버(513), 진공 시스템(570), 소오스 플라즈마 시스템(580A), 바이어스 플라즈마 시스템(580B), 가스 분배 시스템(533), 및 원격 플라즈마 세정 시스템(550)을 포함한 다.
챔버(513)의 상부는 알루미늄 질화물과 같은 세라믹 유전체 재료로 제조되는 돔(514)을 포함한다. 돔(514)은 플라즈마 처리 영역(516)의 상부 경계를 한정한다. 플라즈마 처리 영역(516)은 기판(517)의 상부면과 기판 지지 부재(518)에 의해 바닥이 한정된다.
히터 판(523)과 냉각 판(524)이 장착되며, 돔(514)에 열적으로 결합된다. 히터 판(523)과 냉각 판(524)은 약 100 ℃ 내지 약 200 ℃ 범위에 걸쳐 약 ±10 ℃ 내에서 돔의 온도를 제어할 수 있다. 이는 다수의 공정에 대한 돔 온도의 최적화를 가능하게 한다. 예를 들어, 돔의 온도는 증착 공정보다는 세정 또는 에칭 공정을 위해 더 높은 온도로 유지하는 것이 바람직하다. 돔 온도의 정확한 제어도 챔버 내의 박리 또는 미립자 수를 감소시키며 증착 층과 기판 사이의 접착력을 개선한다.
챔버(513)의 하부는 챔버를 진공 시스템에 결합하는 몸체 부재(522)를 포함한다. 기판 지지부재(518)의 기저부(521)는 몸체 부재(522) 상에 장착되며 몸체 부재와 연속적인 내측면을 형성한다. 기판은 챔버(513) 측면에 있는 삽입/제거 개구(도시 않음)를 통해 로봇 블레이드(도시 않음)에 의해 챔버의 내측 및 외측으로 이송된다. 리프트 핀(도시 않음)은 상부 로딩 위치(557)에 있는 로봇 블레이드로부터 기판이 기판 지지 부재(518)의 기판 수용부(519) 상에 놓이는 하부 처리 위치(556)로 기판을 이동시키도록 모터(또한 도시 않됨)의 제어 하에서 상승 및 하강된다. 기판 수용부(519)는 기판 처리 중에 기판을 기판 지지부재(518) 상에 고정 하는 정전 척(520)을 포함한다. 일 실시예에서, 기판 지지부재(518)는 알루미늄 세라믹(예를 들어, AlN) 재료로 제조된다.
진공 시스템(570)은 트윈-블레이드 드로틀 밸브(526)를 수용하고 게이트 밸브(527)와 터보-분자 펌프(528)에 부착되는 드로틀 몸체(525)를 포함한다. 드로틀 몸체(525)는 1995년 12월 12일자로 출원되어 공동 양도되었으며 본 발명에 참조된 미국 특허 출원번호 08/574,839호에 설명되어 있는 바와 같이, 가스 유동에 최소한의 장애를 제공하며 대칭적인 펌핑을 가능하게 함에 주목해야 한다. 게이트 밸브(527)는 드로틀 몸체(525)로부터 펌프(528)를 격리시키며, 또한 드로틀 밸브(526)가 완전히 개방된 때 배기 능력을 제한함으로써 챔버 압력을 제어할 수 있다. 드로틀 밸브, 게이트 밸브, 및 터보-분자 펌프의 배열에 의해 약 1 mTorr 내지 약 2 Torr 범위로 챔버 압력을 정확하고 안정적으로 제어할 수 있다.
소오스 플라즈마 시스템(580A)은 돔(514)에 장착되는 상부 코일(529)과 측면 코일(530)을 포함한다. 대칭의 접지 차폐물(도시 않음)은 상기 코일들 사이의 전기 접속을 감소시킨다. 상부 코일(529)은 상부 소오스 RF(SRF) 발생기에 의해 전력이 공급되는 반면에, 측면 코일(530)은 측면 SRF 발생기(531B)에 의해 전력이 공급되어, 각각의 코일에 대한 독립적인 동력 레벨과 작동 주파수를 제공한다. 이러한 이중 코일 시스템은 챔버(513) 내의 반경 방향의 이온 밀도의 제어를 가능하게 함으로써, 플라즈마 균일도를 개선한다. 측면 코일(530)과 상부 코일(529)은 컴플라이언스 전극을 불필요하게 하는, 통상적으로 유도적으로 구동된다. 일 실시예에서, 상부 소오스 RF 발생기(531A)는 정상적으로 2 ㎒에서 10,000 와트 까지의 RF 전력을 제공하며 측면 소오스 RF 발생기(531B)는 정상적으로 정상적으로 2 ㎒에서 10,000 와트 까지의 RF 전력을 제공한다. 상부 및 측면 RF 발생기의 작동 주파수는 플라즈마 발생 효율을 개선하기 위해 정상적인 작동 주파수(예를 들어, 각각 1.7 내지 1.9 ㎒ 및 1.9 내지 2.1 ㎒)로부터 오프셋될 수 있다.
바이어스 플라즈마 시스템(580B)은 바이어스 RF(BRF) 발생기(531) 및 바이어스 정합 네트워크(532C)를 포함한다. 바이어스 플라즈마 시스템(580B)은 기판 부분(517)을 상보 전극(complimentary electrode)으로서 역할을 하는 몸체 부재(522)에 용량 결합시킨다. 바이어스 플라즈마 시스템(580B)은 소오스 플라즈마 시스템(580A)에 의해 생성된 플라즈마 종을 기판 표면으로 이송하는 것을 개선하는 역할을 한다. 특정 실시예에서, 바이어스 RF 발생기는 13.56㎒에서 5,000 와트까지의 RF 전력을 제공한다.
RF 발생기(531A,531B)는 디지탈 제어되는 합성기를 포함하며 약 1.8 내지 약 2.1 ㎒ 범위의 주파수에 걸쳐서 작동한다. 각각의 발생기는 본 기술분야의 당업자에 이해될 수 있는 바와 같이, 챔버로부터 발생기로의 반사 전력을 측정하고 가장 낮은 반사 전력을 얻기 위해 작동 주파수를 조절하는 RF 제어 회로(도시 않음)를 포함한다. RF 발생기는 통상적으로 50 오옴의 특성 임피던스를 갖는 부하로 작동되도록 설계된다. RF 전력은 발생기와 상이한 특성 임피던스를 가지는 부하로부터 반사될 수 있다. 이는 부하로 전달되는 전력을 감소시킬 수 있다. 추가로, 부하로부터 발생기로 되반사되는 전력은 발생기를 과부하 및 손상시킬 수 있다. 플라즈마의 임피던스가 다른 요인들 중에도 플라즈마 이온 밀도에 따라 5 오옴 미만으 로부터 900 오옴 이상까지의 범위를 가질 수 있으며 반사된 전력이 주파수의 함수일 수 있기 때문에, 반사된 전력에 따른 발생기 주파수를 조절하는 것은 RF 발생기로부터 플라즈마로 전달되는 전력을 증가시키고 발생기를 보호한다. 반사된 전력을 감소시키고 효율을 증가시키는 다른 방법은 정합 네트워크를 사용하는 것이다.
정합 네트워트(532A,532B)는 발생기(531A,531B)의 출력 임피던스를 각각의 코일(529,530)에 정합시킨다. RF 제어 회로는 발생기를 부하가 변경될 때의 부하로 정합시키도록 정합 네트워크 내의 커패시터의 값을 변경시킴으로써 두 정합 네트워크를 턴할 수 있다. RF 제어 회로는 부하로부터 발생기로 되반사된 전력이 임의의 한계점을 초과할 때 정합 네트워크를 턴할 것이다. 일정한 정합을 제공하고 RF 제어회로가 정합 네트워크의 터닝을 효율적으로 억제하는 하나의 방법은 반사 전력의 예상 값 위로 반사 전력 한계값을 설정하는 것이다. 이는 가장 최근의 조건으로 정합 네트워크 상수를 유지함으로써 몇몇 조건 하에 플라즈마를 안정화하는데 도움을 줄 수 있다.
다른 방법도 플라즈마의 안정에 도움을 줄 수 있다. 부하(플라즈마)로 분배되는 전력을 결정하는데 예를 들어, RF 제어 회로가 사용될 수 있으며 층의 증착 중에 실질적으로 일정하게 분배기 전력을 유지하기 위해 발생기 출력 전력을 증가 또는 감소시킬 수 있다.
가스 분배 시스템(533)은 분배 라인(538: 단지 몇 개만이 도시됨)을 경유하여 기판을 처리하기 위한 챔버로 여러 개의 소오스(534A-534F)로부터의 가스를 제공한다. 본 기술분야의 당업자들에 이해될 수 있듯이, 소오스(534A-534F)에 사용 되는 실제 소오스 및 챔버(513)로의 분배 라인(538)의 실제 연결은 챔버(513) 내에서 실행되는 증착 및 세정 공정에 따라 변화한다. 가스는 가스 링(537) 및 상부 노즐(545)을 통해 챔버(513)의 내측으로 도입된다. 가스 링(537) 및 상부 노즐(545)은 AlN으로 제조될 수 있다. 도 5b는 가스 링(537)의 추가의 세부 사항을 도시하는 챔버의 단순화된 부분 횡단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소오스(534A,534B), 그리고 제 1 및 제 2 가스 유동 제어기(535A',535B')는 가스 분배 라인(538: 단지 몇개만이 도시됨)을 통해 가스 링(537) 내의 가스 플레넘(536)으로 가스를 제공한다. 가스 링(537)은 기판 위에 균일한 가스 유동을 제공하는 복수의 가스 노즐(539: 단지 하나만이 설명 목적으로 도시됨)을 가진다. 노즐 길이와 노즐 각도는 개개의 챔버 내의 특정 공정을 위한 가스 사용 효율과 균일한 프로파일의 조절을 가능하게 하도록 변경될 수 있다. 일 실시예에서, 가스 링(537)은 알루미늄 질화물로 제조되는 112 개의 가스 노즐(539)을 가진다.
가스 링(537)도 소오스 가스 노즐(539)보다 짧으며 상기 가스 노즐과 동일 평면 상에 있을 수 있는 복수의 가스 노즐(540: 단지 하나만이 도시됨)을 가지며, 일 실시예에서 몸체 플레넘(541)으로부터 가스를 수용한다. 가스 노즐(540)은 AlN으로 제조될 수 있다. 가스 노즐(539,540)은 가스를 챔버(513)의 내측으로 분사하기 이전에 가스를 혼합하는 것이 바람직하지 않은 몇몇 실시예에서 유체 연결되지 않는다. 다른 실시예에서, 가스는 몸체 플레넘(541)과 가스 링 플레넘(536) 사이에 구멍(도시 않음)을 제공함으로써 가스를 챔버(513)의 내측으로 분사하기 이전에 혼합될 수 있다. 일 실시예에서, 제 3 및 제 4 가스 소오스(534C,534D), 그리고 제 3 및 제 4 가스 유동 제어기(535C,534D')는 가스를 가스 분배 라인(538)을 통해 몸체 플레넘으로 제공한다. 도면 부호 543B와 같은 다른 밸브(도시 않음)는 유동 제어기로부터 챔버로의 가스를 차단할 수 있다.
가연성, 독성, 또는 부식성 가스가 사용되는 실시예에서, 증착 후에 가스 분배 라인 내에 남아 있는 가스를 제거하는 것이 바람직할 수 있다. 이는 예를 들어, 분배 라인(538A)으로부터 챔버(513)를 격리시키고 분배 라인(538A)을 진공 전방라인(544)으로 배기시키기 위한 밸브(543B)과 같은 3 방 밸브를 사용하여 달성할 수 있다. 도 5a에 도시한 바와 같이, 543A, 543C와 같은 다른 유사한 밸브가 다른 가스 분배 라인과 결합될 수 있다. 그러한 3방 밸브는 (상기 3방 밸브와 챔버 사이의)배기되지 않는 가스 분배 라인의 체적을 최소화하기 위해 실제로 챔버(513)에 가깝게 놓일 수 있다. 추가로, 2방(온-오프) 밸드는 질량 유동 제어기("MMC")와 챔버 또는 가스 소오스와 MFC 사이에 놓일 수 있다.
다시 도 5a를 참조하면, 챔버(513)도 (AlN으로 제조될 수 있는)상부 노즐(545) 및 상부 통기구(546)를 가진다. 노즐(545) 및 상부 통기구(546)는 가스의 상부 및 측면 유동의 독립적인 제어를 가능하게 하는데, 이는 필름 균일도를 개선하며 필름의 증착 및 도핑 변수의 미세한 조절을 가능하게 한다. 상부 통기구(546)는 상부 노즐(545) 주위의 환형 개구이다. 일 실시예에서, 제 1 가스 소오스(534A)는 소오스 가스 노즐(539)과 상부 노즐(545)을 공급한다. 소오스 노즐 MFC(535A')은 소오스 가스 노즐(539)로 분배되는 가스의 양을 제어하며, 상부 노즐 MMC(535A)은 상부 가스 노즐(545)로 분배되는 가스의 양을 제어한다. 유사하게, 두 개의 MFC(535B,535B')는 소오스(534B)와 같은 산소의 단일 소오스로부터의 산화제 가스 노즐(540) 및 상부 통기구(546)로의 산소의 유동을 제어하는데 사용될 수 있다. 상부 노즐(545) 및 상부 통기구(546)로 공급되는 가스는 챔버(513) 내측으로의 가스 유동 이전에 별개 상태로 유지되거나 챔버(513)의 내측으로 유동되기 이전에 상부 플레넘(48) 내에서 혼합될 수 있다. 동일한 가스의 별도 소오스가 챔버의 다수 부분을 공급하는데 사용될 수 있다.
원격 초단파-생성된 플라즈마 세정 시스템(550)은 챔버 부품으로부터 증착 잔류물을 주기적으로 세정하기 위해 제공된다. 세정 시스템은 반응로 공동(553) 내의 세정 가스 소오스(534E: 예를 들어, 분자 불소, 질소 3불화물, 다른 불화탄소 또는 등가물)로부터 플라즈마를 생성하는 원격 초단파 발생기(551)를 포함한다. 이러한 플라즈마로부터의 반응성 종은 적용기 튜브(555)를 경유하고 세정 가스 공급 포트(554)를 통해 챔버(513)로 이송된다. 세정 플라즈마를 포함하는데 사용되는 재료(예를 들어, 공동(553) 및 적용기 튜브(555))는 플라즈마에 의한 침식에 저항해야 한다. 반응로 공동(553)과 공급 포트(554) 사이의 거리는 실제로 짧게 유지되어야 하는데, 그 이유는 바람직한 플라즈마 종의 농도가 반응로 공동(553)으로부터의 거리에 따라 감소되기 때문이다. 원격 공동 내에 세정 플라즈마를 발생시키는 것은 효율적인 초단파 발생기의 사용을 가능하게 하며 챔버 부품이 인-시츄 방식으로 형성되는 플라즈마 내에 존재할 수 있는 글로우 방전의 온도, 방사선, 또는 충돌에 노출되지 않게 한다. 따라서, 정전기 척(520)과 같은 상당히 민감한 부 부품들은 인-시츄 방식의 플라즈마 세정 공정에 필요로 하는, 더미(dummy) 웨이퍼에 의해 덮여지거나 다른 방식으로 보호될 필요가 없다.
시스템 제어기(560)는 시스템(510)의 작동을 제어한다. 제어기(560)는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시 않음), 및 프로세서(561)에 연결되는 카드 랙(도시 않음)과 같은 메모리(562)를 포함할 수 있다. 카드 랙응ㄴ 단일 보오드 컴퓨터(SBC: 도시 않음), 아나로그 또는 디지탈 입/출력 보오드(도시 않음), 인터페이스 보오드(도시 않음), 및 스테퍼 모터 제어기 보오드(도시 않음)를 포함할 수 있다. 시스템 제어기는 보오드, 카드 케이지, 및 커넥터의 치수와 형태를 정의하는 버자 모듈러 유럽피언(VME) 표준에 따른다. VME 표준은 또한 16-비트 데이타 버스 및 24-비트 어드레스 버스를 갖는 것으로서 버스 구조를 정의한다. 시스템 제어기(531)는 하드 디스크 드라이브 상에 저항된 컴퓨터 프로그램 또는 제거가능한 디스크 상에 저장된 프로그램과 같은 다른 컴퓨터 프로그램의 제어하에서 작동된다. 컴퓨터 프로그램은 예를 들어, 타이밍, 가스 혼합, RF 전력 레벨 및 특정 공정에 대한 다른 변수들에 대해 명령한다. 유저와 시스템 제어기 사이의 인터페이스는 도 5c에 도시한 바와 같은 캐소드 레이 튜브(CRT: 565), 및 광학 펜과 같은 모니터를 통해 이루어진다.
도 5c는 도 5a의 예시적인 CVD 처리 챔버와 관련하여 사용되는 예시적인 시스템 유저 인터페이스의 일부분을 도시한다. 시스템 제어기(560)는 컴퓨터 판독가능한 메모리(562)에 연결되는 프로세서(561)를 포함한다. 바람직하게, 메모리(562)는 하드 디스크 드라이브일 수 있으나, 메모리(562)는 ROM, PROM 등과 같은 다른 종류의 메모리일 수 있다.
시스템 제어기(560)는 메모리(562) 내에 컴퓨터 판독가능한 포맷으로 저장되는 컴퓨터 프로그램(563)의 제어하에 작동된다. 컴퓨터 프로그램은 타이밍, 가스 유동, RF 전력 레벨 및 특정 공정에 대한 다른 변수들에 대해 명령한다. 유저와 시스템 제어기 사이의 인터페이스는 도 5c에 도시한 바와 같은 캐소드 레이 튜브(CRT: 565), 및 광학 펜과 같은 모니터를 통해 이루어진다. 두 개의 모니터(565,565A)와 두 개의 광학 펜(566,566A)가 사용될 수 있는데, 하나는 작동자를 위해 세정실 벽(565) 내에 장착되며 다른 하나는 서비스 기술자를 위해 벽(565A) 뒤편에 장착된다. 두 개의 모니터는 동일한 정보를 동시에 나타내며, 하나의 광학 펜(예를 들어, 566)이 사용될 수 있다. 특정 스크린 또는 기능을 선택하기 위해, 작동자는 디스플레이 스크린 영역을 타치하고 광학 펜 상의 버튼을 누르면 된다. 터치된 영역은 예를 들어, 컬러를 바꾸거나 새로운 메뉴를 나타냄으로써 광학 펜에 의해 선택되었음을 확인시켜 준다.
컴퓨터 프로그램 코드는 다른 것들 중에서도, 예를 들어, 68000 어셈블리 언어, C, C++, 자바, 및 파스칼과 같은 어떤 종래의 컴퓨터-판독가능한 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일로 등록되며 컴퓨터의 메모리 시스템과 같은 컴퓨터-사용가능한 매체 내에 저장 또는 구현될 수 있다. 등록된 코드 텍스트가 고차원 언어라면, 코드는 압축되며 그 결과적인 컴파일러 코드가 프리컴파일된 윈도우 라이브러리 루틴의 목적 코드와 연결된다. 연결된 압축 목적 코드를 실행하기 위해, 시스템 유저는 목적 코드를 불어내어 컴퓨터 시스템이 메모리 내에 코드를 로딩하게 한다. CPU는 메모리로부터 코드를 판독하며 프로그램에서 확인된 업무를 수행하도록 코드를 실행한다.
도 5d는 컴퓨터 프로그램(580)의 계층별 제어 구조를 설명하는 블록선도이다. 유저는 광학 펜 인터페이스를 사용하여 CRT 모니터 상에 나타난 메뉴 또는 스크린에 응답하여 공정 선택기 서브루틴(582)에 공정 세트 수와 처리 챔버 수를 등록한다. 공정 세트는 특정 공정들을 수행하는데 필요한 공정 변수들에 대한 예정된 세트들이며, 예정된 세트 수에 의해 확인된다. 공정 선택기 서브루틴(582)은 (1) 다중 챔버 시스템 내의 소정의 처리 챔버, 및 (2) 소정의 공정을 수행하기 위해 처리 챔버를 작동시키는데 필요한 바람직한 공정 변수 세트를 확인한다. 특정 공정을 수행하기 위한 공정 변수는 처리 가스 조성 및 유동률과 같은 조건, 온도, 압력, RF 전력 레벨과 같은 플라즈마 조건, 및 챔버 돔의 온도와 관련이 있으며, 유저에 레시피의 형태로 제공된다. 레시피에 의해 특정된 변수들은 광학 펜/CRT 모니터 인터페이스를 사용하여 등록된다.
공정들을 모니터링 하기 위한 신호들은 시스템 제어기(560)의 아나로그 및 디지탈 인풋 보오드에 의해 제공되며, 공정들을 제어하기 위한 신호들은 시스템 제어기(560)의 아나로그 및 디지탈 아웃풋 보오드 상에 출력된다.
공정 시퀀스 서브루틴(584)는 확인된 공정 챔버 및 공정 선택기 서브루틴(582)으로부터의 공정 변수 세트를 받아드리고 다수의 처리 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다중 유저가 공정 세트 수 및 처리 챔버 수 를 등록하거나, 단일 유저가 다중 공정 세트 수 및 처리 챔버 수를 등록할 수 있으며, 시퀀스 서브루틴(584)은 바람직한 시퀀스 내의 선택된 공정들의 스케쥴을 세운다. 바람직하게, 시퀀스 서브루틴(584)은 (1) 챔버가 사용되었는지를 결정하기 위해 처리 챔버의 작동을 모니터링하고, (2) 사용될 챔버 내에서 무슨 공정이 수행되었는지를 결정하고, (3) 수행될 공정의 형태와 처리 채버의 이용도에 기초하여 소정의 공정을 실행하는 단계들을 수행하기 위한 프로그램 코드를 포함한다. 폴링(polling)과 같은 처리 챔버를 모니터링하는 종래의 방법이 사용될 수 있다. 공정이 실행될 스케쥴을 정할 때, 시퀀스 서브루틴(584)은 각각의 특정 유저-등록 요청의 시기에 관한 사항, 또는 선택된 공정을 위한 소정의 공정 조건과 비교하는데 사용될 처리 챔버의 현재 조건, 또는 시스템 프로그래머가 스케쥴 우선 순위를 결정하는데 포함되는 것이 바람직하다고 판단한 다른 관련 변수를 고려하도록 설계될 수 있다.
시퀀스 서브루틴(584)가 어느 처리 챔버와 처리 세트 조합이 다음에 시행될 예정인가를 결정한 후에, 시퀀스 서브루틴(584)은 특정 공정 세트 변수를 챔버(513) 및 시퀀스 서브루틴(584)에 의해 송신되는 공정 세트에 따른 가능한 다른 챔버(도시 않음) 내의 다중 처리 업무를 제어하는 챔버 관리 서브루틴(586A-C)에 패싱함으로써 공정 세트의 실행을 시작한다. 챔버 성분 서브루틴의 예에는 기판 위치선정 서브루틴(588), 처리 가스 제어 서브루틴(590), 압력 제어 서브루틴(592), 및 플라즈마 제어 서브루틴(594)이 포함된다. 본 기술 분야의 당업자들은 어떤 공정이 챔버(513)에 수행되도록 선택되는냐에 따라 다른 챔버 제어 서브루 틴이 포함될 수 있다고 이해할 것이다. 작동시, 챔버 관리 서브루틴(586B)은 실행될 특정 공정 세트에 따라 공정 성분 서브루틴을 선택적으로 스케쥴을 정하거나 불어 낸다. 챔버 관리 서브루틴(586B)은 시퀀스 서브루틴(584)이 실행할 처리 챔버 및 공정 세트의 스케쥴을 정하는 방식으로 공정 성분 서브루틴의 스케쥴을 정한다. 통상적으로, 챔버 관리 서브루틴(586B)은 다수의 챔버 부품을 모니터링하는 단계, 실행될 공정 세트에 대한 처리 변수에 기초하여 어느 부품의 작동을 필요로 하는지를 결정하는 단계, 및 상기 모니터링 및 결정 단계에 응답하여 챔버 부품 서브루틴을 실행하는 단계를 포함한다.
특정 챔버 부품 서브루틴의 작동에 대해 도 5a 내지 도 5d를 참조하여 이후에 설명한다. 기판 위치선정 서브루틴(588)은 기판을 기판 지지부재(518) 상에 로딩하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 기판 위치선정 서브루틴(588)도 다른 공정이 완료된 후에 PECVD 반응로 또는 다중 챔버 시스템 내의 다른 반응로로부터 챔버(513)로 기판의 이송을 제어할 수 있다.
처리 가스 제어 서브루틴(590)은 처리 가스 조성 및 유동률을 제어하기 위한 프로그램 코드를 가진다. 서브루틴(590)은 안전 셧-오프 밸브의 개/폐 위치를 제어하며 소정의 가스 유동률을 얻기 위해 질량 유동 제어기를 램프 업/램프 다운한다. 처리 가스 제어 서브루틴(590)을 포함하는 모든 챔버 부품 서브루틴은 챔버 관리자 서브루틴(586B)에 의해 불어내어 진다. 서브루틴(590)은 소정의 가스 유동률과 관련된 챔버 관리자 서브루틴(586B)로부터의 처리 변수를 수용한다.
통상적으로, 처리 가스 제어 서브루틴(590)은 가스 공급 라인을 개방하며, 반복적으로 (1) 필요한 질량 유동 제어기를 판독하며, (2) 상기 판독된 값을 챔버 관리자 서브루틴(586B)으로부터 수용된 소정의 유동률과 비교하며, (3) 필요할 때 가스 공급 라인의 유동률을 조절한다. 또한, 처리 가스 제어 서브루틴(590)은 불안정비율을 위한 가스 유동률을 모니터링하고 불안전 조건이 검출될 때 안전 셧-오프 밸브를 작동시키는 단계를 포함할 수 있다.
몇몇 공정에서, 아르곤과 같은 불활성 가스가 바능 공정가스가 도입되기 이전에 챔버 내의 압력을 안정화하기 위해 챔버(513)의 내측으로 유동된다. 이들 공정을 위해, 처리 가스 제어 서브루틴(590)은 챔버 내의 압력을 안정화하는데 필요한 시간 동안 챔버(513) 내측으로 불활성 가스를 유동시키는 단계를 포함하도록 프로그램된다. 그후 전술한 바와 같은 단계가 수행될 수 있다.
또한, 처리 가스가 예를 들어, 테트라에틸오르토실란(TEOS), 옥타메틸시클로테트라실록산(OMCTS) 등과 같은 액체 전구체로부터 증발될 때, 처리 가스 제어 서브루틴(590)은 버블러(bubbler) 조립체 내의 액체 전구체를 통해 헬륨과 같은 분배 가스를 버블링하거나 액체 분사 밸브로 헬륨을 유입시키는 단계를 포함할 수 있다. 이러한 형태의 공정을 위해, 처리 가스 제어 서브루틴(590)은 소정의 처리가스 유동률을 얻기 위해 분배 가스의 유동, 버블러 내의 압력, 및 버블러 온도를 조절한다. 전술한 바와 같이, 소정의 처리 가스 유동률은 공정 변수로서 처리 가스 제어 서브루틴(590)으로 이송된다.
또한, 처리 가스 서브루틴(590)은 주어진 처리 가스 유동률에 대한 필요한 값들을 포함하는 저장된 테이블을 호출함으로써 필요한 분배 가스 유동률, 버블러 압력 및 버블러 온도를 얻는 단계들을 포함한다. 필요한 값들이 얻어지면, 분배 가스 유동률, 버블러 압력 및 버블러 온도가 모니터링되며, 상기 필요한 값과 비교되며, 그에 따라 조절된다.
처리 가스 제어 서브루틴(590)은 또한 독립적인 헬륨 제어(IHC) 서브루틴(도시 않음)에 의해 웨이퍼 척 내의 내측 및 외측 통로를 통한 헬륨(He)과 같은 열전달 가스의 유동도 제어할 수 있다. 가스 유동은 기판을 척에 열적으로 연결한다. 통상적인 공정에서, 웨이퍼는 플라즈마 및 층을 형성하는 화학 반응에 의해 가열되며 헬륨은 수냉되는 척을 통해 기판을 냉각시킨다. 이는 기판 상에 미리 존재하는 피쳐들을 손상할 수 있는 온도 이하로 기판을 유지한다.
압력 제어 서브루틴(592)은 챔버의 배기부 내에 있는 드로틀 밸브(526)의 개구 크기를 조절함으로써 챔버(513) 내의 압력을 조절하기 위한 프로그램 코드를 포함하며, 드로틀 밸브에 의해 챔버를 제어하기 위한 적어도 두 개의 방법이 있다. 제 1 방법은 다른 것들 중에도, 전체 처리 가스 유동, 처리 챔버의 크기, 및 펌핑 성능과 관련되어 있는 한, 챔버 압력을 특성화하는 것에 의존한다. 제 1 방법은 드로틀 밸브(526)를 고정 위치에 설정한다. 드로틀 밸브(526)를 고정 위치에 설정하는 것은 긍극적으론 안정한 상태의 압력을 초래한다.
이와는 달리, 챔버 압력은 예를 들어, 나노미터에 의해 측정될 수 있으며, 드로틀 밸브(526)의 위치는 제어 지점이 가스 유동과 배기 성능에 의해 설정된 한계 이내에 있다는 가정하에 압력 제어 서브루틴(592)에 따라 조절될 수 있다. 제 1 방법은 측정, 비교 및 제 2 방법과 관련된 계산이 호출되지 않는 경우에, 신속한 챔버 압력을 변경시킬 수 있다. 제 1 방법은 챔버 압력의 정밀한 제어가 필요하지 않은 경우에 바람직한 반면에, 제 2 방법은 층의 증착과 같은 정확하고 반복적인며 안정한 압력이 필요로 한 경우에 바람직하다.
압력 제어 서브루틴(592)이 호출될 때, 바람직하거나 목표로 하는 압력 레벨이 챔버 관리자 서브루틴(586B)으로부터의 변수로서 수용된다. 압력 제어 서브루틴(592)은 챔버에 연결된 하나 또는 그 이상의 종래의 압력 나노미터를 판독함으로써 챔버(513) 내의 압력을 측정하며, 측정된 값을 타겟 압력과 비교하며, 상기 타겟 압력에 대응하는 저장된 압력 테이블로부터의 비례, 적분, 및 미분(PID) 값을 얻으며, 상기 압력 테이블로부터 얻은 PID 값에 따라 드로틀 밸브(526)를 조절한다. 이와는 달리, 압력 제어 서브루틴(592)은 챔버(513) 내의 압력을 소정의 압력 또는 압력 범위로 조절하도록 특정 개방 크기로 드로틀 밸브(526)를 개폐할 수 있다.
플라즈마 제어 서브루틴(594)은 RF 발생기(531A,531B)의 주파수와 전력 출력 세팅값을 제어하고 정합 네트워크(532A,532B)를 터닝하기 위한 프로그램 코드를 포함한다. 전술한 챔버 부품 서브루틴과 같이, 플라즈마 제어 서브루틴(594)은 챔버 관리자 서브루틴(586B)에 의해 호출된다.
여러 실시예들을 설명하였지만, 본 기술 분야의 당업자들에게는 다수의 변경, 변형 구성예 및 균등예들이 본 발명의 사상으로부터 이탈함이 없이 사용될 수 있다고 이해할 것이다. 또한, 다수의 공지된 공정과 구성 요소들이 본 발명을 불필요하게 모호하게 하는 것을 피하기 위해 설명되지 않았다. 따라서, 전술한 설명 들은 본 발명의 범주를 한정하려는 것이 아니다.
수치 범위가 제공된 경우에, 내용에 명확히 나타내거나 그 수치 범위의 상한과 하한 사이에 특정 설명이 없는 한, 각각의 매개 값(intervening value)은 낮은 값 단위의 1/10이라고 이해해야 한다. 언급된 범위에서의 어떤 언급된 값 또는 매개 값과 그 언급된 범위에서의 다른 언급 또는 매개 값 사이의 각각의 보다 작은 범위가 내포된다. 이들 보다 작은 범위의 상하한은 그 범위 내에 포함되거나 그렇지 않을 수 있으며, 어느 하나, 또는 둘 다, 또는 둘다 아닌 한계값이 보다 작은 범위에 포함되는 각각의 범위도 본 발명에 포함되며 언급된 범위 내에 특별히 포함된다. 언급된 범위가 한계값 중 하나 또는 둘 다를 포함하는 경우에, 이들 포함된 한계값의 어느 하나 또는 둘다를 배제한 범위도 포함된다.
상세한 설명 및 청구의 범위에 사용된, 단수 형태의 관사들은 내용상 달리 명확하게 명시하지 않는 한 복수 형태도 포함한다. 따라서, 예를 들어 "하나의 공정"을 언급한 것은 복수의 그러한 공정들을 포함하며, 하나의 전극을 언급한 것은 하나 또는 그 이상의 전극 및 본 기술 분야의 당업자들에게 공지된 것과 동등한 것을 포함한다.
또한, 상세한 설명과 청구의 범위에 사용된 "포함", "포함하는", "갖는", 및 "가지는"과 같은 단어는 언급한 특징, 완전체, 부품, 또는 단계의 존재를 특정하려는 의도이지, 하나 또는 그 이상의 다른 특징, 완전체, 부품, 단계, 작용, 또는 그룹의 존재나 추가를 배제하려는 의도가 아니다.
Claims (32)
- 기판 상에 실리콘 산화물을 형성하는 방법으로서,반응 챔버의 외부에서 생성되는 원자 산소 전구체와 실리콘 전구체를 반응시켜 반응 챔버 내의 기판 상에 실리콘 산화물 층을 형성하는 단계와,상기 실리콘 산화물 층을 600 ℃ 또는 그 미만의 온도에서 가열하는 단계, 및상기 실리콘 산화물 층을 유도 결합된 플라즈마에 노출시키는 단계를 포함하는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 삭제
- 제 1 항에 있어서,상기 가열하는 단계는 1분 내지 30분 동안 수행되는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 삭제
- 삭제
- 제 1 항에 있어서,상기 플라즈마는 헬륨 또는 아르곤 전구체를 포함하는,기판 상에 실리콘 산화물을 형성하는 방법.
- 제 1 항에 있어서,상기 실리콘 산화물 층은 상기 플라즈마에 노출되는 동안 300 ℃ 내지 600 ℃의 온도를 가지는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 제 1 항에 있어서,상기 플라즈마를 생성하는데에는 1000 와트 내지 9600 와트의 전력 레벨에서 작동하는 RF 전력 소오스가 사용되는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 제 1 항에 있어서,상기 반응 챔버는 상기 실리콘 산화물 층을 플라즈마에 노출시키는 동안에 2 mTorr 내지 50 mTorr의 압력을 가지는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 제 1 항에 있어서,상기 실리콘 산화물 층은 1 분 내지 10 분 동안 플라즈마에 노출되는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 삭제
- 기판 상에 실리콘 산화물 층을 형성하는 방법으로서,반응 챔버의 외부에서 생성되는 원자 산소 전구체와 실리콘 전구체를 반응시켜 반응 챔버 내의 기판 상에 실리콘 산화물 층을 형성하는 단계와,상기 실리콘 산화물 층을 자외선에 노출시키는 단계, 및상기 실리콘 산화물 층을 유도 결합된 플라즈마에 노출시키는 단계를 포함하는,기판 상에 실리콘 산화물을 형성하는 방법.
- 제 18 항에 있어서,상기 실리콘 산화물 층은 상기 자외선에 노출되는 동안에 25 ℃ 내지 900 ℃의 온도를 가지는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 제 18 항에 있어서,상기 자외선은 220 nm에서 피크 파장 세기를 가지는,기판 상에 실리콘 산화물을 형성하는 방법.
- 제 18 항에 있어서,상기 실리콘 산화물 층은 10 초 내지 60 분 동안 자외선에 노출되는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 제 18 항에 있어서,상기 실리콘 산화물 층은 헬륨, 아르곤, N2, N2O, 암모니아, 오존, 또는 H2O를 포함하는 분위기에서 자외선에 노출되는,기판 상에 실리콘 산화물을 형성하는 방법.
- 삭제
- 웨이퍼 기판 상에 실리콘 산화물 층을 증착하고 어닐링하는 방법으로서,상기 실리콘 산화물 층의 증착이 발생하는 HDP-CVD 처리 챔버로 상기 웨이퍼 기판을 제공하는 단계와,원자 산소 전구체를 상기 HDP-CVD 처리 챔버로 공급하는 단계로서, 원격 플라즈마 생성 유닛이 상기 원자 산소 전구체를 상기 HDP-CVD 처리 챔버의 외부에 생성하는데 사용되는, 원자 산소 전구체를 상기 HDP-CVD 처리 챔버로 공급하는 단계와,실리콘 전구체를 상기 HDP-CVD 처리 챔버로 공급하는 단계로서, 상기 웨이퍼 기판 상에 실리콘 산화물 층을 형성하도록 상기 실리콘 전구체와 원자 산소 전구체가 반응하는, 실리콘 전구체를 상기 HDP-CVD 처리 챔버로 공급하는 단계와,1 분 내지 30 분 동안 300 ℃ 내지 600 ℃ 범위의 온도로 상기 실리콘 산화물 층을 가열하는 단계를 포함하는 제 1 어닐링을 증착된 상기 실리콘 산화물 층에 수행하는 단계, 및1 분 내지 10 분 동안 고밀도 아르곤 플라즈마에 상기 산화물 층을 노출시키는 단계를 포함하는 제 2 어닐링을 증착된 상기 산화물 층에 수행하는 단계를 포함하는,웨이퍼 기판 상에 실리콘 산화물 층을 증착하고 어닐링하는 방법.
- 삭제
- 삭제
- 삭제
- 제 26 항에 있어서,상기 실리콘 전구체는 옥타메틸시클로테트라실록산(OMCTS), 테트라메톡시실란(TMOS), 및 OMCTS와 TMOS의 혼합물로 이루어지는 그룹으로부터 선택되는,웨이퍼 기판 상에 실리콘 산화물 층을 증착하고 어닐링하는 방법.
- 제 26 항에 있어서,상기 원자 산소 전구체는 상기 원격 플라즈마 생성 유닛 내의 분자 산소의 용해된 플라즈마에 의해 생성되는,웨이퍼 기판 상에 실리콘 산화물 층을 증착하고 어닐링하는 방법.
- 삭제
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US80348906P | 2006-05-30 | 2006-05-30 | |
US60/803,489 | 2006-05-30 | ||
US11/753,918 US7790634B2 (en) | 2006-05-30 | 2007-05-25 | Method for depositing and curing low-k films for gapfill and conformal film applications |
US11/753,918 | 2007-05-25 | ||
PCT/US2007/069897 WO2007140376A2 (en) | 2006-05-30 | 2007-05-29 | A method for depositing and curing low-k films for gapfill and conformal film applications |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20090015160A KR20090015160A (ko) | 2009-02-11 |
KR101046968B1 true KR101046968B1 (ko) | 2011-07-06 |
Family
ID=38779412
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020087031961A KR101046968B1 (ko) | 2006-05-30 | 2007-05-29 | 갭필 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착및 경화하는 방법 |
Country Status (7)
Country | Link |
---|---|
US (1) | US7790634B2 (ko) |
EP (1) | EP2033214A4 (ko) |
JP (1) | JP5401309B2 (ko) |
KR (1) | KR101046968B1 (ko) |
CN (1) | CN101454886B (ko) |
TW (1) | TWI355690B (ko) |
WO (1) | WO2007140376A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20160011151A (ko) * | 2014-07-16 | 2016-01-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 유동성 증착에 의한 저-k 유전체 갭필 |
Families Citing this family (565)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9257302B1 (en) | 2004-03-25 | 2016-02-09 | Novellus Systems, Inc. | CVD flowable gap fill |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US7902080B2 (en) | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US7732353B2 (en) * | 2007-04-18 | 2010-06-08 | Ultratech, Inc. | Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing |
US7943531B2 (en) | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7964040B2 (en) | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US7791912B2 (en) * | 2008-05-02 | 2010-09-07 | Advanced Energy Industries, Inc. | Protection method, system and apparatus for a power converter |
US8391025B2 (en) * | 2008-05-02 | 2013-03-05 | Advanced Energy Industries, Inc. | Preemptive protection for a power convertor |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
DE102008044987B4 (de) * | 2008-08-29 | 2019-08-14 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung |
US20100081293A1 (en) * | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8557712B1 (en) * | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
US8012887B2 (en) * | 2008-12-18 | 2011-09-06 | Applied Materials, Inc. | Precursor addition to silicon oxide CVD for improved low temperature gapfill |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US7939421B2 (en) * | 2009-07-08 | 2011-05-10 | Nanya Technology Corp. | Method for fabricating integrated circuit structures |
US8511281B2 (en) * | 2009-07-10 | 2013-08-20 | Tula Technology, Inc. | Skip fire engine control |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
TW201511122A (zh) * | 2009-09-25 | 2015-03-16 | Applied Materials Inc | 用於感應耦合電漿反應器中的高效率氣體解離之方法及設備 |
US8528224B2 (en) * | 2009-11-12 | 2013-09-10 | Novellus Systems, Inc. | Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
US8629067B2 (en) | 2009-12-30 | 2014-01-14 | Applied Materials, Inc. | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
SG182336A1 (en) | 2010-01-06 | 2012-08-30 | Applied Materials Inc | Flowable dielectric using oxide liner |
US8304351B2 (en) | 2010-01-07 | 2012-11-06 | Applied Materials, Inc. | In-situ ozone cure for radical-component CVD |
KR101853802B1 (ko) | 2010-03-05 | 2018-05-02 | 어플라이드 머티어리얼스, 인코포레이티드 | 라디칼성분 cvd에 의한 컨포멀 층들 |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
JP2012004401A (ja) * | 2010-06-18 | 2012-01-05 | Fujitsu Semiconductor Ltd | 半導体装置の製造方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US8765573B2 (en) | 2010-09-20 | 2014-07-01 | Applied Materials, Inc. | Air gap formation |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US20120083133A1 (en) * | 2010-10-05 | 2012-04-05 | Applied Materials, Inc. | Amine curing silicon-nitride-hydride films |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9018089B2 (en) * | 2011-08-30 | 2015-04-28 | International Business Machines Corporation | Multiple step anneal method and semiconductor formed by multiple step anneal |
CN102417306B (zh) * | 2011-09-08 | 2013-10-09 | 上海华力微电子有限公司 | 一种解决高磷浓度psg薄膜表面雾状颗粒的工艺方法 |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20150291753A1 (en) | 2011-10-28 | 2015-10-15 | Toray Industries, Inc. | Gas barrier film |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8846536B2 (en) | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
CN102820220A (zh) * | 2012-07-03 | 2012-12-12 | 上海华力微电子有限公司 | 低温二氧化硅薄膜的形成方法 |
US9023737B2 (en) * | 2012-07-11 | 2015-05-05 | Asm Ip Holding B.V. | Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
EP2944460B1 (en) | 2013-01-11 | 2019-08-28 | Toray Industries, Inc. | Gas barrier film |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US8921235B2 (en) | 2013-03-04 | 2014-12-30 | Applied Materials, Inc. | Controlled air gap formation |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
KR20150138232A (ko) * | 2013-04-04 | 2015-12-09 | 도레이 카부시키가이샤 | 가스 배리어성 필름 및 그 제조 방법 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9190263B2 (en) * | 2013-08-22 | 2015-11-17 | Asm Ip Holding B.V. | Method for forming SiOCH film using organoaminosilane annealing |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
KR20160106751A (ko) * | 2014-01-13 | 2016-09-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 경도 및 모듈러스를 증가시키기 위한 저 k 막들의 탄소 이산화물 및 탄소 일산화물 매개성 경화 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US10790139B2 (en) | 2014-01-24 | 2020-09-29 | Applied Materials, Inc. | Deposition of silicon and oxygen-containing films without an oxidizer |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
WO2015116350A1 (en) * | 2014-01-29 | 2015-08-06 | Applied Materials, Inc. | Low temperature cure modulus enhancement |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299558B2 (en) * | 2014-03-21 | 2016-03-29 | Applied Materials, Inc. | Run-to-run stability of film deposition |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
CN107430991A (zh) * | 2015-02-23 | 2017-12-01 | 应用材料公司 | 用于形成高质量薄膜的循环连续工艺 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
CN106373881A (zh) * | 2015-07-20 | 2017-02-01 | 成均馆大学校产学协力团 | 多晶硅沉积方法及用于该方法的沉积装置 |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
CN110235248B (zh) * | 2017-04-27 | 2024-03-26 | 应用材料公司 | 用于3d nand应用的低介电常数氧化物和低电阻op堆叠 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10026620B1 (en) * | 2017-06-22 | 2018-07-17 | National Applied Research Laboratories | Method of irradiating ultraviolet light on silicon substrate surface for improving quality of native oxide layer and apparatus using the same |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872762B2 (en) * | 2017-11-08 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming silicon oxide layer and semiconductor structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
CN117612918A (zh) | 2018-05-03 | 2024-02-27 | 应用材料公司 | 用于基座的rf接地配置 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
WO2019222320A1 (en) | 2018-05-16 | 2019-11-21 | Applied Materials, Inc. | Atomic layer self aligned substrate processing and integrated toolset |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US20200003937A1 (en) * | 2018-06-29 | 2020-01-02 | Applied Materials, Inc. | Using flowable cvd to gap fill micro/nano structures for optical components |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11090683B2 (en) | 2018-12-04 | 2021-08-17 | Applied Materials, Inc. | Cure method for cross-linking Si-hydroxyl bonds |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US12060639B2 (en) | 2019-04-19 | 2024-08-13 | Lam Research Corporation | Rapid flush purging during atomic layer deposition |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
KR102617960B1 (ko) | 2019-08-12 | 2023-12-26 | 삼성전자주식회사 | 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11972943B2 (en) | 2019-09-20 | 2024-04-30 | Applied Materials, Inc. | Methods and apparatus for depositing dielectric material |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
WO2021087132A1 (en) * | 2019-10-29 | 2021-05-06 | Lam Research Corporation | Methods to enable seamless high quality gapfill |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US12119223B2 (en) | 2020-12-27 | 2024-10-15 | Applied Materials, Inc. | Single precursor low-k film deposition and UV cure for advanced technology node |
TWI785519B (zh) * | 2021-03-05 | 2022-12-01 | 台灣積體電路製造股份有限公司 | 微波產生器、紫外光源、與基板處理方法 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US12125675B2 (en) * | 2021-09-15 | 2024-10-22 | Applied Materials, Inc. | RF pulsing assisted low-k film deposition with high mechanical strength |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040152342A1 (en) | 2003-02-04 | 2004-08-05 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
US20040175501A1 (en) | 2003-03-04 | 2004-09-09 | Lukas Aaron Scott | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US20040185641A1 (en) | 2000-11-20 | 2004-09-23 | Hiroshi Tanabe | Thin film transistor having high mobility and high on-current and method for manufacturing the same |
US6958112B2 (en) | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
Family Cites Families (130)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4147571A (en) | 1977-07-11 | 1979-04-03 | Hewlett-Packard Company | Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system |
FR2598520B1 (fr) | 1986-01-21 | 1994-01-28 | Seiko Epson Corp | Pellicule protectrice minerale |
US4816098A (en) | 1987-07-16 | 1989-03-28 | Texas Instruments Incorporated | Apparatus for transferring workpieces |
US4818326A (en) | 1987-07-16 | 1989-04-04 | Texas Instruments Incorporated | Processing apparatus |
JPH03257182A (ja) | 1990-03-07 | 1991-11-15 | Hitachi Ltd | 表面加工装置 |
US5016332A (en) | 1990-04-13 | 1991-05-21 | Branson International Plasma Corporation | Plasma reactor and process with wafer temperature control |
US5436172A (en) | 1991-05-20 | 1995-07-25 | Texas Instruments Incorporated | Real-time multi-zone semiconductor wafer temperature and process uniformity control system |
US5426076A (en) | 1991-07-16 | 1995-06-20 | Intel Corporation | Dielectric deposition and cleaning process for improved gap filling and device planarization |
US5587014A (en) | 1993-12-22 | 1996-12-24 | Sumitomo Chemical Company, Limited | Method for manufacturing group III-V compound semiconductor crystals |
US5679152A (en) | 1994-01-27 | 1997-10-21 | Advanced Technology Materials, Inc. | Method of making a single crystals Ga*N article |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5786263A (en) | 1995-04-04 | 1998-07-28 | Motorola, Inc. | Method for forming a trench isolation structure in an integrated circuit |
JPH0982696A (ja) * | 1995-09-18 | 1997-03-28 | Toshiba Corp | 半導体装置の製造方法および半導体製造装置 |
JPH09237785A (ja) | 1995-12-28 | 1997-09-09 | Toshiba Corp | 半導体装置およびその製造方法 |
JPH09260369A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 絶縁膜の形成方法 |
US6070551A (en) | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US5873781A (en) | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
US6090723A (en) | 1997-02-10 | 2000-07-18 | Micron Technology, Inc. | Conditioning of dielectric materials |
US5937308A (en) | 1997-03-26 | 1999-08-10 | Advanced Micro Devices, Inc. | Semiconductor trench isolation structure formed substantially within a single chamber |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
AUPO748097A0 (en) * | 1997-06-20 | 1997-07-17 | Commonwealth Scientific And Industrial Research Organisation | Alkene borates |
US6207587B1 (en) * | 1997-06-24 | 2001-03-27 | Micron Technology, Inc. | Method for forming a dielectric |
US6024044A (en) | 1997-10-09 | 2000-02-15 | Applied Komatsu Technology, Inc. | Dual frequency excitation of plasma for film deposition |
US6087243A (en) | 1997-10-21 | 2000-07-11 | Advanced Micro Devices, Inc. | Method of forming trench isolation with high integrity, ultra thin gate oxide |
US6009830A (en) | 1997-11-21 | 2000-01-04 | Applied Materials Inc. | Independent gas feeds in a plasma reactor |
KR100253079B1 (ko) | 1997-12-01 | 2000-04-15 | 윤종용 | 반도체 장치의 트렌치 격리 형성 방법 |
US6413583B1 (en) * | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6068884A (en) | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6165834A (en) | 1998-05-07 | 2000-12-26 | Micron Technology, Inc. | Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell |
US6509283B1 (en) | 1998-05-13 | 2003-01-21 | National Semiconductor Corporation | Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon |
US6146970A (en) | 1998-05-26 | 2000-11-14 | Motorola Inc. | Capped shallow trench isolation and method of formation |
US6302964B1 (en) | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6406677B1 (en) | 1998-07-22 | 2002-06-18 | Eltron Research, Inc. | Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements |
US6383951B1 (en) * | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6197658B1 (en) | 1998-10-30 | 2001-03-06 | Taiwan Semiconductor Manufacturing Company | Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity |
US6245690B1 (en) | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6290774B1 (en) | 1999-05-07 | 2001-09-18 | Cbl Technology, Inc. | Sequential hydride vapor phase epitaxy |
US6524931B1 (en) | 1999-07-20 | 2003-02-25 | Motorola, Inc. | Method for forming a trench isolation structure in an integrated circuit |
US6383954B1 (en) | 1999-07-27 | 2002-05-07 | Applied Materials, Inc. | Process gas distribution for forming stable fluorine-doped silicate glass and other films |
JP2001144325A (ja) | 1999-11-12 | 2001-05-25 | Sony Corp | 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法 |
FI118804B (fi) | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6461980B1 (en) | 2000-01-28 | 2002-10-08 | Applied Materials, Inc. | Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber |
EP1130633A1 (en) * | 2000-02-29 | 2001-09-05 | STMicroelectronics S.r.l. | A method of depositing silicon oxynitride polimer layers |
US7419903B2 (en) | 2000-03-07 | 2008-09-02 | Asm International N.V. | Thin films |
US6759098B2 (en) * | 2000-03-20 | 2004-07-06 | Axcelis Technologies, Inc. | Plasma curing of MSQ-based porous low-k film materials |
US6558755B2 (en) | 2000-03-20 | 2003-05-06 | Dow Corning Corporation | Plasma curing process for porous silica thin film |
CN1227311C (zh) | 2000-04-04 | 2005-11-16 | 旭化成株式会社 | 用于制备绝缘薄膜的涂料组合物 |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6614181B1 (en) | 2000-08-23 | 2003-09-02 | Applied Materials, Inc. | UV radiation source for densification of CVD carbon-doped silicon oxide films |
US6566278B1 (en) | 2000-08-24 | 2003-05-20 | Applied Materials Inc. | Method for densification of CVD carbon-doped silicon oxide films through UV irradiation |
JP4232330B2 (ja) | 2000-09-22 | 2009-03-04 | 東京エレクトロン株式会社 | 励起ガス形成装置、処理装置及び処理方法 |
JP3712356B2 (ja) | 2000-10-23 | 2005-11-02 | アプライド マテリアルズ インコーポレイテッド | 成膜方法および半導体装置の製造方法 |
DE10063688A1 (de) * | 2000-12-20 | 2002-07-18 | Infineon Technologies Ag | Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung |
US6660662B2 (en) | 2001-01-26 | 2003-12-09 | Applied Materials, Inc. | Method of reducing plasma charge damage for plasma processes |
US6447651B1 (en) | 2001-03-07 | 2002-09-10 | Applied Materials, Inc. | High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers |
JP3990920B2 (ja) * | 2001-03-13 | 2007-10-17 | 東京エレクトロン株式会社 | 膜形成方法及び膜形成装置 |
WO2002077320A1 (en) | 2001-03-23 | 2002-10-03 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films |
US6596576B2 (en) | 2001-04-10 | 2003-07-22 | Applied Materials, Inc. | Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4 |
US6528332B2 (en) | 2001-04-27 | 2003-03-04 | Advanced Micro Devices, Inc. | Method and system for reducing polymer build up during plasma etch of an intermetal dielectric |
US6780499B2 (en) | 2001-05-03 | 2004-08-24 | International Business Machines Corporation | Ordered two-phase dielectric film, and semiconductor device containing the same |
US6596653B2 (en) | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6716770B2 (en) | 2001-05-23 | 2004-04-06 | Air Products And Chemicals, Inc. | Low dielectric constant material and method of processing by CVD |
KR100421046B1 (ko) | 2001-07-13 | 2004-03-04 | 삼성전자주식회사 | 반도체 장치 및 그 제조방법 |
US6548416B2 (en) | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
US6596654B1 (en) * | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US20030064154A1 (en) | 2001-08-06 | 2003-04-03 | Laxman Ravi K. | Low-K dielectric thin films and chemical vapor deposition method of making same |
US6756085B2 (en) * | 2001-09-14 | 2004-06-29 | Axcelis Technologies, Inc. | Ultraviolet curing processes for advanced low-k materials |
US6770521B2 (en) | 2001-11-30 | 2004-08-03 | Texas Instruments Incorporated | Method of making multiple work function gates by implanting metals with metallic alloying additives |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
JP3891267B2 (ja) | 2001-12-25 | 2007-03-14 | キヤノンアネルバ株式会社 | シリコン酸化膜作製方法 |
US20030124873A1 (en) * | 2001-12-28 | 2003-07-03 | Guangcai Xing | Method of annealing an oxide film |
WO2003065424A2 (en) | 2002-01-25 | 2003-08-07 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6936551B2 (en) | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7307273B2 (en) | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
TWI283899B (en) | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US6900881B2 (en) | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US6828211B2 (en) | 2002-10-01 | 2004-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control |
US6833322B2 (en) | 2002-10-17 | 2004-12-21 | Applied Materials, Inc. | Apparatuses and methods for depositing an oxide film |
US7080528B2 (en) | 2002-10-23 | 2006-07-25 | Applied Materials, Inc. | Method of forming a phosphorus doped optical core using a PECVD process |
US6900067B2 (en) | 2002-12-11 | 2005-05-31 | Lumileds Lighting U.S., Llc | Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US6884685B2 (en) | 2003-02-14 | 2005-04-26 | Freescale Semiconductors, Inc. | Radical oxidation and/or nitridation during metal oxide layer deposition process |
US7084076B2 (en) | 2003-02-27 | 2006-08-01 | Samsung Electronics, Co., Ltd. | Method for forming silicon dioxide film using siloxane |
TWI240959B (en) * | 2003-03-04 | 2005-10-01 | Air Prod & Chem | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US7429540B2 (en) | 2003-03-07 | 2008-09-30 | Applied Materials, Inc. | Silicon oxynitride gate dielectric formation using multiple annealing steps |
US6867086B1 (en) | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
JP2004283065A (ja) | 2003-03-20 | 2004-10-14 | Ushio Inc | 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法 |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6818517B1 (en) | 2003-08-29 | 2004-11-16 | Asm International N.V. | Methods of depositing two or more layers on a substrate in situ |
US7361991B2 (en) | 2003-09-19 | 2008-04-22 | International Business Machines Corporation | Closed air gap interconnect structure |
JP4285184B2 (ja) | 2003-10-14 | 2009-06-24 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
CA2540039A1 (en) | 2003-12-17 | 2005-07-28 | Cedraeus Inc. | Method for a random-based decision-making process |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
EP1717848A4 (en) | 2004-02-17 | 2010-03-24 | Toagosei Co Ltd | PROCESS FOR PRODUCING SILICONE OXIDE FILM |
US7067438B2 (en) | 2004-02-19 | 2006-06-27 | Micron Technology, Inc. | Atomic layer deposition method of forming an oxide comprising layer on a substrate |
US7115508B2 (en) | 2004-04-02 | 2006-10-03 | Applied-Materials, Inc. | Oxide-like seasoning for dielectric low k films |
US7109114B2 (en) | 2004-05-07 | 2006-09-19 | Applied Materials, Inc. | HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance |
EP1751325A4 (en) | 2004-06-04 | 2009-05-13 | Applied Microstructures Inc | STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER |
US7129187B2 (en) | 2004-07-14 | 2006-10-31 | Tokyo Electron Limited | Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films |
US7642171B2 (en) | 2004-08-04 | 2010-01-05 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
KR100550351B1 (ko) | 2004-09-07 | 2006-02-08 | 삼성전자주식회사 | 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치 |
US7332445B2 (en) * | 2004-09-28 | 2008-02-19 | Air Products And Chemicals, Inc. | Porous low dielectric constant compositions and methods for making and using same |
US7148155B1 (en) * | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
KR100782369B1 (ko) | 2004-11-11 | 2007-12-07 | 삼성전자주식회사 | 반도체 제조장치 |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US20060228903A1 (en) | 2005-03-30 | 2006-10-12 | Mcswiney Michael L | Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films |
US7972441B2 (en) | 2005-04-05 | 2011-07-05 | Applied Materials, Inc. | Thermal oxidation of silicon using ozone |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP4860953B2 (ja) | 2005-07-08 | 2012-01-25 | 富士通株式会社 | シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法 |
US7427570B2 (en) | 2005-09-01 | 2008-09-23 | Micron Technology, Inc. | Porous organosilicate layers, and vapor deposition systems and methods for preparing same |
US7901743B2 (en) | 2005-09-30 | 2011-03-08 | Tokyo Electron Limited | Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system |
US7498270B2 (en) | 2005-09-30 | 2009-03-03 | Tokyo Electron Limited | Method of forming a silicon oxynitride film with tensile stress |
JP5154009B2 (ja) | 2005-10-21 | 2013-02-27 | 株式会社ジャパンディスプレイイースト | 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法 |
KR101019293B1 (ko) | 2005-11-04 | 2011-03-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마-강화 원자층 증착 장치 및 방법 |
US7435661B2 (en) | 2006-01-27 | 2008-10-14 | Atmel Corporation | Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation |
JP4984558B2 (ja) | 2006-02-08 | 2012-07-25 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7629273B2 (en) | 2006-09-19 | 2009-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for modulating stresses of a contact etch stop layer |
US20080102223A1 (en) | 2006-11-01 | 2008-05-01 | Sigurd Wagner | Hybrid layers for use in coatings on electronic devices or other articles |
US7745352B2 (en) | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
-
2007
- 2007-05-25 US US11/753,918 patent/US7790634B2/en active Active
- 2007-05-29 KR KR1020087031961A patent/KR101046968B1/ko active IP Right Grant
- 2007-05-29 WO PCT/US2007/069897 patent/WO2007140376A2/en active Application Filing
- 2007-05-29 EP EP07784190A patent/EP2033214A4/en not_active Withdrawn
- 2007-05-29 CN CN2007800200538A patent/CN101454886B/zh active Active
- 2007-05-29 JP JP2009513422A patent/JP5401309B2/ja active Active
- 2007-05-30 TW TW096119401A patent/TWI355690B/zh not_active IP Right Cessation
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040185641A1 (en) | 2000-11-20 | 2004-09-23 | Hiroshi Tanabe | Thin film transistor having high mobility and high on-current and method for manufacturing the same |
US20040152342A1 (en) | 2003-02-04 | 2004-08-05 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
US20040175501A1 (en) | 2003-03-04 | 2004-09-09 | Lukas Aaron Scott | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US6958112B2 (en) | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20160011151A (ko) * | 2014-07-16 | 2016-01-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 유동성 증착에 의한 저-k 유전체 갭필 |
KR102454422B1 (ko) * | 2014-07-16 | 2022-10-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 유동성 증착에 의한 저-k 유전체 갭필 |
Also Published As
Publication number | Publication date |
---|---|
TW200814196A (en) | 2008-03-16 |
CN101454886B (zh) | 2011-02-02 |
JP2009539265A (ja) | 2009-11-12 |
US20080026597A1 (en) | 2008-01-31 |
WO2007140376A3 (en) | 2008-01-24 |
TWI355690B (en) | 2012-01-01 |
KR20090015160A (ko) | 2009-02-11 |
WO2007140376A2 (en) | 2007-12-06 |
US7790634B2 (en) | 2010-09-07 |
CN101454886A (zh) | 2009-06-10 |
JP5401309B2 (ja) | 2014-01-29 |
EP2033214A2 (en) | 2009-03-11 |
EP2033214A4 (en) | 2011-11-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101046968B1 (ko) | 갭필 및 등각 필름 적용을 위한 저유전체 상수 필름을 증착및 경화하는 방법 | |
US6899763B2 (en) | Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes | |
US6583497B2 (en) | Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing | |
US6602806B1 (en) | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film | |
US7326657B2 (en) | Post-deposition treatment to enhance properties of Si-O-C low k films | |
US6635575B1 (en) | Methods and apparatus to enhance properties of Si-O-C low K films | |
US6903031B2 (en) | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen | |
JP4790170B2 (ja) | Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング | |
US7109114B2 (en) | HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance | |
US6468927B1 (en) | Method of depositing a nitrogen-doped FSG layer | |
US6803325B2 (en) | Apparatus for improving barrier layer adhesion to HDP-FSG thin films | |
KR20150009959A (ko) | 유동가능 필름들을 위한 개선된 조밀화 | |
JPH1167746A (ja) | Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御 | |
US6511923B1 (en) | Deposition of stable dielectric films | |
EP1050601A1 (en) | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20140529 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20160330 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20170330 Year of fee payment: 7 |