TWI355690B - A method for depositing and curing low-k films for - Google Patents

A method for depositing and curing low-k films for Download PDF

Info

Publication number
TWI355690B
TWI355690B TW096119401A TW96119401A TWI355690B TW I355690 B TWI355690 B TW I355690B TW 096119401 A TW096119401 A TW 096119401A TW 96119401 A TW96119401 A TW 96119401A TW I355690 B TWI355690 B TW I355690B
Authority
TW
Taiwan
Prior art keywords
layer
plasma
chamber
precursor
substrate
Prior art date
Application number
TW096119401A
Other languages
English (en)
Other versions
TW200814196A (en
Inventor
Jeffery C Munro
Srinivas D Nemani
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200814196A publication Critical patent/TW200814196A/zh
Application granted granted Critical
Publication of TWI355690B publication Critical patent/TWI355690B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1355690 九、發明說明: 【發明所屬之技術領域】 本發明係關於沉積與硬彳匕低k膜層用於充填間隙與同 形膜層應用的方法。 【先前技術】
介電膜沉積中,往往樂見形成一高度同形(conformal) 層,其具有充分的絕緣特性(即,低k值)與良好的膜層特 性(例如,高膜層密度與低濕式钱刻速率比值「wet etch rate ratio, WERRj )。不幸地,極少(如果有的話)有初始材質於 單次沉積中就兼備所有這些特性。二氧化矽介電質沉積 中,高度同形層一般具有良好的流動性,這讓該膜層移入 間隙、空隙與接缝。然而,具有良好流動性的氧化物膜亦 傾向具有高濃度的水與矽醇(即,矽醇鍵結「Si-OH」),其 提高該膜層的k質與濕式蝕刻速率比值。另一方面,低水 分氧化物膜的沉積物一般具有較低的k值與濕式蝕刻速率 比值,但他們的低流動性亦使他們容易形成間隙與接缝。 一種用來緩和高溼度與低溼度二氧化矽膜之間缺點的 方式,首先沉積一高溼度同形膜層,接著退火處理以移除 至少一部份的水。兩種習知的退火處理方法為:(1)高溫熱 能退火處理,以及(2)高密度電漿退火處理。熱能退火處理 中,將沉積的氧化物.層提高至某一溫度,在此溫度下該膜 層蒸發出明顯的水氣。矽醇基亦斷裂成水與矽氧(Si-0)鍵 結,而至少某部分這樣形成的水亦由該氧化物層逸出。而 5 1355690 成果為一退火處理過的二氧化矽層,其比最初沉積的氧化 物膜具有更高的密度與更佳的電絕緣性(即,具有較低的k 值)。 .
習知的熱能退火處理在退火溫度較高時更有效率。超 過1000 °C的高溫退火處理,以明顯高於300 °c退火處理的 速度斷裂矽醇鍵結與蒸發沉積的氧化物層之水氣。較高的 移除速率縮短退火處理時間且提高退火處理步驟的效率。 然而,較高溫度的退火處理需與製程的熱能預算限制達成 平衡。例如,假若對沉積在金屬管線上之金屬間介電層 (intermetal dielectric,IMD)執行熱能退火處理,退火處理 溫度的最高限制為400°C或更低。某些實例中,熱能預算 不足會使得需要長期退火處理的熱能退火處理無法實行。
當無法實行高溫退火處理時,可應用一包括高密度電 漿的第二種退火處理方法。此種方法中,將最初沉積的二 氧化矽層暴露在高密度電漿(一般由諸如氦與氬等惰性氣 體斷裂而形成)下。來自電漿的帶電微粒撞擊氧化物膜且造 成矽醇鍵結的斷裂與水蒸氣的移除,高密度電漿中的退火 溫度通常低於熱能退火處理的溫度,因此可用來以低熱能 預算限制退火處理氧化物膜。 高能電漿微粒亦可斷裂氧化物膜中的碳-矽與碳-碳鍵 結。當沉積一純粹的二氧化矽層時,電漿退火處理斷裂與 移除碳鍵結為一樂見的結果。然而,對併入碳以降低該材 質介電常數之低k值氧化物膜而言,電漿移除碳會因為提 高其k值而損害該膜層。因此,需要一種額外的退火處理 6 1355690 方法,其可在低溫下有效地硬化介電膜層而不有害地影響 低k材質的介電常數。#由本發明之實施例來解块此問 與其他爭議。 丨 °蹲 【發明内容】 本發明之實施例包括製造一個二氧化矽層於—基板上 的方法。該些方法包括在一反應腔中形成該二氧化矽層於
該基板上,而此過程係藉由使一氧原子前驅物與—矽前驅 物進行反應然後沉積反應產物於該基板上。可於該反應腔 外產生該氧原子前驅物。該些方法亦包括在6〇〇 °c或更低 的一溫度下加熱該二氧化矽層,然後將該二氧化矽層暴露 在一誘導輕合電衆(induced coupled plasma)下。 本發明之實施例亦包括形成一個二氧化矽層於—I ^ 、 泰扳 上的方法。該些方法包括在一反應腔中形成該二氧化石夕層 於該基板上,而此過程係藉由使一氧原子前驅物斑
一、 吵月IJ
驅物進行反應然後沉積反應產物於該基板上。可於該反應 腔外產生該氧原子前驅物。該些方法亦包括將該二氧化發 層暴露在紫外光下’然後將該二氧化矽層暴露在一誘導輛 合電漿下。 本發明之實施例又進一步包括沉積與退火處理一晶圓 基板上的一個二氧化珍層之方法。該些方法包括提供該晶 圓基板給一高密度電漿化學氣相沉積(HDP-CVD)處理腔, 二氧化石夕廣的沉積即係於該HDP-CVD處理腔中進行;以 及提供一遠端電漿產生裝置,其位於該高密度電漿化學氣 7 1355690
相沉積處理腔外。該遠端電漿產生裝置可用來產生一氧 子前驅物,其被供應給該高密度電漿化學氣相沉積處 腔。該些方法亦包括供應一矽前驅物給該高密度電漿化 氣相沉積處理腔,該矽前驅物與該氧原子前驅物於 HDP-CVD處理腔中進行反應以形成該二氧化矽層於該 圓上。該二氧化矽層形成後,執行一第一次退火處理於 沉積的二氧化矽層上,而該第一次退火處理包括將該層 熱至一約3 0 0 °C至約6 0 0 °C之間的温度約1分鐘至約3 0 鐘。然後,執行一第二次退火處理於該沉積的氧化物層J 而該第二退火處理包括將該層暴露在一高密度氬電漿下 1分鐘至約1 0分鐘。 額外的實施例與特徵一部分在接下來的描述中提出 而一部分那些熟悉技術人士依靠說明書的檢驗可顯而 見,或可藉由本發明的實施得知。藉由描述於說明書的 造、組合與方法可理解與獲得本發明的特徵與優點。 【實施方式】 描述多階段式退火處理二氧化矽層的系統與方法。 階段式退火處理不需高溫(例如,超過 6 0 0 °C的溫度)即 效地由氧化層中移除水分與矽醇基。多階段式退火處理 括第一階段退火處理,其提高該層的硬度且移除一部分 濕氣與/或氩氧基(hydroxyl group);與第二階段退火 理,其移除大部分(假若不是全部)殘留的水分與氫氧基 產生具有良好介電特性(例如,低於3的k值)之硬氧化 原 理 學 該 晶 該 加 分 > 約 易 構 多 有 包 的 處 以 物 1355690 層(例如,高於lGPa)。
多階段式-退火處理不需高溫或冗長的退火時間(例 如,超過60分鐘)即可將最初沉積的軟氧化物膜層轉變為 一高品質、低k值的介電層。對含碳的低k氧化物層來說, 退火處理的第一階段硬化該軟膜層至接下來退火階段(們) 無法斷裂與移除足夠的碳而明顯提高該層k值之程度。因 此,低溫且高效率移除水分的退火處理方法(例如,高密度 電漿退火處理),可用於退火處理中而不危及含碳二氧化梦 介電層的低k值特性。 示範性氧化物層沉積與退火處理
第1圖顯示根據本發明實施例的介電質沉積與退火處 理方法100中所選步驟之流程圖。方法100包括提供晶圓 基板給沉積腔(步驟102)。晶圓基板可包括200毫米、3〇〇 毫米等梦晶圓基板。基板具有形成於其上的構造(其包括Μ 隙、溝槽與間距「steps」等),這些構造具有2:1或更高、 5: 1或更高、7: 1或更高、10: 1或更高、13: 1或更高、 15 : 1或更高等等的深寬比(aspect ratio)。 將矽前驅物導入包含基板的反應腔内(步驟1〇4)。梦 前驅物包括一或多種含碳有機矽化合物,與/或例如守炫 (SiH4)的非含碳矽化合物。包含有機矽的化合物包括那些 具有直接矽-碳鍵結與/或那些具有矽-氧-碳鍵結的化合 物。有機矽烷類的矽前驅物之實例包括二甲基石夕恢 (d i m e t h y 1 s i 1 a n e) ' 二曱基碎炫(t r i m e t h y 1 s i 1 a n e )、四甲基發 院(tetramethylsilane)、二乙基石夕院(diethylsilane)、四甲基 9 1355690
發酸鹽(tetramethy丨orthosilicate,TMOS)、四乙基梦酸鹽 (tetraethylorthosilicate, TEOS)、八甲基三句氧 (octamethyltrisiloxane, OMTS)、八曱基環四梦氧 (octamethylcyclotetrasiloxane, OMCTS)、四甲基環四碎氧 (tetramethylcyclotetrasiloxane,TOMCATS)、二甲基二甲氧 基梦烧((111116111丫1(111]16111〇\>^11&116,01^10^408)、二乙氧基甲 基梦炫> (diethoxymethylsilane,DEMS)、甲基三乙氧基碎烧 (methyl triethoysilane, MTES)、苯基二甲基梦烧 (phenyldimethylsilane)、苯基碎烧(phenylsilane)以及上述 之混合物等。 將有機矽前驅物導入沉積腔前或過程中,可將其與一 載氣混合。載氣為一非活性氣體,其不過度干擾氧化膜形 成於基板上。載氣的實例包括氦、氖、氬與氫氣(H2)等氣 體。
亦將含氧前驅物導入包含基板的反應腔(步驟106)。 含氧前驅物可包括沉積腔外遠端產生的氧原子。可藉由解 離下列前驅物產生氧原子:氧氣(02)、臭氧(03)、氮-氧化 合物(例如,一氧化氮「NO」、二氧化氮「N〇2」、氧化亞氮 「N20」等等)、氫氧化合物(例如,水「H20」、過氧化氫 「h2o2」等等)、碳氧化合物(例如,一氧化碳「CO」、二 氧化碳「C02J等等)以及其他含氧前驅物與該些前驅物的 組合物。 亦可由下列方式解離前驅物以產生氧原子:熱能解 離、紫外光解離與/或電漿解離等方法。電漿解離包括在遠 10 1355690
端電漿產生腔内由氦、氬等擊出電漿,且將該氧前驅 導給電漿好產生氧原子前驅物。 方法100的實施例中,氧原子與有機矽前驅物彼 混合直到被導入沉積腔。前驅物經由空間上分散的獨 驅物進入口(分散在反應腔周圍)進入腔室。例如,氧 前驅物由位在腔室頂部且位於基板正上方的一進入Ϊ 進入口群)進入腔室。進入口將氧前驅物流指向垂直於 沉積表面的方向。同時,矽前驅物由一或多個環繞在 腔側壁的進入口進入腔室。此類進入口將矽前驅物流 大約平行於沉積表面的方向。 額外的實施例包括經由多埠式嘖頭的獨立埠傳遞 子與矽前驅物。例如,位在基板上方的喷頭,其包括 驅物進入沉積腔之開口的一種樣式。以氧原子前驅物 一部分開口,而以矽前驅物供應第二部分開口。經由 部分開口傳遞的前驅物,其彼此之間係流動分離直到 進入沉積腔。關於前驅物處理設備之形式與設計的額 細内容描述於共同讓渡的美國暫時性專利申請案(案 « A01 1 162/T72700)-由Lubomirsky在與本說明書相同 提申且命名為「介電質間隙充填所用的處理腔」,其全 此以參考資料的方式併入本文中。 當氧原子與矽前驅物在沉積腔内反應時,他們形 氧化矽於基板沉積表面上(步驟 108)。初步沉積過程 將基板維持在一穩定且相對低溫下(例如,約3 0 °C至 °C )。最初的氧化物層具有出色的流動性,且可快速地 物引 此不. 立前 原子 1 (或 基板 沉積 指向 氧原 讓前 供應 不同 離開 外詳 號為 曰期 文在 成二 中, 句70 移動 11 1355690
至基板表面上構造内的間隙底部。 二氧化矽層沉積後,接著執行第一次退火處理(步 110),以移除一部分的水分且提高該層的硬度。此初·步 火步驟包括在惰性環境(例如,乾燥氮氣環境)中加_熱-該 化物層約1分鐘至約1 0分鐘。以不傷害形成於基板構造 之金屬管線(例如,當氧化物層為沉積於鋁金屬管線上的 屬間介電層)來選擇熱能退火處理的溫度。 或者(或另外),該第一次退火步驟包括將該二氧化 層暴露在紫外光下約1分鐘至約30分鐘。如同熱能退火 理,紫外光退火處理可移除最初沉積的氧化物膜中存在 一部分水分,且亦增加該膜層的硬度。 第一次退火處理結束後,執行一第二次退火處理(步 112)以進一步由該氧化物層中移除水分與矽醇鍵結。退 處理步驟112中,移除實質上所有的水分與矽醇,好給 層一較低的 k值與較低的濕式蝕刻速率比值(不論相對 最初沉積的氧化物層或第一次退火步驟處理後的該層)。 第二次退火處理包括將該氧化物層暴露在一電漿下,此 漿有效地從該氧化物中移除水與矽醇基。然而第一退火 理後,氧化物層具有足夠的硬度能減少(或避免)電漿斷 存在於氧化物中的碳基團。因此,對低k值含碳氧化物 而言,電漿退火處理不會移除足以明顯提高該層k值的 含量。 現在參照第2圖,顯示一描述根據本發明實施例之 電質沉積與熱能/電漿退火處理方法 200中所選步驟之 驟 退 氧 内 金 矽 處 的 驟 火 該 於 這 電 處 裂 層 碳 介 流 12 1355690 程圖。方法200包括提供基板給沉積腔(步驟202)。接著, 最初氧化物層的沉積包括在反應腔外的遠端系統中產生反 應式氧原子(步驟204)。例如,在一遠端高密度電紫產生 器中,供以4000至6000瓦特(例如,5500瓦特)的射頻功 率給複合氣體流好產生氧原子,該複合氣體流包括氬氣(其 流速,例如約9 0 0至約1 8 0 0 s c c m)與氧氣(其流速,例如約 600至約1200 seem)。接著將遠端產生的氧原子前驅物導
入反應腔(步驟206)。 亦將有機矽前驅物導入反應腔(步驟208)。藉由將有 機矽化合物(氣體或液體)與載氣(例如,氦或氫分子)混合 而將此類前驅物導入沉積腔。舉例來說,以約600至約2400 s c c m的流速讓氦通過室溫為液態的有.機矽前驅物(例如, 八曱基環四矽氧「OMCTS'」)而冒出,以提供流速約 800 至約1 6 0 0 m g m的八曱基環四碎氧流給腔室。
腔室中,氧原子與矽前驅物彼此互相反應以形成最初 的氧化物層於基板上(步驟210)。氧化物層沉積過程中腔 室内的整體壓力為,例如約0.5托爾(Tor r)至約6托爾。較 高的整體壓力(例如,1 · 3托爾)將沉積更具流動特性的氧化 物層,而較低的整體壓力(例如,0.5托爾)將沉積更高程度 的同形(conformal)氧化物層。由於氧原子為高度反應物 質,所以反應腔内的沉積溫度則相當地低(例如,約1 00°C 或更低)。氧化物沉積速率在每分鐘約500A至約3000A範 圍之間(例如,每分鐘 1 5 00A)。該層的厚度約 500A至約 5000A。 13 1355690 低k值氧化物膜沉積後,接著執行一熱能退火處理(步 驟212)。這退火處理包括將最初沉積的氧化物層溫度提高 到約3 0 0 °C至約6 0 0 °C (例如,約3 5 0 °C至約4 0 0 °C ;約3 8 0 艺等)。熱能退火處理.環境包括乾燥氮、氦、氬等惰性氣體, 以及約1 5毫托爾至約7 6 0托爾(例如,約5 0托爾)的腔室 壓力。氧化物層經歷熱能退火處理約1分至約3 0分鐘(例 如,約1分鐘),然後產生具有較低溼度與較高硬度(相對 於最初的沉積膜層)的退火處理過之氧化物層。由於熱能退 火處理無法完全移除水分與矽醇,因此該層的硬度低於完 全乾燥且沒有矽醇的氧化物之硬度。例如,熱能退火處理 後的膜層具有約 〇.5Gpa或更低的硬度(例如,0. lGpa至 0· 5 Gpa)。相同地,膜層的介電常數通常高於完全乾燥的氧 化物層,且具有高於4.0的熱能退火處理後k值。 接著執行第二次電漿退火處理(步驟214)於熱能退火 處理過的氧化物層上。藉由將晶圓基板暴露在電漿(由一或 多種諸如氦或氬的惰性氣體所產生)下而執行電漿退火處 理。可由誘導耦合電漿(ICP)產生電漿且可原位產生於反應 腔内。用來產生電漿的射頻功率約為1 000瓦特至約9600 瓦特(例如,約1800瓦特),而腔室内的電漿壓力約為2毫 托爾至約50毫托爾(例如,約20毫托爾)。電漿退火處理 過程中,將晶圓由約3 5 0 °C加熱至約4 0 0 °C (例如,約3 8 0 °C ),而將該氧化物層暴露在電漿下約1至約1 0分鐘(例 度 的 濃醇 醇梦 矽與 與分 分水 水無 的於 層 同 該相 , 上 後質 理實 處度 火硬 退的 裝層 電該 ο ο ΝΪ/ 鐘零 分近 3 接 約上 , 質 如實 14 1355690 低k值二氧化矽(例如,约1.2Gpa)。該層亦具有低於2 · 的濕式蝕刻速率比值(例如,約1.8 : 1至約1 ·4 : 1) °相同 地,該層的k值可能低於3.0。在一金屬化基板的熱損算 溫度下(例如,約60(TC ) ’退火處理過的氧化物層亦係一 致且穩定的。
第3圖顯示根據本發明實施例之介電質沉積與紫外光 /電漿退火處理方法300中所選步驟之流程圖。相似於方法 200,方法300包括提供基板給沉積腔(步驟30 2),且產生 氧原子前驅物(步驟304),且將其導入該沉積腔中(步驟 306)。亦將矽前驅物(例如’有機矽化合物)導入該腔至(步 驟308),且與該氧原子前驅物反應以形成最初氧化物層(步 驟310)。此最初沉積後,接著執行兩步式退火處理以由該 氧化物層中移除水分與矽醇基》
第一退火處理步驟包括將最初沉積的二氧化矽層暴露 在紫外光下(步驟312)。紫外光可藉由移除水分與斷裂碎 醇(即,Si-OH)鍵結而提高該膜層的硬度與降低該膜層的k 值。例如,矽醇鍵結吸收約200奈米的紫外光輻射’而該 輻射將該矽醇轉換成二氧化矽與水蒸氣》 可由一或多個照耀基板的紫外光源提供紫外光。這些 紫外光源包括一紫外光燈泡,其散發廣譜波長(包括非紫外 光波長)的光’而其具有位在紫外光波長上(例如,220奈 米)的波峰強度。紫外光燈泡的實例包括氙氣燈(散發波長 的波峰為172奈米)、水銀燈(波峰在243奈米)、氘燈(波 峰在140奈米)與氣化氪(KrCh)燈(波峰在222奈米)等類型 15 1355690
的紫外光燈泡。額外的紫外光源包括提供連貫窄 光給氧化物層的雷射。雷射光源包括準分子雷射 化氙「XeCl」、氟化氪「KrF」、氟「F2等準分3 或適當的固態雷射(例如,摻钕釔鋁石榴石雷射 lasers」)的諧波。紫外光源亦包括二極體紫外光: 濾光器與/或單色計可用來縮小到達氧化物 的波長範圍。例如,濾光器可阻擋低於1 7 0奈米 線好避免紫外光退火處理移除該層中的碳。 將氧化物層暴露在紫外光源下約1 0秒至6 0 型的暴露時間約為1分鐘至10分鐘(例如,約2 5分鐘)。紫外光退火處理步驟過程中氧化物層的 25°C至900°C。在氧化物層處於含有氦、氬、氣 亞氮、氨、臭氧、水或上述之混合物的空氣中時 外光暴露。紫外光暴露過程中腔室内的空氣壓力 托爾至600托爾之間。 紫外光退火處理後,接著執行電漿退火處理 物層上(步驟 314)。此第二次退火處理移除實質 存的水與矽醇基,以提供高品質(例如,1.2 Gp a 低k值(例如,約3.0或更小的k值)的二氧化矽 於方法200中的電漿退火處理步驟214,藉由將 板暴露在電漿下(由一或多種諸如氦或氬的惰性 生)而執行電漿退火處理步驟 314。可藉由誘導 (IC P)產生電漿且可原位產生於反應腔内。電漿退 程中,將晶圓由約3 5 0 °C加熱至約4 0 0 °C,且將該 頻的紫外 (例如,氯 1雷射)與/ 「Nd-YAG 源。 層之光線 波長的光 分鐘。典 分鐘至約 溫度約為 氣、氧化 ,執行紫 介於約 1 於該氧化 上所有殘 的硬度)、 層。相似 該晶圓基 氣體所產 耦合電漿 火處理過 氧化物層 16 1355690
沉 層。第 該層的 由該層 在惰性 過程中 如,約 退火處 接 一介電 子與有 層的厚 沉 層。第 暴露在%漿下約1至10分鐘。退火處理後該層中的水 梦醇濃度實質上接近零。 現在參照第4圖,顯示一根據本發明實施例之多 介電質%積與熱能/電漿退火處理400中所選步帮之 圖方螓4〇〇包括提供基板給沉積腔(步驟402),且 第介電層於該基板上(步驟404)。介電層可以為藉 原’、有機妙别驅物反應形成的二氧化發層。藉由古 電聚解%含氧翁炉(你丨如 ^ 。 含氧氣體(例如,氧氣)遠端產生該氧原子 物第氣化物層的厚度約為50A至500人之間(例 100A至約200人)。 後,接著於兩步式退火製程中退火處理第 退火步驟406包括紫外光或熱能退火處理 哽度。接著,執行第二退火處理步驟4〇8以 中移除水分與料鍵結。這可藉由將該介電 電毁的電聚退火處理下來達成。纟退火處理 的介電層溫度係維持在約3 〇 〇 〇c至約6 〇 〇。〇_; 350°c至約400。〇。第一介電層的第一次與 理會持續約30秒至的1Λ、沐 ν王約1 0分鐘。 著形成第二介電居 ;「€增(步驟410)於該基板上(現 層)。可由相同於坌 第〜介電層之前驅物(例如 機發前驅物)形成笛 战第二介電層。亦以大約相同 度形成第二介雷思 电增(例如,約50A至約500入) 積後,接著$ & I i ;兩步式退火製程中退火處理第 一退火步驟412 t括紫外光或熱能退火處理 分與 層式 流程 沉積 由氧 密度 前驅 ,約 介電 提尚 —步 暴露 步驟 切(例 二次 有第 氧原 第一 介電 提高 17 1355690 該層的硬度並減少該膜層中的水分與矽醇量。接著,執行 第二退火處理步驟414以進一步由該層中移除水分與矽醇 鍵結。這可藉由將該介電層暴露^在惰性電漿的電漿退火處 理下達成。在退火處理兩步驟過程中的介電層溫度係維持 在約3 〇 〇 °C至約6 0 0 C之間(例如’約3 5 〇 〇c至約4 〇 〇)。 第二介電層的第一-人與第二次退火處理會持續約秒至 約1 0分鐘。 會重複許多次地(未顯示)執行介電沉積與兩階段退火 處理循環,直到形成該介電材質至所欲的厚度。例如,假 設每個介電層的厚度為100A,而所欲之整體薄膜厚度為 1.2#m’那麼需要執行12次沉積與退火處理循環。各個 沉積層的厚度可藉由調控影響氧化物沉積速率的參數來設 定,該參數包括諸如反應前驅物的類型與流速、沉積腔中 的整體壓力以及溫度等參數。如上述提到,典型的氧化物 層沉積速率係每分鐘約500A至約3000A (例如,約每分鐘 1500A)。 示性沉積與退火處理系、統 執行本發明實施例的沉積系統包括高密度電漿化學氣 相沉積(high-density plasma chemical vapor deposition, HDP-CVD)系統' 電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)系統、次大氣壓化學氣 相沉積(sub-atmospheric chemical vapor deposition, S A C V D)系統與熱能化學氣相沉積系統等類型的系統。執 行本發明實施例的化學氣相沉積系統之特定實例包括 18 1355690 CENTURA ULTIMA™高密度電漿化學氣相沉積腔/系統與 PRODUCER™電聚輔助化學氣相沉積腔/系統(Applied Materials, Inc., Santa Clara,广California)。 一個適當的沉積與退火處理系統(其中可修改用來應 用依照本發明之實施例)顯示與描述於共同讓渡的美國專 利公開案第 US2005/0250340(美國專利申請案第 10/841,582號)中(由等人於2004年5月7日提伸), 其在此以參考方式併入本文中。 現在參照第5A圖,高密度電漿化學氣相沉積 (HDP-CVD)系統510’其中根據本發明的一膜層會沉積於 硝酸銘構件(例如,噴嘴、擋板等)上。系統5丨〇包括一腔 室5 1 3、一真空系統5 7 〇、_電漿源系統5 8 〇 A、一偏壓電 漿系統580B、一氣體輸送系統533與一遠端電漿清潔系統 550 » 腔至513的較兩部分包括一圓蓋514,其係由陶質介 電材質(例如’氮化鋁)所製成。圓蓋514界定一電漿處理 區516之上部界線。電漿處理區516其底部的邊界在基板 517與基板支撐構件518的上表面。 加熱板523與冷卻板5 24裝設在圓蓋514上端且與其 ’’·、此耗接。加熱板523與冷卻板524可調控圓蓋溫度至約 OOC至約20〇c範圍上下1〇β(:之間。這讓圓蓋達到最有效 之狐度好應付不同處理。例如,帛見將清潔或钱刻處理的 圓蓋服度維持兩於沉積處理時的溫度。圓蓋溫度的準確調 控亦減少腔室内占y Al ^ 至円成片剝洛或粒子數目,且改善沉積層與基 19 1355690 板之間的黏著性》
腔室513較低的部分包括一腔體構件522(其連結腔室 與真空系統)。基板支揮構:件51 8的底座部分521固定在腔 體構件522上,並與腔體構件522形成—連續性内表面。 機械片(未顯示)經由腔室513側邊的插入/移出開口(未顯 不)將基板傳入與傳出腔室513。在馬達(未顯示)的調控下 :高然後降下舉昇銷(亦未顯示),好將基板從機械片(在較 高的負載位置55 7)上移至較低的處理位置556,其中該基 板被置於基板支撐構件518的基板接收部分519。基板接 收部分519包括一靜電塊52〇,其在基板處理過程中將 基板固定於基板支撐構件518上。一實施例中,基板支撐 構件5 1 8由銘陶瓷複合(例如,氮化鋁)材質所構成。 真空系統570包括節流閥體(throttle body)525,其内 含雙葉式節流閥526,且該節流閥體連結於閘閥(gate
valve)527 與渴輪分子聚浦(turb〇-m〇lecular pump)528。應 當注意的是節流閥體5 2 5提供氣流極小的阻礙,且能夠對 稱式抽吸’如同描述於共同讓渡的美國專利申請案第 08/5 74,839號(1 995年12月12日提伸),其在此以參考方 式併入本文中。閘閱527可分隔泵浦528與節流閥體525 ’ 當節流閥526完全打開時,閛閥527亦可藉由限制排出流 動之能力來調控腔室壓力。節流閥體、閘閥與渦輪分子泵 浦的配置可精確與穩定地調控腔室壓力介於約1毫托爾至 約2托爾之間。
電漿源系統58〇a包括裝設於圓蓋514上的頂線圈(t〇P 20 1355690
C〇U)529與側線圈(side C〇in)530 »對稱式接地屏蔽(gr〇und )(未顧示)減少這些線圈之間的電輕合。頂線圈529 藉由頂端的射頻源(StRF)產生器531Α供以動力,而側線圈 530藉由側端的射頻源(SRF)產生器53 ^供以動力,讓各 個線圈具有獨立功率量與操作頻率。這種雙重式線圈系統 可調控腔室513内的放射離子密度,因而改善電漿的均— 性。一般來說側線圈530與頂線圈529係感應式驅動,並 不而要相應電極(complimentary electrode)。一實施例中, 頂端的射頻源產生器531A在名義上2 MHz下提供高達 10,000瓦特的射頻功率,而側端的射頻源產生器531B在 名義上2 MHz下提供高達10,000瓦特的射頻功率。由名 義上的執行頻率(例如,分別高達17_19 MHz與1.9-2.1 MHz)抵銷頂端與側端射頻產生器之操作頻率以改善電漿 產生效率。
偏壓電漿系統5 80B包括一射頻偏壓(BRF)產生器 531C與一偏壓匹配網路532C。偏壓電漿系統580B電容式 耦接基板部分5 1 7與腔體構件522,其作為一相應電極。 偏壓電漿系統580B適合用來增進傳送電漿源系統580A產 生的電漿物質(例如,粒子)至基板表面。一特定實施例中, 射頻偏壓產生器在13.56 MHz下提供高達5,000瓦特的射 頻功率》 射頻產生器531A與531B包括數位調控式合成器,且 運轉約1.8至約2.1 MHz的頻率振幅。各個產生器包括— 射頻調控電路(未顯示)’該電路測量由腔室與線圈反射至 21 1355690
產生器的功率,且調整運轉頻率以得到最少的反射j 悉技術人士可以理解)。一般將射頻產生器設計成可 50歐姆阻抗的負..載中。具有與產生器不同阻抗的負 射射頻功率。這會降低送至負載的功率。此外,由 射給產生器的功率會過度負載且損害該產生器。由 的阻抗範圍可小於5歐姆以及大於900歐姆(取決於 子密度等因素),且由於反射的功率為頻率的一種函 此根據反射的功率而調整產生器頻率以提高射頻產 送給電漿的功率且保護該產生器。另一減少反射功 善效率的方式為利用匹配網路(matching network)。 匹配網路532A與532B將產生器531A與531 出阻抗匹配於各自的線圈529與530。射頻調控電 改變匹配網路内電容器的數值來協調兩個匹配網路 著負載變化將產生器匹配於負載。當負載反射回產 功率超過某一限度時,射頻調控電路會調整匹配網 個提供固定匹配且有效讓射頻調控電路不再協調匹 的方式為,將反射功率的限度設定成高於任何預期 功率數值。這有助在某些情況下穩定電漿(藉由維持 路固定於其最近的狀態)。 其他方法亦有助於穩定電漿。例如,射頻調控 用來測定傳送給負載(電漿)的功率,且可提高或降 器輸出功率以在一膜層沉積過程中維持實質上不變 功率。 氣體輸送系統5 3 3由許多來源提供氣體,來源 々率(熟 運轉於 載會反 負載反 於電漿 電漿離 數,因 生器傳 率與改 B的輸 路藉由 ,好隨 生器的 路。一 配網路 的反射 匹酉己網 電路可 低產生 的傳送 包括經 22 1355690
由氣體輸送管線 53 8(僅顯示某一部分)處理基板的 534A-534F腔室。如熟悉技術人士可理解般,5 34A-534F 來源實際上所'用的來源與實際上的連接(輸送管線 538至 腔室513)隨著執行於腔室513内的沉積與清潔處理而有所 改變。氣體經由氣體環537與/或頂噴嘴545導入腔室513。 氣體環537與/或頂喷嘴545可由氮化鋁構成。第5B圖係 腔室513的一簡化、部份橫剖面圖式,其顯示更詳細的氣 體環5 3 7。 一實施例中,第一與第二氣體源(534A與534B)與第 一與第二氣體流量控制器(535 A’與535B’)經由氣體輸送管 線5 3 8 (僅顯示某一部分)提供氣體給氣體環53 7中的環狀 空間536。氣體環537具有多個提供一致氣體流於基板上 的氣體喷嘴 539(僅顯示一個以便描述)。可改變噴嘴長度 與喷嘴角度以符合特定處理(個別腔室内)的外觀一致性與 氣體利用效率。一實施例中,氣體環5 3 7具有1 1 2個由氮 化鋁構成的氣體噴嘴539。
氣體環537亦具有多個氣體噴嘴 540(僅顯示其中之 一),這些噴嘴與來源氣體喷嘴 539位於同一平面但比較 短,而一實施例中,氣體喷嘴540接收來自主體空間(body plenum)541的氣體。氣體喷嘴5 4 0可由氮化鋁構成。氣體 注入腔室513前不欲混合氣體的某些實施例中,氣體喷嘴 539與540彼此不流動地耦接。其他實施例中,藉由提供 主體空間5 4 1與氣體環狀空間5 3 6之間的隙縫(未顯示), 可在氣體注入腔室513前混合氣體。一實施例中,第三與 23 1355690 第四氣體源(5 34C與534D)與第三與第四氣體流量控制器 (535C與535D’)可經由氣體輸送管線538提供氣體給主體 空間。例如543B(未顯示其他閥)的附加閥可關斷由流量控 制器至腔室的氣體。 -
在使用易燃、有毒或腐蝕性氣體的實施例中,樂見沉 積後排除留在氣體輸送管線内的氣體。這可利用三通閥(例 如543B閥)來完成,例如,隔離腔室513與輸送管線538A 以及排出輸送管線538A至真空前置管線544。如第5A圖 所示,其他相似閥(諸如,543A與 543C)可併入其他氣體 輸送管線。盡可能將上述之三通閥接近腔室513而設置以 減少輸送管線未排出氣體的容積(三通閥與腔室之間)。再 者,可將兩通(開-關)閥(未顯示)置於質量流量控制器(MFC) 與腔室之間,或氣體源與質量流量控制器之間。
再度參照第5A圖,腔室513亦具有頂喷嘴545(由氮 化鋁所構成)與頂氣口(top vent)546。頂喷嘴545與頂氣口 546可獨立調控氣體的上方與側邊流動,這改善薄膜的一 致性且可微調薄膜的沉積與摻雜參數。頂氣口 546為一環 繞頂喷嘴545的環型開口。一實施例中,第一氣體源534A 提供來源氣體喷嘴539與頂喷嘴545。來源喷嘴的質量流 量控制器535 A’調控送至來源氣體喷嘴539的氣體量,而 頂噴嘴的質量流量控制器535A調控送至頂氣體噴嘴545 的氣體量。同樣地,兩個質量流量控制器535B與535B, 可用來調控單一氧氣源(例如,來源534B)進入頂氣口 546 與氧化劑氣體喷嘴540的氧氣流動。供應給頂喷嘴545與 24 1355690 頂氣口 546的氣體/ $ %丑流入腔室5 1 3前可保持分離,或在流 入腔室513前於 a a間(top pienum)548中混合。可用不同 來源的相同氣體來 供應腔室的不同部分。 提供遠端微波 ^ ^ 座生式電漿清潔系統5 5 0以週期性由腔 室零件上*^除沉積换机 从么 β餘物。清潔系統包括遠端微波產生器 5 5 1,該系統在反 、, & 腔553内以清潔氣體源534E(諸如,氟 分子、三氟化氮、 „ ^ 其他碳氟化合物或均等物)的氣體產生電 漿。此類電漿所產 的活性物質經由塗抹管(applicator tube)5 5 5、清潔氡 進π埠554運送至腔室513。用來容納 清潔電漿的材質(柄&
如’反應腔553與塗抹管555)需可抵 抗電漿的侵害。督田L 用上’反應腔553與進給埠554之間的 距離越短越好,因发& ^ , 為所欲的電漿物質濃度會隨著離開反應 腔553的距離而減少。 〜 在遠端腔至内產生清潔電漿可運用 有效的微波產生器,曰τ么& 不會使腔室零件遭受存在於電漿產 生地點之溫度、輕射岑經氺 -輝光放電(gl〇xv discharge)的粒子衝 擊。因此’相對敏感性愛生 玖性零件(例如,靜電塊520)便不須以 撞片晶圓覆蓋或其他保護,作芒县 ^但若疋原位電漿清潔處理則需 要這些保護。 系統調控器560控制系統51〇 $缺突— 作。調控器560包 括一記憶體562,諸如硬碟、斂埋 欺磲(未顯示)與一耦接於處 理器561的卡架(card rack)(未埯+、 t 八禾顯不)。卡架包括單板機 (single-borad computer,SBC)(未顯示、虹•木‘ Α ν个翔不)、類比與數位輪入/ 輸出板(未顯示)、介面板(未顯示) )興步進式電動控制板 (stepper motor controller boards) Γ 去 as 及 以 ;、禾顯不)。系統調控器符 25 1355690
。歎洲插卡式模组(Versa Modular European, VME)標準, 該標準界定電路板、卡槽(card cage)與連接器的尺寸與類 S^ VME標準亦界定匯流排結構為16位元資料匯流排與 24位元位址匯流排。在儲存於硬碟上之電腦程式或經由其 他電腦程式(例如,儲存於卸除式磁碟中的程式)的調控下 運轉系統調控器5 3 1。電腦程式制定下列參數:諸如時間、 &合的氣體、射頻功率強度與特定處理的其他參數。如第 5 c圖所示’使用者與系統調控器之間的介面係經由顯示器 (例如陰極射線管「CRT」565)與光筆566。 第5C圖係一用於連接第5A圖示範性化學氣相沉積處 理腔之示範性系統使用者介面的部分圖示。系統調控器 560包括一耦接於電腦可讀式記憶體562的處理器561。記 憶體562最好為硬碟,但記憶體562可為其他類型的記憶 體’諸如唯讀記憶體(ROM)、可編程程式唯讀記憶體(pR〇M) 等等。
在儲存於記憶體562内之電腦可讀式形式的電腦程式 5 6 3調控下運轉系統調控器5 60。電腦程式制定下列參數: 時間、溫度 '氣體流、射頻功率強度與特定處理的其他參 數。使用.者與系统調控器之間的介面係經由陰極射線管顯 示器(CRT monitor)565與光筆5 66(第5C圖所示)。可運用 兩個顯示器(565與565A)與兩支光筆(5 66與566A),其中 一個(565)鎮嵌於清潔室壁(操作者用)’而另一個(565A)位 在該壁後面(設備技術員用)。兩個顯示器可同時顯示相同 的資訊,但僅可用一隻光筆(例如,566)。為了選擇特定的 26 1355690 螢幕區域或功能,操作者觸碰顯示器螢幕上選定的區域, 然後按下光筆上的按鈕(未顯示)。舉例來說,觸碰的區域 '藉由改變其強調顏色或顯示一個新的選單以證實唭被光筆 所挑選。
可用任何習知的電腦可讀式程式語言來編寫電腦程式 编場:例如,68000 組合語言(assembly language)、C、C + +、 Pascal、Fortran或其他》利用習知的文件编輯器將適當的 程式編碼輸入成單一檔案或多個檔案,且存於或具現於電 腦可用式媒體(computer usable medium),例如電腦的記憶 體系統。假若輸入的編碼文件為高階語言,那麼編譯該編 碼,然後合成的編譯碼接著鏈結(linked)於預先編譯的 Microsoft Window®程序庫程序(library routines)之目的瑪 (object code) »為了執行鏈結、已編譯之目的碼,系統使 用者希望目的碼引發電腦系統讀取記憶體中的編碼。中央 處理器(CPU)接著讀取與執行編碼好完成程式中識別的工 作。
第5D圖顯示電腦程式580遞階控制結構的一描述性 方塊圖示。使用者藉由利用光筆介面回應陰極射線管顯示 器上顯示的選單或螢幕,而將處理設定序號與處理腔室編 號輸入處理選擇子程式(process selector subroutine)582 中。處理設定為能夠實施特定處理的預定處理參數,且可 被預先界定的處理設定序號所辨認。處理選擇子程式582 確認(i)多腔室系統中所欲的處理腔,以及(ii)足以操作處 理腔執行所欲處理的所欲處理參數設定。執行特定處理的 27 1355690 處理參數係關於下述狀態:處理氣體组成與流速、溫度、 壓力、電漿環境(例如,射頻功率強度)以及腔蓋温度,且 以處方方式提供給使用者。利用光筆/陰極射線管顯示器介 面輸入處方指定的參'數。 系統調控器560的類比與數位輸入板提供監測處理的 訊號,而系統調控器560的類比與數位輸出板輸出調控處 理的訊號。
處理排序子程式(process sequencer subroutine)584 包 括接收來自處理選擇子程式582所識別之處理腔室與處理 參數設定的程式編碼,以及調控不同處理腔運轉的程式編 碼。多個使用者可輸入處理設定序號與處理腔室編號,或 單一使用者可輸入多個處理設定序號與處理腔室編號;排 序子程式584以所欲的順序中安排所選的處理。排序子程 式584最好包括可執行下列步驟的程式編碼:(i)監測處理 腔的運轉以測定腔室是否正在使用,(i i)測定正在使用的腔 室中執行哪種處理,以及(iii)基於處理腔室的可得性與即 將實施的處理類型執行所欲的處理。可運用習知監測處理 腔的方法.,例如輪詢(polling)。在安排執行哪個處理時, 可將排序子程式584設計用來考慮「各個特定使用者年紀· 輸入要求」或正在使用的處理腔當前狀況與選擇所欲處理 狀況的比較;或任何其他的重大因子,其為系統程式設計 師想要包含在内用以測定排序優先性。 排序子程式584決定接下來執行哪個處理腔與處理設 定組合後,排序子程式5 84藉由傳遞特定處理設定參數給 28 1355690 腔室管理子程式 586A-C而開啟處理設定的執行,而該腔 室管理子程式根據由排序子程式584送來的處理設定調控 腔室 513中的多個處理工作以及可能調控其他腔室(未顯 示)。
腔室元件子程式(chamber component subroutines)的 實例為基板定位子程式588、處理氣體調控子程式590、壓 力調控子程式592與電漿調控子程式594»那些熟悉技術 的人士可理解其可根據哪種處理被挑選用在腔室513内執 行來包括其他腔室調控子程式。實施中,腔室管理子程式 5 8 6B依照將被執行的特定處理設定選擇性地安排或呼喚 處理元件子程式。腔室管理子程式586B安排處理元件子 程式的方式相同於排序子程式584安排處理腔室與執行之 處理設定的方式。一般而言,腔室管理子程式 586B包括 以下步驟:監測多個腔室元件,基於即將執行之處理設定 的處理參數決定哪個元件需要運轉,以及回應監測與決定 步驟弓丨發腔室元件子程式的執行。
現將參照第5A與5D圖描述特定腔室元件子程式的運 轉。基板定位子程式588包括調控腔室元件的程式編碼, 該腔室元件被用來負載基板至基板支撐構件518上。基板 定位子程式588亦(在其他處理已經完成後)調控一基板由 例如,電漿輔助化學氣相沉積反應器或多腔室系統中其他 反應器,傳送至腔室513。 處理氣體調控子程式590具有調控處理氣體組成與流 速的程式編碼。子程式 590調控安全性閉鎖閥(shut-off 29 1355690 valve)的開啟/關閉位置,以及上升/下降(ramp up/ramp down)質量流量調控器以獲得所欲的氣體流速。所有腔室 元件子程式(包括處理氣體調控子程式. 590)均可由腔室管 理子程式586B引發。子程式590由腔室管理子程式586B 接收有關所欲氣體流速的處理參數。
'一般而言,處理氣體調控子程式590打開氣體供應管 線,且若需要可重複下述步驟,(i)讀取必備的質量流量調 控器,(ii)比較讀取值與由腔室管理子程式586B所接收的 所欲流速,以及(iii)調整氣體供應管線流速。再者,處理 氣體調控子程式590可包括下列步驟,監測不安全速度的 氣體流速,當監測到不安全狀況時活化安全性閉鎖閥。 某些處理中,惰性氣體(例如,氬)流入腔室5 1 3以穩 定腔室内的壓力直到導入活性處理氣體。針對這些處理, 設計處理氣體調控子程式590包括下述步驟,將惰性氣體 流入腔室5 1 3 —段時間(穩定腔室内壓力所需)。而接著實 施上述的步驟。
此外,當處理氣體由液態前驅物(諸如,四乙基矽酸 鹽、八甲基環四矽氧等)蒸發而來,處理氣體調控子程式 590會包括下列步驟:將運送氣體(例如,氦)冒泡穿過起 泡配件中的液態前驅物,或將氦導入液體注射閥。針對這 類型的處理,處理氣體調控子程式590調節運送氣體的流 動、起泡器内的壓力以及起泡器溫度以得到所欲的處理氣 體流速。如上所述,所欲的處理氣體流速被送至處理氣體 調控子程式590作為處理參數。 30 1355690 再者,處理氣體調控子程式590包括下列步驟:藉由 存取含有特定處理氣體流速所必須之數值的儲存表格,而 獲得所欲處理氣體流速必需的運送·氣體流速、起泡器壓力 以及起泡器溫度。一但獲得必需的數值,偵測運送氣體流 速、起泡器壓力與起泡器溫度並與必需的數值作比較好依 此調整。
處理氣體調控子程式 590亦藉由一獨立式氦調控 (independent helium control, IHC)子程式(未顯示)通過晶 圓塊内的内部與外部通道,調控熱能傳輸氣體(例如,氦) 的流動。氣體流將基板熱耦接於晶圓塊上。一典型處理中, 晶圓受到電紫·與形成膜層之化學反應的加熱,而氦透過晶 圓塊(水冷式)冷卻基板。這使基板低於會傷害已經存在於 基板上之特徵的溫度。
壓力調控子程式592包括藉由調節腔室排出部分的節 流閥5 2 6之開口大小而調控腔室内壓力的程式編碼。至少 有兩種以節流閥調控腔室的基本方法。第一種方法依靠描 繪與腔室壓力相關的總處理氣體流、處理腔大小與泵浦能 力等等。第一種方法將節流閥526固定在一位置上。將節 流閥52安裝至一固定位置最終造成穩定態壓力。 再者,可測得腔室壓力(例如,以一壓力計)且可根據 壓力調控子程式592調整節流閥526的位置,先決條件為 調控點位在氣體流動與排出能力界限之内。前者的方法會 導致腔室壓力快速的改變,而與後者的方法相關之測量、 比較與計算則不會引發腔室壓力快速的改變。在不需精確 31 1355690 調控腔室壓力下樂見使用前者的方法,但在預期一準確、 可重複性以及穩定壓力下(例如,薄層沉積過程中)樂見使 用後者的方法。 當喚起壓力調控子程式592時,所欲、或目標的壓力 程度以參數方式由腔室管理子程式586B所接收。壓力調 控子程式592藉由讀取一或多個連結到腔室的習知壓力計 來測量腔室内壓力;比較所測得的數值與目標壓力值;由 相對目標壓力的儲存壓力表獲得比例、整體與差別 (proportional, intergral and differential, PID)數值,且根 據由壓力表獲得的PID值調整節流閥526。再者,壓力調 控子程式592會打開或關上節流閥526至一特定開啟大小 好調節腔室内的壓力至一所欲的壓力或壓力範圍。 電漿調控子程式5 94包括調控射頻產生器531A與 531B頻率與功率輸出設定的程式編碼’以及協調匹配網狀 系統53 2A與532B的程式編碼。電漿調控子程式594如同 之前描述的腔室元件子程式一般,由腔室管理子程式586B 所引發。 已經描述許多實施例’熟悉技術的人士可以理解,其 可在不悖離本發明之精神下使用許多修改物、替換構造與 均等物》再者’並未描述許多知名的處理方式與元件好避 免對本發明造成不必要的渑淆。因此,上述内容不應被視 為本發明範圍的限制性。 此處所提供的數值範固,可以理解各個介於範圍較高 與較低限制值之間的中間值(除非文中另有明確指出,否則 32 1355690
到較低限制值之單位的十分之一)亦明確地被揭示。任何所 述數值之間的各個較小範圍;或所述範圍與任何其他所述 數值的中間值;或所述範圍的中間值均包含在其中。這些 較小範圍的較高與較低限制值可單獨地被包括在範圍内或 排除在範圍外,且各個範圍的限制值(任一、兩者皆無、兩 者皆有)被包含在較小範圍亦包含在本發明中,其屬於所述 範圍内任何特別排除在外的限制值。所述範圍包括一或兩 個限制值,亦包括排除任一或兩者限制值的範圍。 此處與附加的專利申請範圍中所用的單數形式「一 (a)」、「一(an)」與「該」包括多個所指對象,除非文中另 有明確指出。因此,舉例來說,提到「一處理」係包括多 個此類處理,而提到「該前驅物」係包括所指的一或多個 前驅物與熟悉技術人士所知的均等物等等。
同樣地,應用於本說明書與接下來的申請專利範圍之 詞彙「包括」、「包含」,其用來明確指明所述之特徵、整體、 成分或步驟的存在,但並不排除一或多個其他特徵、整體、 成分、步驟、行動或群組的存在或附加。 【圖式簡單說明】 可藉由參照說明書剩餘部分與圖式來實現對本發明性 質與優點的進一步了解,在圖式内不同圖中相同的元件符 號代表相同的部件。某些實例中,次要符號連結於元件符 號且跟在連字號後以代表許多相同部件的其中之一。當沒 有詳述現行的次要符號之元件編號當作參考時,意指代表 33 1355690 所有這類的多個相同元件。 第1圖係顯示根據本發明實施例的介電質沉積與退火 處理中挑出之步驟的流程圖; 第2圖係顯示根據本發明實施例的介電質沉積與熱/ 電漿退火處理中挑出之步驟的流程圖; 第3圖係顯示根據本發明實施例的介電質沉積與紫外 光/電漿退火處理中挑出之步驟的流程圖;
第4圖係顯示根據本發明實施例的多層式介電質沉積 與熱/電漿退火處理中挑出之步驟的流程圖; 第5A圖係根據本發明實施例的高密度電漿化學氣相 沉積系統的簡易圖示; 第5B圖係用於連結根據本發明實施例的高密度電漿 化學氣相沉積系統的氣體環實例之簡易剖面圖; 第5 C圖係用於連結根據本發明實施例的高密度電漿 化學氣相沉積系統的顯示器與光筆系統實例之簡易圖示; 以及
第5D圖係用於調控根據本發明實施例的高密度電漿 化學氣相沉積系統的處理調控器實例之簡易圖示; 【主要元件符號說明】 100 ' 200 ' 300 、 400 方法 102 ' 104、 106、 108、 110、 112、 202、 204、 206、 208、 210 ' 212、 214、 302、 304、 306、 308、 310、 312、 314、 402、 404、 406' 408、 410、 412、 414 步驟 34 1355690
5 10 系統 5 14 圓蓋 517 基板 ' 519 基板接收部分 521 底座部分 523 加熱板 525 節流閥體 527 閘閥 529 、 530 線圈 5 3 1 A、5 3 1 B 射頻源產生器 53 1C 射頻偏壓產生器 532C 偏壓匹配網路 534A-E 氣體源
53 5C ' 5 3 5D、5 3 5D’、53 5E 536 環狀空間 53 8 > 5 3 8A 氣體輸送管線 541 主體空間 544 真空前置管線 546 頂氣口 550 遠端電漿清潔系統 553 反應腔 55 5 塗抹管 557 負載位置 562 記憶體 5 13 腔室 5 16 電漿處理區 518 基板支撐構件 520 靜電塊 522 腔體構件 524 冷卻板 526 節流閥 528 渦輪分子泵浦 531、560 系統調控器 532A ' 532B 匹配網路 5 3 3 氣體輸送系統 5 3 5 A、5 3 5 A’、5 3 5B、5 3 5 B’ 流量控制器 537 氣體環 539、540 氣體喷嘴 543A ' 543B、543 C 三通閥 545 頂喷嘴 548 頂空間 551 遠端微波產生器 5 54 進給埠 5 56 處理位置 561 處理器 563、580 電腦程式 35 1355690 565、 565A 陰極射線管顯示器 566、 566A 光筆 570 真空系統 5 80A 電漿源系統 580B 偏壓電漿系統 582 處理選擇子程式 584 處理排序子程式 5 86A、5 86B、586C 腔室管理子程式 5 8 8 基板定位子程式 590 處理氣體調控子程式 592 壓力調控子程式 594 電漿調控子程式

Claims (1)

  1. 1355690 十、申請專利範圍: 1. 一種製造一個二氧化梦層於一基板上的方 法至少包含:-- 在一反應腔内形成該二氧化矽層於該基板上 • 程係藉由使一氧原子前驅物與一梦前驅物反應並 _ 產物於該基板上,其中該氧原子前驅物係產生於 外; # 在一約600 °C或更低的溫度下加熱該二氧化 及 將該二氧化矽層暴露在一感應耦合電聚 coupled plasma)下 ° 2.如申請專利範圍第1項之方法,其中該二 被加熱至一約3 0 0 °C至約6 0 0 °C之間的溫度。 3.如申請專利範圍第1項之方法,其中該二 被加熱至約3 8 0 °C。 4.如申請專利範圍第1項之方法,其中該二 被加熱約1分鐘至约3 0分鐘。 5.如申請專利範圍第1項之方法,其中該二 被加熱約1分鐘。 法,該方 ! 而此過 沉積反應 該反應腔 矽層;以 (induced 氧化矽層 氧化矽層 氧化矽層 氧化矽層 37 1355690 6.如-申請專利範圍第1項之方法,其中該二氧化矽層 係於該反應腔内一約15毫托爾至約760托爾之一氮氣壓 力下被加熱。 7.如申請專利範圍第6項之方法,其中該壓力大約為 50托爾。
    8.如申請專利範圍第1項之方法,其中該電漿包含一 氦或氬前驅物。 9.如申請專利範圍第1項之方法,其中在將該二氧化 矽層暴露在該電漿下的過程中,其具有一約300 °C至約600 °C之間的溫度。
    10.如申請專利範圍第9項之方法,其中該溫度大約 為 3 80°C。 11.如申請專利範圍第1項之方法,其中一在約1000 瓦特至約 9600瓦特的一功率強度下運轉之射頻功率源係 用來產生該電漿。 12.如申請專利範圍第11項之方法,其中該功率強度 38 1355690 大約為1 800瓦特。 13.如申請專利範圍第1項之方法,其中該反應腔在 暴露該二氧化矽層給該電漿過程中具有一約2毫托爾至-約 50毫托爾的壓力。 14.如申請專利範圍第13項之方法,其中該腔室壓力 # 大約為20毫托爾。 15.如申請專利範圍第1項之方法,其中將該二氧化 矽層暴露在該電漿下約1分鐘至約1 〇分鐘。 1 6.如申請專利範圍第1 5項之方法,將該二氧化矽層 暴露在該電漿下約3分鐘。
    17.如申請專利範圍第1項之方法,其中將該二氧化 矽層暴露在該感應耦合電漿前,加熱該二氧化矽層約1分 鐘至約3 0分鐘。 18. —種形成一個二氧化矽層於一基板上的方法,該 方法至少包含: 在一反應腔内形成該二氧化矽層於該基板上,而此過 程係藉由使一氧原子前驅物與一矽前驅物反應並沉積反應 39 1355690 產物於該基板上,其中該氧原子前驅物係產生於該反應腔 外; 將該二氧化矽層暴露在紫外光下;以及 ·· - 將該二氧化矽層暴露在一感應耦合電漿下。 .
    19.如申請專利範圍第18項之方法,其中在將該二氧 化矽層暴露在該紫外光下的過程中,該二氧化矽層具有一 約2 5 °C至約9 0 0 °C之間的溫度。 20.如申請專利範圍第18項之方法,其中將該二氧化 矽層暴露在該紫外光下的過程中,該二氧化矽層具有一約 300°C至約600°C之間的溫度。 21.如_請專利範圍第18項之方法,其中該紫外光在 約220奈米波長處具有一峰值強度。
    22.如申請專利範圍第18項之方法,其中將該二氧化 矽層暴露在該紫外光下約1 0秒至約60分鐘。 23.如申請專利範圍第18項之方法,其中將該二氧化 矽層暴露在該紫外光下約30分鐘。 24.如申請專利範圍第18項之方法,其中該二氧化矽 40 1355690
    層係在一空氣中暴露於該紫外光下,該空氣包括 氮、氧化亞氮、氨、臭氧或水。 25.如申請專利範圍第24項之方法,其中該 該空氣的壓力大約為1托爾至600托爾。 26. —種沉積與退火處理一晶圓基板上之一 矽層的方法,該方法至少包含: 提供該晶圓基板給一高密度電漿化學】 (HDP-CVD)處理腔,二氧化碎層的沉積即係於該 處理腔中進行; 在該高密度電漿化學氣相沉積處理腔外提供 漿產生裝置,其中該遠端電漿產生裝置係用來產 該高密度電漿化學氣相沉積處理腔的一氧原子前 供應一矽前驅物給該高密度電漿化學氣相 腔,其中該矽前驅物與該氧原子前驅物進行反應 二氧化矽層於該晶圓上; 執行一第一退火處理於該沉積的二氧化矽層 該第一退火處理包括將該層加熱至一約 300 °C至 的溫度約1分鐘至約3 0分鐘;以及 執行一第二退火處理於該沉積的二氧化矽層 該第二退火處理包括將該層暴露在一高密度氬電 分鐘至約1 0分鐘。 氦、氬、 反應腔内 個二氧化 I相沉積 HDP-CVD 一遠端電 生供應給 驅物; 沉積處理 以形成該 上,其中 約 6 0 0 °C 上,其中 漿下約1 41 1355690 2 7.如申請專利範圍第26項之方法,其中執行該第一 退火處理與第二退火處理約4分鐘至約1 0分鐘。 . 28.如申請專利範圍第26項之方法,其中執行該第一 . 退火處理約1分鐘而執行該第二退火處理約3分鐘。 # 29.如申請專利範圍第26項之方法,其中在約380 °C 下執行該第一退火處理與第二退火處理。 30.如申請專利範圍第26項之方法,其中該矽前驅物 係選自下列物質所構成的群組中:八曱基環四矽氧 (octamethylcyclotetrasiloxane, OMCTS)、四曱基硬酸鹽 (tetramethylorthosilicate,TM0S)及上述之混合物。
    31.如申請專利範圍第26項之方法,其中該氧原子前 驅物係在該遠端電漿產生裝置中利用電漿解離氧分子而產 生。 3 2.如申請專利範圍第26項之方法,其中該晶圓基板 在該二氧化矽層形成過程中維持在一約 3 0 °C至約 7 5 °C的 溫度下。 42
TW096119401A 2006-05-30 2007-05-30 A method for depositing and curing low-k films for TWI355690B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US80348906P 2006-05-30 2006-05-30
US11/753,918 US7790634B2 (en) 2006-05-30 2007-05-25 Method for depositing and curing low-k films for gapfill and conformal film applications

Publications (2)

Publication Number Publication Date
TW200814196A TW200814196A (en) 2008-03-16
TWI355690B true TWI355690B (en) 2012-01-01

Family

ID=38779412

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096119401A TWI355690B (en) 2006-05-30 2007-05-30 A method for depositing and curing low-k films for

Country Status (7)

Country Link
US (1) US7790634B2 (zh)
EP (1) EP2033214A4 (zh)
JP (1) JP5401309B2 (zh)
KR (1) KR101046968B1 (zh)
CN (1) CN101454886B (zh)
TW (1) TWI355690B (zh)
WO (1) WO2007140376A2 (zh)

Families Citing this family (536)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7791912B2 (en) * 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) * 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7939421B2 (en) * 2009-07-08 2011-05-10 Nanya Technology Corp. Method for fabricating integrated circuit structures
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
CN102763198B (zh) * 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8765573B2 (en) 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9018089B2 (en) * 2011-08-30 2015-04-28 International Business Machines Corporation Multiple step anneal method and semiconductor formed by multiple step anneal
CN102417306B (zh) * 2011-09-08 2013-10-09 上海华力微电子有限公司 一种解决高磷浓度psg薄膜表面雾状颗粒的工艺方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2772353A4 (en) 2011-10-28 2015-06-24 Toray Industries GAS BARRIER FILM
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102820220A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
US9023737B2 (en) * 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP2944460B1 (en) 2013-01-11 2019-08-28 Toray Industries, Inc. Gas barrier film
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2982774A4 (en) * 2013-04-04 2017-03-22 Toray Advanced Film Co., Ltd. Gas barrier film and method for producing same
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR20160106751A (ko) * 2014-01-13 2016-09-12 어플라이드 머티어리얼스, 인코포레이티드 경도 및 모듈러스를 증가시키기 위한 저 k 막들의 탄소 이산화물 및 탄소 일산화물 매개성 경화
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
CN105899711B (zh) 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
WO2015116350A1 (en) * 2014-01-29 2015-08-06 Applied Materials, Inc. Low temperature cure modulus enhancement
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR102655396B1 (ko) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106373881A (zh) * 2015-07-20 2017-02-01 成均馆大学校产学协力团 多晶硅沉积方法及用于该方法的沉积装置
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110235248B (zh) * 2017-04-27 2024-03-26 应用材料公司 用于3d nand应用的低介电常数氧化物和低电阻op堆叠
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10026620B1 (en) * 2017-06-22 2018-07-17 National Applied Research Laboratories Method of irradiating ultraviolet light on silicon substrate surface for improving quality of native oxide layer and apparatus using the same
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN113169039A (zh) 2018-12-04 2021-07-23 应用材料公司 交联硅-羟基键的固化方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20220087551A (ko) * 2019-10-29 2022-06-24 램 리써치 코포레이션 심리스 (seamless) 고품질 갭 충진을 가능하게 하는 방법들
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20230142684A1 (en) * 2020-12-27 2023-05-11 Applied Materials, Inc. Single Precursor Low-K Film Deposition and UV Cure for Advanced Technology Node
TWI785519B (zh) * 2021-03-05 2022-12-01 台灣積體電路製造股份有限公司 微波產生器、紫外光源、與基板處理方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230094012A1 (en) * 2021-09-15 2023-03-30 Applied Materials, Inc. Rf pulsing assisted low-k film deposition with high mechanical strength

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) * 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Also Published As

Publication number Publication date
TW200814196A (en) 2008-03-16
WO2007140376A3 (en) 2008-01-24
EP2033214A2 (en) 2009-03-11
US7790634B2 (en) 2010-09-07
KR101046968B1 (ko) 2011-07-06
JP5401309B2 (ja) 2014-01-29
US20080026597A1 (en) 2008-01-31
CN101454886B (zh) 2011-02-02
WO2007140376A2 (en) 2007-12-06
KR20090015160A (ko) 2009-02-11
JP2009539265A (ja) 2009-11-12
EP2033214A4 (en) 2011-11-30
CN101454886A (zh) 2009-06-10

Similar Documents

Publication Publication Date Title
TWI355690B (en) A method for depositing and curing low-k films for
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
US6583497B2 (en) Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
TW518693B (en) In situ deposition and integration of silicon nitride in a high density plasma reactor
US7326657B2 (en) Post-deposition treatment to enhance properties of Si-O-C low k films
EP1077480B1 (en) Method and apparatus to enhance properties of Si-O-C low K films
US6602806B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
TWI399453B (zh) 使用含矽前驅物和氧原子來化學氣相沈積高品質之流式二氧化矽
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
EP1980646A1 (en) Improved gap-fill despositions in the formation of silicon containing dielectric materials
CN106057637A (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
KR20150009959A (ko) 유동가능 필름들을 위한 개선된 조밀화
JP2001148382A (ja) 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
EP1050601A1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
CN108292594A (zh) 用于多层图案化应用的低温单一前驱物arc硬掩模

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees