CN101454886B - 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法 - Google Patents

用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法 Download PDF

Info

Publication number
CN101454886B
CN101454886B CN2007800200538A CN200780020053A CN101454886B CN 101454886 B CN101454886 B CN 101454886B CN 2007800200538 A CN2007800200538 A CN 2007800200538A CN 200780020053 A CN200780020053 A CN 200780020053A CN 101454886 B CN101454886 B CN 101454886B
Authority
CN
China
Prior art keywords
oxide layer
silicon oxide
plasma
annealing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800200538A
Other languages
English (en)
Other versions
CN101454886A (zh
Inventor
J·C·芒罗
S·D·耐马尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101454886A publication Critical patent/CN101454886A/zh
Application granted granted Critical
Publication of CN101454886B publication Critical patent/CN101454886B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述制造一个氧化硅层于一基板上的方法。该些方法包括在一反应腔内形成该氧化硅层于该基板上,而此过程藉由使一氧原子前体与一硅前体进行反应,并沉积反应产物于该基板上。该氧原子前体产生于该反应腔外。该些方法亦包括在约600℃或更低的温度下加热该氧化硅层,然后将该氧化硅暴露在一感应耦合等离子体下。额外的方法描述如下,该沉积的氧化硅层藉由将其暴露在紫外光下而硬化,且亦将该层暴露在一感应耦合等离子体下。

Description

用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法
交互参照的相关申请
本申请主张享有Munro等人的美国暂时申请第60/803,489号的利益,其于2006年5月30日申请,专利名称为「用于沉积及硬化针对填充间隙及保形薄膜应用的低k薄膜的方法(A METHOD FOR DEPOSITING AND CURING LOW-k FILMS FOR GAPFILL AND CONFORMAL FILM APPLICATIONS)」。本发明亦关于Ingle等人的共同受让的美国暂时申请第60/803,493号,其于2006年5月30日申请,专利名称为「使用含硅前体及原子氧进行高品质类流式氧化硅的化学气相沉积(CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATONIC OXYGEN)」。本发明亦关于Chen等人的美国暂时申请第60/803,481号,其于2006年5月30日申请,专利名称为「用于增进氧化硅薄膜品质的新颖沉积等离子硬化循环工艺(A NOVEL DEPOSITION-PLASMA CURE CYCLE PROCESS TO ENHANCE FILM QUALITY OF SILICON DIOXIDE)」。此外,本发明亦关于Lubomirsky的2006年5月30日申请的美国暂时申请第60/803,499号,发明名称为“针对电介质填充间隙的处理室(PROCESS CHAMBER FOR DIELECTRIC GAPFILL)”。上述优先权的美国暂时申请以及相关申请在此皆将其整体并入以做为参考。
技术领域
本发明关于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法。
背景技术
介电膜沉积中,往往乐见形成一高度同形(conformal)层,其具有充分的绝缘特性(即,低k值)与良好的膜层特性(例如,高膜层密度与低湿式蚀刻速率比值「wet etch rate ratio,WERR」)。不幸地,极少(如果有的话)有初始材质于单次沉积中就兼备所有这些特性。氧化硅介电质沉积中,高度同形层一般具有良好的流动性,这让该膜层移入间隙、空隙与接缝。然而,具有良好流动性的氧化物膜亦倾向具有高浓度的水与硅醇(即,硅醇键结「Si-OH」),其提高该膜层的k质与湿式蚀刻速率比值。另一方面,低水分氧化物膜的沉积物一般具有较低的k值与湿式蚀刻速率比值,但他们的低流动性亦使他们容易形成间隙与接缝。
一种用来缓和高湿度与低湿度氧化硅膜之间缺点的方式,首先沉积一高湿度同形膜层,接着退火处理以移除至少一部份的水。两种已知的退火处理方法为:(1)高温热能退火处理,以及(2)高密度等离子体退火处理。热能退火处理中,将沉积的氧化物层提高至某一温度,在此温度下该膜层蒸发出明显的水气。硅醇基亦断裂成水与硅氧(Si-O)键结,而至少某部分这样形成的水亦由该氧化物层逸出。而成果为一退火处理过的氧化硅层,其比最初沉积的氧化物膜具有更高的密度与更佳的电绝缘性(即,具有较低的k值)。
已知的热能退火处理在退火温度较高时更有效率。超过1000℃的高温退火处理,以明显高于300℃退火处理的速度断裂硅醇键结与蒸发沉积的氧化物层的水气。较高的移除速率缩短退火处理时间且提高退火处理步骤的效率。然而,较高温度的退火处理需与工艺的热能预算限制达成平衡。例如,假若对沉积在金属管线上的金属间介电层(intermetal dielectric,IMD)执行热能退火处理,退火处理温度的最高限制为400℃或更低。某些实例中,热能预算不足会使得需要长期退火处理的热能退火处理无法实行。
当无法实行高温退火处理时,可应用一包括高密度等离子体的第二种退火处理方法。此种方法中,将最初沉积的氧化硅层暴露在高密度等离子体(一般由诸如氦与氩等惰性气体断裂而形成)下。来自等离子体的带电微粒撞击氧化物膜且造成硅醇键结的断裂与水蒸气的移除,高密度等离子体中的退火温度通常低于热能退火处理的温度,因此可用来以低热能预算限制退火处理氧化物膜。
高能等离子体微粒亦可断裂氧化物膜中的碳-硅与碳-碳键结。当沉积一纯粹的氧化硅层时,等离子体退火处理断裂与移除碳键结为一乐见的结果。然而,对并入碳以降低该材质介电常数的低k值氧化物膜而言,等离子体移除碳会因为提高其k值而损害该膜层。因此,需要一种额外的退火处理方法,其可在低温下有效地硬化介电膜层而不有害地影响低k材质的介电常数。藉由本发明的实施例来解决此问题与其它争议。
发明内容
本发明的实施例包括制造一个氧化硅层于一基板上的方法。该些方法包括在一反应腔中形成该氧化硅层于该基板上,而此过程藉由使一氧原子前体与一硅前体进行反应然后沉积反应产物于该基板上。可于该反应腔外产生该氧原子前体。该些方法亦包括在600℃或更低的一温度下加热该氧化硅层,然后将该氧化硅层暴露在一诱导耦合等离子体(induced coupled plasma)下。
本发明的实施例亦包括形成一个氧化硅层于一基板上的方法。该些方法包括在一反应腔中形成该氧化硅层于该基板上,而此过程藉由使一氧原子前体与一硅前体进行反应然后沉积反应产物于该基板上。可于该反应腔外产生该氧原子前体。该些方法亦包括将该氧化硅层暴露在紫外光下,然后将该氧化硅层暴露在一诱导耦合等离子体下。
本发明的实施例又进一步包括沉积与退火处理一晶片基板上的一个氧化硅层的方法。该些方法包括提供该晶片基板给一高密度等离子体化学气相沉积(HDP-CVD)处理腔,氧化硅层的沉积即于该HDP-CVD处理腔中进行;以及提供一远程等离子体产生装置,其位于该高密度等离子体化学气相沉积处理腔外。该远程等离子体产生装置可用来产生一氧原子前体,其被供应给该高密度等离子体化学气相沉积处理腔。该些方法亦包括供应一硅前体给该高密度等离子体化学气相沉积处理腔,该硅前体与该氧原子前体于该HDP-CVD处理腔中进行反应以形成该氧化硅层于该晶片上。该氧化硅层形成后,执行一第一次退火处理于该沉积的氧化硅层上,而该第一次退火处理包括将该层加热至一约300℃至约600℃之间的温度约1分钟至约30分钟。然后,执行一第二次退火处理于该沉积的氧化物层上,而该第二退火处理包括将该层暴露在一高密度氩等离子体下约1分钟至约10分钟。
额外的实施例与特征一部分在接下来的描述中提出,而一部分那些熟悉技术人士依靠说明书的检验可显而易见,或可藉由本发明的实施得知。藉由描述于说明书的构造、组合与方法可理解与获得本发明的特征与优点。
附图说明
可藉由参照说明书剩余部分与图式来实现对本发明性质与优点的进一步了解,在图式内不同图中相同的组件符号代表相同的部件。某些实例中,次要符号连结于组件符号且跟在连字号后以代表许多相同部件的其中之一。当没有详述现行的次要符号的组件编号当作参考时,意指代表所有这类的多个相同组件。
图1显示根据本发明实施例的介电质沉积与退火处理中挑出的步骤的流程图;
图2显示根据本发明实施例的介电质沉积与热/等离子体退火处理中挑出的步骤的流程图;
图3显示根据本发明实施例的介电质沉积与紫外光/等离子体退火处理中挑出的步骤的流程图;
图4显示根据本发明实施例的多层式介电质沉积与热/等离子体退火处理中挑出的步骤的流程图;
图5A根据本发明实施例的高密度等离子体化学气相沉积系统的简易图标;
图5B用于连结根据本发明实施例的高密度等离子体化学气相沉积系统的气体环实例的简易剖面图;
图5C用于连结根据本发明实施例的高密度等离子体化学气相沉积系统的显示器与光笔系统实例的简易图标;以及
图5D用于调控根据本发明实施例的高密度等离子体化学气相沉积系统的处理调控器实例的简易图标;
主要组件符号说明:
100、200、300、400 方法
102、104、106、108、110、112、202、204、206、208、210、212、214、302、304、306、308、310、312、314、402、404、406、408、410、412、414步骤
510 系统                 513 腔室
514 圆盖                 516 等离子体处理区
517 基板                 518 基板支撑构件
519 基板接收部分         520 静电块
521 底座部分             522 腔体构件
523 加热板               524 冷却板
525 节流阀体             526 节流阀
527 闸阀                 528 涡轮分子泵浦
529、530 线圈            531、560 系统调控器
531A、531B 射频源产生器
531C 射频偏压产生器      532A、532B 匹配网络
532C 偏压匹配网络        533 气体输送系统
534A-E 气体源            535A、535A’、535B、535B’、535C、535D、535D’、535E 流量控制器
536 环状空间             537 气体环
538、538A 气体输送管线   539、540 气体喷嘴
541 主体空间             543A、543B、543C 三通阀
544 真空前置管线         545 顶喷嘴
546 顶气口               548 顶空间
550 远程等离子体清洁系统     551 远程微波产生器
553 反应腔               554 进给端口
555 涂抹管               556 处理位置
557 负载位置             561 处理器
562 内存                 563、580 计算机程序
565、565A 阴极射线管显示器
566、566A 光笔           570 真空系统
580A 等离子体源系统      580B 偏压等离子体系统
582 处理选择子程序       584 处理排序子程序
586A、586B、586C 腔室管理子程序
588 基板定位子程序       590 处理气体调控子程序
592 压力调控子程序       594 等离子体调控子程序
具体实施方式
描述多阶段式退火处理氧化硅层的系统与方法。多阶段式退火处理不需高温(例如,超过600℃的温度)即有效地由氧化层中移除水分与硅醇基。多阶段式退火处理包括第一阶段退火处理,其提高该层的硬度且移除一部分的湿气与/或氢氧基(hydroxyl group);与第二阶段退火处理,其移除大部分(假若不是全部)残留的水分与氢氧基以产生具有良好介电特性(例如,低于3的k值)的硬氧化物层(例如,高于1GPa)。
多阶段式退火处理不需高温或冗长的退火时间(例如,超过60分钟)即可将最初沉积的软氧化物膜层转变为一高品质、低k值的介电层。对含碳的低k氧化物层来说,退火处理的第一阶段硬化该软膜层至接下来退火阶段(们)无法断裂与移除足够的碳而明显提高该层k值的程度。因此,低温且高效率移除水分的退火处理方法(例如,高密度等离子体退火处理),可用于退火处理中而不危及含碳氧化硅介电层的低k值特性。
示范性氧化物层沉积与退火处理
图1显示根据本发明实施例的介电质沉积与退火处理方法100中所选步骤的流程图。方法100包括提供晶片基板给沉积腔(步骤102)。晶片基板可包括200毫米、300毫米等硅晶片基板。基板具有形成于其上的构造(其包括间隙、沟槽与间距「steps」等),这些构造具有2∶1或更高、5∶1或更高、7∶1或更高、10∶1或更高、13∶1或更高、15∶1或更高等等的深宽比(aspect ratio)。
将硅前体导入包含基板的反应腔内(步骤104)。硅前体包括一或多种含碳有机硅化合物,与/或例如硅烷(SiH4)的非含碳硅化合物。包含有机硅的化合物包括那些具有直接硅-碳键结与/或那些具有硅-氧-碳键结的化合物。有机硅烷类的硅前体的实例包括二甲基硅烷(dimethylsilane)、三甲基硅烷(trimethylsilane)、四甲基硅烷(tetramethylsilane)、二乙基硅烷(diethylsilane)、四甲基硅酸盐(tetramethylorthosilicate,TMOS)、四乙基硅酸盐(tetraethylorthosilicate,TEOS)、八甲基三硅氧(octamethyltrisiloxane,OMTS)、八甲基环四硅氧(octamethylcyclotetrasiloxane,OMCTS)、四甲基环四硅氧(tetramethylcyclotetrasiloxane,TOMCATS)、二甲基二甲氧基硅烷(dimethyldimethoxysilane,DMDMOS)、二乙氧基甲基硅烷(diethoxymethylsilane,DEMS)、甲基三乙氧基硅烷(methyl triethoysilane,MTES)、苯基二甲基硅烷(phenyldimethylsilane)、苯基硅烷(phenylsilane)以及上述的混合物等。
将有机硅前体导入沉积腔前或过程中,可将其与一载气混合。载气为一非活性气体,其不过度干扰氧化膜形成于基板上。载气的实例包括氦、氖、氩与氢气(H2)等气体。
亦将含氧前体导入包含基板的反应腔(步骤106)。含氧前体可包括沉积腔外远程产生的氧原子。可藉由解离下列前体产生氧原子:氧气(O2)、臭氧(O3)、氮-氧化合物(例如,一氧化氮「NO」、二氧化氮「NO2」、氧化亚氮「N2O」等等)、氢氧化合物(例如,水「H2O」、过氧化氢「H2O2」等等)、碳氧化合物(例如,一氧化碳「CO」、二氧化碳「CO2」等等)以及其它含氧前体与该些前体的组合物。
亦可由下列方式解离前体以产生氧原子:热能解离、紫外光解离与/或等离子体解离等方法。等离子体解离包括在远程等离子体产生腔内由氦、氩等击出等离子体,且将该氧前体引导给等离子体好产生氧原子前体。
方法100的实施例中,氧原子与有机硅前体彼此不混合直到被导入沉积腔。前体经由空间上分散的独立前体进入口(分散在反应腔周围)进入腔室。例如,氧原子前体由位在腔室顶部且位于基板正上方的一进入口(或进入口群)进入腔室。进入口将氧前体流指向垂直于基板沉积表面的方向。同时,硅前体由一或多个环绕在沉积腔侧壁的进入口进入腔室。此类进入口将硅前体流指向大约平行于沉积表面的方向。
额外的实施例包括经由多端口式喷头的独立端口传递氧原子与硅前体。例如,位在基板上方的喷头,其包括让前体进入沉积腔的开口的一种样式。以氧原子前体供应一部分开口,而以硅前体供应第二部分开口。经由不同部分开口传递的前体,其彼此之间流动分离直到离开进入沉积腔。关于前体处理设备的形式与设计的额外详细内容描述于共同让渡的美国暂时性专利申请案(案号为A011162/T72700),由Lubomirsky在与本说明书相同日期提申且命名为「介电质间隙充填所用的处理腔」,其全文在此以参考资料的方式并入本文中。
当氧原子与硅前体在沉积腔内反应时,他们形成氧化硅于基板沉积表面上(步骤108)。初步沉积过程中,将基板维持在一稳定且相对低温下(例如,约30℃至约70℃)。最初的氧化物层具有出色的流动性,且可快速地移动至基板表面上构造内的间隙底部。
氧化硅层沉积后,接着执行第一次退火处理(步骤110),以移除一部分的水分且提高该层的硬度。此初步退火步骤包括在惰性环境(例如,干燥氮气环境)中加热该氧化物层约1分钟至约10分钟。以不伤害形成于基板构造内的金属管线(例如,当氧化物层为沉积于铝金属管线上的金属间介电层)来选择热能退火处理的温度。
或者(或另外),该第一次退火步骤包括将该氧化硅层暴露在紫外光下约1分钟至约30分钟。如同热能退火处理,紫外光退火处理可移除最初沉积的氧化物膜中存在的一部分水分,且亦增加该膜层的硬度。
第一次退火处理结束后,执行一第二次退火处理(步骤112)以进一步由该氧化物层中移除水分与硅醇键结。退火处理步骤112中,移除实质上所有的水分与硅醇,好给该层一较低的k值与较低的湿式蚀刻速率比值(不论相对于最初沉积的氧化物层或第一次退火步骤处理后的该层)。这第二次退火处理包括将该氧化物层暴露在一等离子体下,此等离子体有效地从该氧化物中移除水与硅醇基。然而第一退火处理后,氧化物层具有足够的硬度能减少(或避免)等离子体断裂存在于氧化物中的碳基团。因此,对低k值含碳氧化物层而言,等离子体退火处理不会移除足以明显提高该层k值的碳含量。
现在参照图2,显示一描述根据本发明实施例的介电质沉积与热能/等离子体退火处理方法200中所选步骤的流程图。方法200包括提供基板给沉积腔(步骤202)。接着,最初氧化物层的沉积包括在反应腔外的远程统中产生反应式氧原子(步骤204)。例如,在一远程高密度等离子体产生器中,供以4000至6000瓦特(例如,5500瓦特)的射频功率给复合气体流好产生氧原子,该复合气体流包括氩气(其流速,例如约900至约1800sccm)与氧气(其流速,例如约600至约1200sccm)。接着将远程产生的氧原子前体导入反应腔(步骤206)。
亦将有机硅前体导入反应腔(步骤208)。藉由将有机硅化合物(气体或液体)与载气(例如,氦或氢分子)混合而将此类前体导入沉积腔。举例来说,以约600至约2400sccm的流速让氦通过室温为液态的有机硅前体(例如,八甲基环四硅氧「OMCTS」)而冒出,以提供流速约800至约1600mgm的八甲基环四硅氧流给腔室。
腔室中,氧原子与硅前体彼此互相反应以形成最初的氧化物层于基板上(步骤210)。氧化物层沉积过程中腔室内的整体压力为,例如约0.5托尔(Torr)至约6托尔。较高的整体压力(例如,1.3托尔)将沉积更具流动特性的氧化物层,而较低的整体压力(例如,0.5托尔)将沉积更高程度的同形(conformal)氧化物层。由于氧原子为高度反应物质,所以反应腔内的沉积温度则相当地低(例如,约100℃或更低)。氧化物沉积速率在每分钟约
Figure GSB00000221570200081
至约
Figure GSB00000221570200082
范围之间(例如,每分钟)。该层的厚度约
Figure GSB00000221570200084
至约
Figure GSB00000221570200085
低k值氧化物膜沉积后,接着执行一热能退火处理(步骤212)。这退火处理包括将最初沉积的氧化物层温度提高到约300℃至约600℃(例如,约350℃至约400℃;约380℃等)。热能退火处理环境包括干燥氮、氦、氩等惰性气体,以及约15毫托尔至约760托尔(例如,约50托尔)的腔室压力。氧化物层经历热能退火处理约1分至约30分钟(例如,约1分钟),然后产生具有较低湿度与较高硬度(相对于最初的沉积膜层)的退火处理过的氧化物层。由于热能退火处理无法完全移除水分与硅醇,因此该层的硬度低于完全干燥且没有硅醇的氧化物的硬度。例如,热能退火处理后的膜层具有约0.5Gpa或更低的硬度(例如,0.1Gpa至0.5Gpa)。相同地,膜层的介电常数通常高于完全干燥的氧化物层,且具有高于4.0的热能退火处理后k值。
接着执行第二次等离子体退火处理(步骤214)于热能退火处理过的氧化物层上。藉由将晶片基板暴露在等离子体(由一或多种诸如氦或氩的惰性气体所产生)下而执行等离子体退火处理。可由诱导耦合等离子体(ICP)产生等离子体且可原位产生于反应腔内。用来产生等离子体的射频功率约为1000瓦特至约9600瓦特(例如,约1800瓦特),而腔室内的等离子体压力约为2毫托尔至约50毫托尔(例如,约20毫托尔)。等离子体退火处理过程中,将晶片由约350℃加热至约400℃(例如,约380℃),而将该氧化物层暴露在等离子体下约1至约10分钟(例如,约3分钟)。等离子体退火处理后,该层的水分与硅醇浓度实质上接近零。该层的硬度实质上相同于无水分与硅醇的低k值氧化硅(例如,约1.2Gpa)。该层亦具有低于2∶1的湿式蚀刻速率比值(例如,约1.8∶1至约1.4∶1)。相同地,该层的k值可能低于3.0。在一金属化基板的热预算温度下(例如,约600℃),退火处理过的氧化物层亦一致且稳定的。
图3显示根据本发明实施例的介电质沉积与紫外光/等离子体退火处理方法300中所选步骤的流程图。相似于方法200,方法300包括提供基板给沉积腔(步骤302),且产生氧原子前体(步骤304),且将其导入该沉积腔中(步骤306)。亦将硅前体(例如,有机硅化合物)导入该腔室(步骤308),且与该氧原子前体反应以形成最初氧化物层(步骤310)。此最初沉积后,接着执行两步式退火处理以由该氧化物层中移除水分与硅醇基。
第一退火处理步骤包括将最初沉积的氧化硅层暴露在紫外光下(步骤312)。紫外光可藉由移除水分与断裂硅醇(即,Si-OH)键结而提高该膜层的硬度与降低该膜层的k值。例如,硅醇键结吸收约200纳米的紫外光辐射,而该辐射将该硅醇转换成氧化硅与水蒸气。
可由一或多个照耀基板的紫外光源提供紫外光。这些紫外光源包括一紫外光灯泡,其散发广谱波长(包括非紫外光波长)的光,而其具有位在紫外光波长上(例如,220纳米)的波峰强度。紫外光灯泡的实例包括氙气灯(散发波长的波峰为172纳米)、水银灯(波峰在243纳米)、氘灯(波峰在140纳米)与氯化氪(KrCl2)灯(波峰在222纳米)等类型的紫外光灯泡。额外的紫外光源包括提供连贯窄频的紫外光给氧化物层的雷射。雷射光源包括准分子雷射(例如,氯化氙「XeCl」、氟化氪「KrF」、氟「F2」等准分子雷射)与/或适当的固态雷射(例如,掺钕钇铝石榴石雷射「Nd-YAG lasers」)的谐波。紫外光源亦包括二极管紫外光源。
滤光器与/或单色计可用来缩小到达氧化物层的光线的波长范围。例如,滤光器可阻挡低于170纳米波长的光线好避免紫外光退火处理移除该层中的碳。
将氧化物层暴露在紫外光源下约10秒至60分钟。典型的暴露时间约为1分钟至10分钟(例如,约2分钟至约5分钟)。紫外光退火处理步骤过程中氧化物层的温度约为25℃至900℃。在氧化物层处于含有氦、氩、氮气、氧化亚氮、氨、臭氧、水或上述的混合物的空气中时,执行紫外光暴露。紫外光暴露过程中腔室内的空气压力介于约1托尔至600托尔之间。
紫外光退火处理后,接着执行等离子体退火处理于该氧化物层上(步骤314)。此第二次退火处理移除实质上所有残存的水与硅醇基,以提供高品质(例如,1.2Gpa的硬度)、低k值(例如,约3.0或更小的k值)的氧化硅层。相似于方法200中的等离子体退火处理步骤214,藉由将该晶片基板暴露在等离子体下(由一或多种诸如氦或氩的惰性气体所产生)而执行等离子体退火处理步骤314。可藉由诱导耦合等离子体(ICP)产生等离子体且可原位产生于反应腔内。等离子体退火处理过程中,将晶片由约350℃加热至约400℃,且将该氧化物层暴露在等离子体下约1至10分钟。退火处理后该层中的水分与硅醇浓度实质上接近零。
现在参照图4,显示一根据本发明实施例的多层式介电质沉积与热能/等离子体退火处理400中所选步骤的流程图。方法400包括提供基板给沉积腔(步骤402),且沉积第一介电层于该基板上(步骤404)。介电层可以为藉由氧原子与有机硅前体反应形成的氧化硅层。藉由高密度等离子体解离含氧气体(例如,氧气)远程产生该氧原子前体。第一氧化物层的厚度约为
Figure GSB00000221570200101
Figure GSB00000221570200102
之间(例如,约
Figure GSB00000221570200103
至约)。
沉积后,接着于两步式退火工艺中退火处理第一介电层。第一退火步骤406包括紫外光或热能退火处理以提高该层的硬度。接着,执行第二退火处理步骤408以进一步由该层中移除水分与硅醇键结。这可藉由将该介电层暴露在惰性等离子体的等离子体退火处理下来达成。在退火处理两步骤过程中的介电层温度维持在约300℃至约600℃之间(例如,约350℃至约400℃)。第一介电层的第一次与第二次退火处理会持续约30秒至约10分钟。
接着形成第二介电层(步骤410)于该基板上(现具有第一介电层)。可由相同于第一介电层的前体(例如,氧原子与有机硅前体)形成第二介电层。亦以大约相同于第一层的厚度形成第二介电层(例如,约
Figure GSB00000221570200111
至约)。
沉积后,接着于两步式退火工艺中退火处理第二介电层。第一退火步骤412包括紫外光或热能退火处理以提高该层的硬度并减少该膜层中的水分与硅醇量。接着,执行第二退火处理步骤414以进一步由该层中移除水分与硅醇键结。这可藉由将该介电层暴露在惰性等离子体的等离子体退火处理下达成。在退火处理两步骤过程中的介电层温度维持在约300℃至约600℃之间(例如,约350℃至约400℃)。第二介电层的第一次与第二次退火处理会持续约30秒至约10分钟。
会重复许多次地(未显示)执行介电沉积与两阶段退火处理循环,直到形成该介电材质至所欲的厚度。例如,假设每个介电层的厚度为而所欲的整体薄膜厚度为1.2μm,那么需要执行12次沉积与退火处理循环。各个沉积层的厚度可藉由调控影响氧化物沉积速率的参数来设定,该参数包括诸如反应前体的类型与流速、沉积腔中的整体压力以及温度等参数。如上述提到,典型的氧化物层沉积速率每分钟约
Figure GSB00000221570200114
至约
Figure GSB00000221570200115
(例如,约每分钟
Figure GSB00000221570200116
)。
示范性沉积与退火处理系统
执行本发明实施例的沉积系统包括高密度等离子体化学气相沉积(high-density plasma chemical vapor deposition,HDP-CVD)系统、等离子体辅助化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)系统、次大气压化学气相沉积(sub-atmospheric chemical vapor deposition,SACVD)系统与热能化学气相沉积系统等类型的系统。执行本发明实施例的化学气相沉积系统的特定实例包括CENTURA ULTIMATM高密度等离子体化学气相沉积腔/系统与PRODUCERTM等离子体辅助化学气相沉积腔/系统(Applied Materials,Inc.,Santa Clara,California)。
一个适当的沉积与退火处理系统(其中可修改用来应用依照本发明的实施例)显示与描述于共同让渡的美国专利公开案第US2005/0250340(美国专利申请案第10/841,582号)中(由Chen等人于2004年5月7日提申),其在此以参考方式并入本文中。
现在参照图5A,高密度等离子体化学气相沉积(HDP-CVD)系统510,其中根据本发明的一膜层会沉积于硝酸铝构件(例如,喷嘴、挡板等)上。系统510包括一腔室513、一真空系统570、一等离子体源系统580A、一偏压等离子体系统580B、一气体输送系统533与一远程等离子体清洁系统550。
腔室513的较高部分包括一圆盖514,其由陶质介电材质(例如,氮化铝)所制成。圆盖514界定一等离子体处理区516的上部界线。等离子体处理区516其底部的边界在基板517与基板支撑构件518的上表面。
加热板523与冷却板524装设在圆盖514上端且与其热能耦接。加热板523与冷却板524可调控圆盖温度至约100℃至约200℃范围上下10℃之间。这让圆盖达到最有效的温度好应付不同处理。例如,乐见将清洁或蚀刻处理的圆盖温度维持高于沉积处理时的温度。圆盖温度的准确调控亦减少腔室内成片剥落或粒子数目,且改善沉积层与基板之间的黏着性。
腔室513较低的部分包括一腔体构件522(其连结腔室与真空系统)。基板支撑构件518的底座部分521固定在腔体构件522上,并与腔体构件522形成一连续性内表面。机械片(未显示)经由腔室513侧边的插入/移出开口(未显示)将基板传入与传出腔室513。在马达(未显示)的调控下升高然后降下举升销(亦未显示),好将基板从机械片(在较高的负载位置557)上移至较低的处理位置556,其中该基板被置于基板支撑构件518的基板接收部分519。基板接收部分519包括一静电块520,其在基板处理过程中,将基板固定于基板支撑构件518上。一实施例中,基板支撑构件518由铝陶瓷复合(例如,氮化铝)材质所构成。
真空系统570包括节流阀体(throttle body)525,其内含双叶式节流阀526,且该节流阀体连结于闸阀(gate valve)527与涡轮分子泵浦(turbo-molecular pump)528。应当注意的是节流阀体525提供气流极小的阻碍,且能够对称式抽吸,如同描述于共同让渡的美国专利申请案第08/574,839号(1995年12月12日提伸),其在此以参考方式并入本文中。闸阀527可分隔泵浦528与节流阀体525,当节流阀526完全打开时,闸阀527亦可藉由限制排出流动的能力来调控腔室压力。节流阀体、闸阀与涡轮分子泵浦的配置可精确与稳定地调控腔室压力介于约1毫托尔至约2托尔之间。
等离子体源系统580A包括装设于圆盖514上的顶线圈(top coil)529与侧线圈(side coin)530。对称式接地屛蔽(ground shield)(未显示)减少这些线圈之间的电耦合。顶线圈529藉由顶端的射频源(SRF)产生器531A供以动力,而侧线圈530藉由侧端的射频源(SRF)产生器531B供以动力,让各个线圈具有独立功率量与操作频率。这种双重式线圈系统可调控腔室513内的放射离子密度,因而改善等离子体的均一性。一般来说侧线圈530与顶线圈529感应式驱动,并不需要相应电极(complimentary electrode)。一实施例中,顶端的射频源产生器531A在名义上2MHz下提供高达10,000瓦特的射频功率,而侧端的射频源产生器531B在名义上2MHz下提供高达10,000瓦特的射频功率。由名义上的执行频率(例如,分别高达1.7-1.9MHz与1.9-2.1MHz)抵销顶端与侧端射频产生器的操作频率以改善等离子体产生效率。
偏压等离子体系统580B包括一射频偏压(BRF)产生器531C与一偏压匹配网络532C。偏压等离子体系统580B电容式耦接基板部分517与腔体构件522,其作为一相应电极。偏压等离子体系统580B适合用来增进传送等离子体源系统580A产生的等离子体物质(例如,粒子)至基板表面。一特定实施例中,射频偏压产生器在13.56MHz下提供高达5,000瓦特的射频功率。
射频产生器531A与531B包括数字调控式合成器,且运转约1.8至约2.1MHz的频率振幅。各个产生器包括一射频调控电路(未显示),该电路测量由腔室与线圈反射至产生器的功率,且调整运转频率以得到最少的反射功率(熟悉技术人士可以理解)。一般将射频产生器设计成可运转于50欧姆阻抗的负载中。具有与产生器不同阻抗的负载会反射射频功率。这会降低送至负载的功率。此外,由负载反射给产生器的功率会过度负载且损害该产生器。由于等离子体的阻抗范围可小于5欧姆以及大于900欧姆(取决于等离子体离子密度等因素),且由于反射的功率为频率的一种函数,因此根据反射的功率而调整产生器频率以提高射频产生器传送给等离子体的功率且保护该产生器。另一减少反射功率与改善效率的方式为利用匹配网络(matching network)。
匹配网络532A与532B将产生器531A与531B的输出阻抗匹配于各自的线圈529与530。射频调控电路藉由改变匹配网络内电容器的数值来协调两个匹配网络,好随着负载变化将产生器匹配于负载。当负载反射回产生器的功率超过某一限度时,射频调控电路会调整匹配网络。一个提供固定匹配且有效让射频调控电路不再协调匹配网络的方式为,将反射功率的限度设定成高于任何预期的反射功率数值。这有助在某些情况下稳定等离子体(藉由维持匹配网络固定于其最近的状态)。
其它方法亦有助于稳定等离子体。例如,射频调控电路可用来测定传送给负载(等离子体)的功率,且可提高或降低产生器输出功率以在一膜层沉积过程中维持实质上不变的传送功率。
气体输送系统533由许多来源提供气体,来源包括经由气体输送管线538(仅显示某一部分)处理基板的534A-534F腔室。如熟悉技术人士可理解般,534A-534F来源实际上所用的来源与实际上的连接(输送管线538至腔室513)随着执行于腔室513内的沉积与清洁处理而有所改变。气体经由气体环537与/或顶喷嘴545导入腔室513。气体环537与/或顶喷嘴545可由氮化铝构成。图5B腔室513的一简化、部份横剖面图式,其显示更详细的气体环537。
一实施例中,第一与第二气体源(534A与534B)与第一与第二气体流量控制器(535A’与535B’)经由气体输送管线538(仅显示某一部分)提供气体给气体环537中的环状空间536。气体环537具有多个提供一致气体流于基板上的气体喷嘴539(仅显示一个以便描述)。可改变喷嘴长度与喷嘴角度以符合特定处理(个别腔室内)的外观一致性与气体利用效率。一实施例中,气体环537具有112个由氮化铝构成的气体喷嘴539。
气体环537亦具有多个气体喷嘴540(仅显示其中之一),这些喷嘴与来源气体喷嘴539位于同一平面但比较短,而一实施例中,气体喷嘴540接收来自主体空间(body plenum)541的气体。气体喷嘴540可由氮化铝构成。气体注入腔室513前不欲混合气体的某些实施例中,气体喷嘴539与540彼此不流动地耦接。其它实施例中,藉由提供主体空间541与气体环状空间536之间的隙缝(未显示),可在气体注入腔室513前混合气体。一实施例中,第三与第四气体源(534C与534D)与第三与第四气体流量控制器(535C与535D’)可经由气体输送管线538提供气体给主体空间。例如543B(未显示其它阀)的附加阀可关断由流量控制器至腔室的气体。
在使用易燃、有毒或腐蚀性气体的实施例中,乐见沉积后排除留在气体输送管线内的气体。这可利用三通阀(例如543B阀)来完成,例如,隔离腔室513与输送管线538A以及排出输送管线538A至真空前置管线544。如图5A所示,其它相似阀(诸如,543A与543C)可并入其它气体输送管线。尽可能将上述的三通阀接近腔室513而设置以减少输送管线未排出气体的容积(三通阀与腔室之间)。再者,可将两通(开-关)阀(未显示)置于质量流量控制器(MFC)与腔室之间,或气体源与质量流量控制器之间。
再度参照图5A,腔室513亦具有顶喷嘴545(由氮化铝所构成)与顶气口(top vent)546。顶喷嘴545与顶气口546可独立调控气体的上方与侧边流动,这改善薄膜的一致性且可微调薄膜的沉积与掺杂参数。顶气口546为一环绕顶喷嘴545的环型开口。一实施例中,第一气体源534A提供来源气体喷嘴539与顶喷嘴545。来源喷嘴的质量流量控制器535A’调控送至来源气体喷嘴539的气体量,而顶喷嘴的质量流量控制器535A调控送至顶气体喷嘴545的气体量。同样地,两个质量流量控制器535B与535B’可用来调控单一氧气源(例如,来源534B)进入顶气口546与氧化剂气体喷嘴540的氧气流动。供应给顶喷嘴545与顶气口546的气体在流入腔室513前可保持分离,或在流入腔室513前于顶空间(top plenum)548中混合。可用不同来源的相同气体来供应腔室的不同部分。
提供远程微波产生式等离子体清洁系统550以周期性由腔室零件上清除沉积残余物。清洁系统包括远程微波产生器551,该系统在反应腔553内以清洁气体源534E(诸如,氟分子、三氟化氮、其它碳氟化合物或均等物)的气体产生等离子体。此类等离子体所产生的活性物质经由涂抹管(applicator tube)555、清洁气体进给端口554运送至腔室513。用来容纳清洁等离子体的材质(例如,反应腔553与涂抹管555)需可抵抗等离子体的侵害。实用上,反应腔553与进给端口554之间的距离越短越好,因为所欲的等离子体物质浓度会随着离开反应腔553的距离而减少。在远程腔室内产生清洁等离子体可运用有效的微波产生器,且不会使腔室零件遭受存在于等离子体产生地点的温度、辐射或辉光放电(glow discharge)的粒子冲击。因此,相对敏感性零件(例如,静电块520)便不须以挡片晶片覆盖或其它保护,但若是原位等离子体清洁处理则需要这些保护。
系统调控器560控制系统510的运作。调控器560包括一内存562,诸如硬盘、软盘(未显示)与一耦接于处理器561的卡架(card rack)(未显示)。卡架包括单板机(single-borad computer,SBC)(未显示)、模拟与数字输入/输出板(未显示)、接口板(未显示)与步进式电动控制板(stepper motor controller boards)(未显示)。系统调控器符合欧洲插卡式模块(Versa Modular European,VME)标准,该标准界定电路板、卡槽(card cage)与连接器的尺寸与类型。VME标准亦界定总线结构为16位数据总线与24位地址总线。在储存于硬盘上的计算机程序或经由其它计算机程序(例如,储存于卸除式磁盘中的程序)的调控下运转统调控器531。计算机程序制定下列参数:诸如时间、混合的气体、射频功率强度与特定处理的其它参数。如图5C所示,使用者与系统调控器之间的接口经由显示器(例如阴极射线管「CRT」565)与光笔566。
图5C一用于连接图5A示范性化学气相沉积处理腔的示范性系统使用者接口的部分图标。系统调控器560包括一耦接于计算机可读式内存562的处理器561。内存562最好为硬盘,但内存562可为其它类型的内存,诸如只读存储器(ROM)、可编程程序只读存储器(PROM)等等。
在储存于内存562内的计算机可读式形式的计算机程序563调控下运转系统调控器560。计算机程序制定下列参数:时间、温度、气体流、射频功率强度与特定处理的其它参数。使用者与系统调控器之间的接口经由阴极射线管显示器(CRT monitor)565与光笔566(图5C所示)。可运用两个显示器(565与565A)与两支光笔(566与566A),其中一个(565)镶嵌于清洁室壁(操作者用),而另一个(565A)位在该壁后面(设备技术员用)。两个显示器可同时显示相同的信息,但仅可用一只光笔(例如,566)。为了选择特定的屏幕区域或功能,操作者触碰显示器屏幕上选定的区域,然后按下光笔上的按钮(未显示)。举例来说,触碰的区域藉由改变其强调颜色或显示一个新的选单以证实其被光笔所挑选。
可用任何已知的计算机可读式程序语言来编写计算机程序编码:例如,68000汇编语言(assembly language)、C、C++、Pascal、Fortran或其它。利用已知的文件编辑器将适当的程序编码输入成单一档案或多个档案,且存于或具现于计算机可用式媒体(computer usable medium),例如计算机的内存系统。假若输入的编码文件为高级语言,那么编译该编码,然后合成的编译码接着链接(linked)于预先编译的Microsoft Window
Figure GSB00000221570200161
程序库程序(library routines)的目的码(object code)。为了执行链接、已编译的目的码,系统使用者希望目的码引发计算机系统读取内存中的编码。中央处理器(CPU)接着读取与执行编码好完成程序中识别的工作。
图5D显示计算机程序580递阶控制结构的一描述性方块图标。使用者藉由利用光笔接口响应阴极射线管显示器上显示的选单或屏幕,而将处理设定序号与处理腔室编号输入处理选择子程序(process selector subroutine)582中。处理设定为能够实施特定处理的预定处理参数,且可被预先界定的处理设定序号所辨认。处理选择子程序582确认(i)多腔室系统中所欲的处理腔,以及(ii)足以操作处理腔执行所欲处理的所欲处理参数设定。执行特定处理的处理参数关于下述状态:处理气体组成与流速、温度、压力、等离子体环境(例如,射频功率强度)以及腔盖温度,且以处方方式提供给使用者。利用光笔/阴极射线管显示器接口输入处方指定的参数。
系统调控器560的模拟与数字输入板提供监测处理的信号,而系统调控器560的模拟与数字输出板输出调控处理的信号。
处理排序子程序(process sequencer subroutine)584包括接收来自处理选择子程序582所识别的处理腔室与处理参数设定的程序编码,以及调控不同处理腔运转的程序编码。多个使用者可输入处理设定序号与处理腔室编号,或单一使用者可输入多个处理设定序号与处理腔室编号;排序子程序584以所欲的顺序中安排所选的处理。排序子程序584最好包括可执行下列步骤的程序编码:(i)监测处理腔的运转以测定腔室是否正在使用,(ii)测定正在使用的腔室中执行哪种处理,以及(iii)基于处理腔室的可得性与即将实施的处理类型执行所欲的处理。可运用已知监测处理腔的方法,例如轮询(polling)。在安排执行哪个处理时,可将排序子程序584设计用来考虑「各个特定使用者年纪-输入要求」或正在使用的处理腔当前状况与选择所欲处理状况的比较;或任何其它的重大因子,其为系统程序设计师想要包含在内用以测定排序优先性。
排序子程序584决定接下来执行哪个处理腔与处理设定组合后,排序子程序584藉由传递特定处理设定参数给腔室管理子程序586A-C而开启处理设定的执行,而该腔室管理子程序根据由排序子程序584送来的处理设定调控腔室513中的多个处理工作以及可能调控其它腔室(未显示)。
腔室组件子程序(chamber component subroutines)的实例为基板定位子程序588、处理气体调控子程序590、压力调控子程序592与等离子体调控子程序594。那些熟悉技术的人士可理解其可根据哪种处理被挑选用在腔室513内执行来包括其它腔室调控子程序。实施中,腔室管理子程序586B依照将被执行的特定处理设定选择性地安排或呼唤处理组件子程序。腔室管理子程序586B安排处理组件子程序的方式相同于排序子程序584安排处理腔室与执行的处理设定的方式。一般而言,腔室管理子程序586B包括以下步骤:监测多个腔室组件,基于即将执行的处理设定的处理参数决定哪个组件需要运转,以及响应监测与决定步骤引发腔室组件子程序的执行。
现将参照图5A与5D描述特定腔室组件子程序的运转。基板定位子程序588包括调控腔室组件的程序编码,该腔室组件被用来负载基板至基板支撑构件518上。基板定位子程序588亦(在其它处理已经完成后)调控一基板由例如,等离子体辅助化学气相沉积反应器或多腔室系统中其它反应器,传送至腔室513。
处理气体调控子程序590具有调控处理气体组成与流速的程序编码。子程序590调控安全性闭锁阀(shut-off valve)的开启/关闭位置,以及上升/下降(ramp up/ramp down)质量流量调控器以获得所欲的气体流速。所有腔室组件子程序(包括处理气体调控子程序590)均可由腔室管理子程序586B引发。子程序590由腔室管理子程序586B接收有关所欲气体流速的处理参数。
一般而言,处理气体调控子程序590打开气体供应管线,且若需要可重复下述步骤,(i)读取必备的质量流量调控器,(ii)比较读取值与由腔室管理子程序586B所接收的所欲流速,以及(iii)调整气体供应管线流速。再者,处理气体调控子程序590可包括下列步骤,监测不安全速度的气体流速,当监测到不安全状况时活化安全性闭锁阀。
某些处理中,惰性气体(例如,氩)流入腔室513以稳定腔室内的压力直到导入活性处理气体。针对这些处理,设计处理气体调控子程序590包括下述步骤,将惰性气体流入腔室513一段时间(稳定腔室内压力所需)。而接着实施上述的步骤。
此外,当处理气体由液态前体(诸如,四乙基硅酸盐、八甲基环四硅氧等)蒸发而来,处理气体调控子程序590会包括下列步骤:将运送气体(例如,氦)冒泡穿过起泡配件中的液态前体,或将氦导入液体注射阀。针对这类型的处理,处理气体调控子程序590调节运送气体的流动、起泡器内的压力以及起泡器温度以得到所欲的处理气体流速。如上所述,所欲的处理气体流速被送至处理气体调控子程序590作为处理参数。
再者,处理气体调控子程序590包括下列步骤:藉由存取含有特定处理气体流速所必须的数值的储存表格,而获得所欲处理气体流速必需的运送气体流速、起泡器压力以及起泡器温度。一但获得必需的数值,侦测运送气体流速、起泡器压力与起泡器温度并与必需的数值作比较好依此调整。
处理气体调控子程序590亦藉由一独立式氦调控(independent helium control,IHC)子程序(未显示)通过晶片块内的内部与外部信道,调控热能传输气体(例如,氦)的流动。气体流将基板热耦接于晶片块上。一典型处理中,晶片受到等离子体与形成膜层的化学反应的加热,而氦通过晶片块(水冷式)冷却基板。这使基板低于会伤害已经存在于基板上的特征的温度。
压力调控子程序592包括藉由调节腔室排出部分的节流阀526的开口大小而调控腔室内压力的程序编码。至少有两种以节流阀调控腔室的基本方法。第一种方法依靠描绘与腔室压力相关的总处理气体流、处理腔大小与泵浦能力等等。第一种方法将节流阀526固定在一位置上。将节流阀52安装至一固定位置最终造成稳定态压力。
再者,可测得腔室压力(例如,以一压力计)且可根据压力调控子程序592调整节流阀526的位置,先决条件为调控点位在气体流动与排出能力界限之内。前者的方法会导致腔室压力快速的改变,而与后者的方法相关的测量、比较与计算则不会引发腔室压力快速的改变。在不需精确调控腔室压力下乐见使用前者的方法,但在预期一准确、可重复性以及稳定压力下(例如,薄层沉积过程中)乐见使用后者的方法。
当唤起压力调控子程序592时,所欲、或目标的压力程度以参数方式由腔室管理子程序586B所接收。压力调控子程序592藉由读取一或多个连结到腔室的已知压力计来测量腔室内压力;比较所测得的数值与目标压力值;由相对目标压力的储存压力表获得比例、整体与差别(proportional,intergral and differential,PID)数值,且根据由压力表获得的PID值调整节流阀526。再者,压力调控子程序592会打开或关上节流阀526至一特定开启大小好调节腔室内的压力至一所欲的压力或压力范围。
等离子体调控子程序594包括调控射频产生器531A与531B频率与功率输出设定的程序编码,以及协调匹配网状系统532A与532B的程序编码。等离子体调控子程序594如同之前描述的腔室组件子程序一般,由腔室管理子程序586B所引发。
已经描述许多实施例,熟悉技术的人士可以理解,其可在不悖离本发明的精神下使用许多修改物、替换构造与均等物。再者,并未描述许多知名的处理方式与组件好避免对本发明造成不必要的混淆。因此,上述内容不应被视为本发明范围的限制性。
此处所提供的数值范围,可以理解各个介于范围较高与较低限制值之间的中间值(除非文中另有明确指出,否则到较低限制值的单位的十分之一)亦明确地被揭示。任何所述数值之间的各个较小范围;或所述范围与任何其它所述数值的中间值;或所述范围的中间值均包含在其中。这些较小范围的较高与较低限制值可单独地被包括在范围内或排除在范围外,且各个范围的限制值(任一、两者皆无、两者皆有)被包含在较小范围亦包含在本发明中,其属于所述范围内任何特别排除在外的限制值。所述范围包括一或两个限制值,亦包括排除任一或两者限制值的范围。
此处与附加的权利要求书中所用的单数形式「一(a)」、「一(an)」与「该」包括多个所指对象,除非文中另有明确指出。因此,举例来说,提到「一处理」包括多个此类处理,而提到「该前体」包括所指的一或多个前体与熟悉技术人士所知的均等物等等。
同样地,应用于本说明书与接下来的权利要求书的词汇「包括」、「包含」,其用来明确指明所述的特征、整体、成分或步骤的存在,但并不排除一或多个其它特征、整体、成分、步骤、行动或群组的存在或附加。

Claims (32)

1.一种制造一个氧化硅层于一基板上的方法,该方法至少包含:
在一反应腔内形成该氧化硅层于该基板上,而此过程藉由使一氧原子与一硅前体反应并沉积反应产物于该基板上,其中该氧原子产生于该反应腔外;以及
在一600℃或更低的温度下加热该氧化硅层,然后将该氧化硅层暴露在一感应耦合等离子体(induced coupled plasma)下。
2.如权利要求1的方法,其中该氧化硅层被加热至一300℃至600℃之间的温度。
3.如权利要求1的方法,其中该氧化硅层被加热至380℃。
4.如权利要求1的方法,其中该氧化硅层被加热1分钟至30分钟。
5.如权利要求1的方法,其中该氧化硅层被加热1分钟。
6.如权利要求1的方法,其中该氧化硅层于该反应腔内一15毫托尔至760托尔的一氮气压力下被加热。
7.如权利要求6的方法,其中该压力为50托尔。
8.如权利要求1的方法,其中该等离子体包含一氦或氩前体。
9.如权利要求1的方法,其中在将该氧化硅层暴露在该等离子体下的过程中,其具有一300℃至600℃之间的温度。
10.如权利要求9的方法,其中在暴露在等离子体下的过程中,所述氧化硅层具有380℃的温度。
11.如权利要求1的方法,其中一在1000瓦特至9600瓦特的一功率强度下运转的射频功率源用来产生该等离子体。
12.如权利要求11的方法,其中该功率强度为1800瓦特。
13.如权利要求1的方法,其中该反应腔在暴露该氧化硅层给该等离子体过程中具有一2毫托尔至50毫托尔的压力。
14.如权利要求13的方法,其中在暴露该氧化硅层给该等离子体过程中该反应腔具有20毫托尔的压力。
15.如权利要求1的方法,其中将该氧化硅层暴露在该等离子体下1分钟至10分钟。
16.如权利要求15的方法,其中将该氧化硅层暴露在该等离子体下3分钟。
17.如权利要求1的方法,其中将该氧化硅层暴露在该感应耦合等离子体前,以600℃或以下的温度加热该氧化硅层1分钟至30分钟。
18.一种形成一个氧化硅层于一基板上的方法,该方法至少包含:
在一反应腔内形成该氧化硅层于该基板上,而此过程藉由使一氧原子与一硅前体反应并沉积反应产物于该基板上,其中该氧原子产生于该反应腔外;以及
将该氧化硅层暴露在紫外光下,然后将该氧化硅层暴露在一感应耦合等离子体下。
19.如权利要求18的方法,其中在将该氧化硅层暴露在该紫外光下的过程中,该氧化硅层具有一25℃至900℃之间的温度。
20.如权利要求18的方法,其中将该氧化硅层暴露在该紫外光下的过程中,该氧化硅层具有一300℃至600℃之间的温度。
21.如权利要求18的方法,其中该紫外光在220纳米波长处具有一峰值强度。
22.如权利要求18的方法,其中将该氧化硅层暴露在该紫外光下10秒至60分钟。
23.如权利要求18的方法,其中将该氧化硅层暴露在该紫外光下30分钟。
24.如权利要求18的方法,其中该氧化硅层在一气氛中暴露于该紫外光下,该气氛包括氦、氩、氮、氧化亚氮、氨、臭氧或水。
25.如权利要求24的方法,其中该反应腔内该空气的压力为1托尔至600托尔。
26.一种沉积与退火处理一晶片基板上的一个氧化硅层的方法,该方法至少包含:
提供该晶片基板给一高密度等离子体化学气相沉积(HDP-CVD)处理腔,氧化硅层的沉积即于该HDP-CVD处理腔中进行;
在该高密度等离子体化学气相沉积处理腔外提供一远程等离子体产生装置,其中该远程等离子体产生装置用来产生供应给该高密度等离子体化学气相沉积处理腔的一氧原子;
供应一硅前体给该高密度等离子体化学气相沉积处理腔,其中该硅前体与该氧原子进行反应以形成该氧化硅层于该晶片上;
执行一第一退火处理于该沉积的氧化硅层上,其中该第一退火处理包括将该层加热至一300℃至600℃的温度1分钟至30分钟;以及
执行一第二退火处理于该沉积的氧化硅层上,其中该第二退火处理包括将该层暴露在一高密度氩等离子体下1分钟至10分钟。
27.如权利要求26的方法,其中执行该第一退火处理与第二退火处理4分钟至10分钟。
28.如权利要求26的方法,其中执行该第一退火处理1分钟而执行该第二退火处理3分钟。
29.如权利要求26的方法,其中在380℃下执行该第一退火处理与第二退火处理。
30.如权利要求26的方法,其中该硅前体选自下列物质所构成的群组中:八甲基环四硅氧(octamethylcyclotetrasiloxane,OMCTS)、四甲基硅酸盐(tetramethylorthosilicate,TMOS)及上述的混合物。
31.如权利要求26的方法,其中该氧原子在该远程等离子体产生装置中利用等离子体解离氧分子而产生。
32.如权利要求26的方法,其中该晶片基板在该氧化硅层形成过程中维持在一30℃至75℃的温度下。
CN2007800200538A 2006-05-30 2007-05-29 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法 Active CN101454886B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80348906P 2006-05-30 2006-05-30
US60/803,489 2006-05-30
US11/753,918 US7790634B2 (en) 2006-05-30 2007-05-25 Method for depositing and curing low-k films for gapfill and conformal film applications
US11/753,918 2007-05-25
PCT/US2007/069897 WO2007140376A2 (en) 2006-05-30 2007-05-29 A method for depositing and curing low-k films for gapfill and conformal film applications

Publications (2)

Publication Number Publication Date
CN101454886A CN101454886A (zh) 2009-06-10
CN101454886B true CN101454886B (zh) 2011-02-02

Family

ID=38779412

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800200538A Active CN101454886B (zh) 2006-05-30 2007-05-29 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法

Country Status (7)

Country Link
US (1) US7790634B2 (zh)
EP (1) EP2033214A4 (zh)
JP (1) JP5401309B2 (zh)
KR (1) KR101046968B1 (zh)
CN (1) CN101454886B (zh)
TW (1) TWI355690B (zh)
WO (1) WO2007140376A2 (zh)

Families Citing this family (557)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8391025B2 (en) * 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) * 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7939421B2 (en) * 2009-07-08 2011-05-10 Nanya Technology Corp. Method for fabricating integrated circuit structures
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
TW201511122A (zh) 2009-09-25 2015-03-16 Applied Materials Inc 用於感應耦合電漿反應器中的高效率氣體解離之方法及設備
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
WO2011090626A2 (en) 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8765573B2 (en) 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9018089B2 (en) * 2011-08-30 2015-04-28 International Business Machines Corporation Multiple step anneal method and semiconductor formed by multiple step anneal
CN102417306B (zh) * 2011-09-08 2013-10-09 上海华力微电子有限公司 一种解决高磷浓度psg薄膜表面雾状颗粒的工艺方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20150291753A1 (en) 2011-10-28 2015-10-15 Toray Industries, Inc. Gas barrier film
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102820220A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
US9023737B2 (en) * 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
CN108503870B (zh) 2013-01-11 2021-04-30 东丽株式会社 阻气性膜
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN105102667A (zh) * 2013-04-04 2015-11-25 东丽株式会社 气体阻隔性膜及其制造方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR20160106751A (ko) * 2014-01-13 2016-09-12 어플라이드 머티어리얼스, 인코포레이티드 경도 및 모듈러스를 증가시키기 위한 저 k 막들의 탄소 이산화물 및 탄소 일산화물 매개성 경화
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
KR102339803B1 (ko) 2014-01-24 2021-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화제 없이 규소 및 산-함유 막들을 증착시키는 방법
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9583332B2 (en) * 2014-01-29 2017-02-28 Applied Materials, Inc. Low temperature cure modulus enhancement
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN107430991A (zh) * 2015-02-23 2017-12-01 应用材料公司 用于形成高质量薄膜的循环连续工艺
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106373881A (zh) * 2015-07-20 2017-02-01 成均馆大学校产学协力团 多晶硅沉积方法及用于该方法的沉积装置
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102578078B1 (ko) * 2017-04-27 2023-09-12 어플라이드 머티어리얼스, 인코포레이티드 3d 낸드 적용을 위한 낮은 유전율의 산화물 및 낮은 저항의 op 스택
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10026620B1 (en) * 2017-06-22 2018-07-17 National Applied Research Laboratories Method of irradiating ultraviolet light on silicon substrate surface for improving quality of native oxide layer and apparatus using the same
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117496A1 (en) * 2018-12-04 2020-06-11 Applied Materials, Inc. Cure methods for cross-linking si-hydroxyl bonds
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214732A1 (en) 2019-04-19 2020-10-22 Lam Research Corporation Rapid flush purging during atomic layer deposition
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
WO2021087132A1 (en) * 2019-10-29 2021-05-06 Lam Research Corporation Methods to enable seamless high quality gapfill
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022140346A1 (en) * 2020-12-27 2022-06-30 Applied Materials, Inc. Single precursor low-k film deposition and uv cure for advanced technology node
TWI785519B (zh) * 2021-03-05 2022-12-01 台灣積體電路製造股份有限公司 微波產生器、紫外光源、與基板處理方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230094012A1 (en) * 2021-09-15 2023-03-30 Applied Materials, Inc. Rf pulsing assisted low-k film deposition with high mechanical strength

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1527366A (zh) * 2003-03-04 2004-09-08 气体产品与化学公司 通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能
CN1537325A (zh) * 2001-07-16 2004-10-13 Msq-基多孔低-k薄膜材料的等离子体固化

Family Cites Families (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) * 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2540039A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1537325A (zh) * 2001-07-16 2004-10-13 Msq-基多孔低-k薄膜材料的等离子体固化
CN1527366A (zh) * 2003-03-04 2004-09-08 气体产品与化学公司 通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能

Also Published As

Publication number Publication date
KR20090015160A (ko) 2009-02-11
JP5401309B2 (ja) 2014-01-29
US20080026597A1 (en) 2008-01-31
EP2033214A4 (en) 2011-11-30
JP2009539265A (ja) 2009-11-12
KR101046968B1 (ko) 2011-07-06
TWI355690B (en) 2012-01-01
TW200814196A (en) 2008-03-16
WO2007140376A3 (en) 2008-01-24
CN101454886A (zh) 2009-06-10
WO2007140376A2 (en) 2007-12-06
US7790634B2 (en) 2010-09-07
EP2033214A2 (en) 2009-03-11

Similar Documents

Publication Publication Date Title
CN101454886B (zh) 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法
CN102543831B (zh) 用于半导体制造中的可流动沉积的系统和装置
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
CN101454877B (zh) 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
KR20150009959A (ko) 유동가능 필름들을 위한 개선된 조밀화
CN102668045A (zh) 不含碳自由基成分cvd膜的氧掺杂

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.