DE10150822B4 - Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche - Google Patents

Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche Download PDF

Info

Publication number
DE10150822B4
DE10150822B4 DE10150822A DE10150822A DE10150822B4 DE 10150822 B4 DE10150822 B4 DE 10150822B4 DE 10150822 A DE10150822 A DE 10150822A DE 10150822 A DE10150822 A DE 10150822A DE 10150822 B4 DE10150822 B4 DE 10150822B4
Authority
DE
Germany
Prior art keywords
copper
seconds
areas
ammonia
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10150822A
Other languages
English (en)
Other versions
DE10150822A1 (de
Inventor
Hartmut Ruelke
Joerg Hohage
Minh Van Ngo
Paul Lawrence King
Peter Huebler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10150822A priority Critical patent/DE10150822B4/de
Priority to US10/135,009 priority patent/US20030072695A1/en
Publication of DE10150822A1 publication Critical patent/DE10150822A1/de
Application granted granted Critical
Publication of DE10150822B4 publication Critical patent/DE10150822B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Verfahren zur Behandlung einer Kupferoberfläche, mit:
Bereitstellen eines Substrats mit einem oder mehreren darin gebildeten Kupfer enthaltenden Gebieten mit einer freigelegten Oberfläche mit darin gebildeten oxidierten und verfärbten Bereichen;
Bereitstellen einer gasförmigen Umgebung mit einer Mischung aus Stickstoff und Ammoniak in einem Verhältnis von Stickstoff zu Ammoniak im Bereich von mehr als 50 bis 60 zu 1; und
Etablieren einer reaktiven Plasmaumgebung durch Zuführen einer Hochfrequenzleistung zu der gasförmigen Umgebung, um die oxidierten und verfärbten Bereiche von der freigelegten Oberfläche der Kupfer enthaltenden Gebiete zu entfernen, wobei ein Druck der reaktiven Plasmaumgebung im Bereich von 530 Pa–730 Pa liegt.

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft die Herstellung integrierter Schaltungen und betrifft insbesondere einen verbesserten Prozess zur Reduzierung von Unregelmäßigkeiten auf der Oberfläche einer Metallisierungsschicht, etwa einer Kupfermetallisierungsschicht, in einem Halbleiterbauteil.
  • Der Produktionsvorgang moderner integrierter Schaltungen beinhaltet die Herstellung zahlreicher Halbleiterelemente, etwa von Feldeffekttransistoren mit isoliertem Gate, auf einem einzelnen Substrat. Die Strukturgrößen der Halbleiterelemente nehmen ständig ab, um eine erhöhte Integrationsdichte der integrierten Schaltung und eine verbesserte Leistungsfähigkeit, beispielsweise im Hinblick auf die Signalverarbeitungszeit und die Leistungsaufnahme, bereit zu stellen. Die enorme Anzahl an auf einer einzelnen Chipfläche hergestellten Halbleiterelemente reduziert jedoch den verfügbaren Platz für und damit den Querschnitt von Metallisierungsleitungen, die die einzelnen Halbleiterelemente verbinden. Als Folge davon beginnt der erhöhte elektrische Widerstand, der eine Folge der Größenreduzierung ist, die Vorteile hinsichtlich der Signalverarbeitungsfähigkeit eines Transistorelements, die durch die Größenreduzierung des Feldeffekttransistors gewonnen werden, aufzuheben, wenn ein gewisses Maß an Größenreduktion der Strukturelemente erreicht ist. Insbesondere in modernen integrierten Schaltungen mit äußerst hoher Packungsdichte begrenzt die sogenannte Verbindungsverzögerung der Metallleitungen, die in den mehreren Metallisierungsschichten gebildet sind, die erreichbare Signalverarbeitungsgeschwindigkeit aufgrund des erhöhten Widerstands und der parasitären Kapazität der kleinen Metallleitungen.
  • Der elektrische Widerstand der Metallleitungen kann verringert werden, indem Aluminium, das bevorzugt in modernen integrierten Schaltungen verwendet wird, durch ein leitendes Material mit einem geringeren spezifischen Widerstand ersetzt wird. Ein Anwärter für ein derartiges nieder-ohmiges Material für Metallisierungsschichten in integrierte Schaltungen mit hoher Packungsdichte ist Kupfer. Obwohl die Kupferverarbeitung in Halbleiterproduktionslinien äußerst schwierig ist, da bereits die geringste Kontamination von nicht am Kupferprozess beteiligten Prozessanlagen eine nachteilige Auswirkung auf die Endprodukte ausübt, ist Kupfer das bevorzugte Metallisierungsmaterial in fortgeschrittenen integrierte Schaltungen mit Strukturgrößen von 0,2 μm und darunter.
  • Die Verwendung von Kupfermetallisierungsschichten in Halbleiterelementen ruft jedoch zusätzliche Probleme hervor, etwa Oberflächenunregelmäßigkeiten in Form von Korrosion, Verfärbungen, Erhebungen und dergleichen, die durch die hohe Reaktivität der reinen Kupferoberfläche, die der Luft oder anderen reaktiven Umgebungen ausgesetzt ist, hervorgerufen werde, woraus letztlich eine unzureichende Haftung zu benachbarten Materialien resultiert, was eine verschlechterte Langzeitstabilität des Transistorelements nach sich zieht. Daher wird nach dem Polieren und Einebnen der Kupfermetallisierungsschicht mittels chemisch mechanischen Polierens (CMP) häufig eine Behandlung mit reaktivem Plasma durchgeführt, um zu versuchen, jegliches Kupferoxid, das sich auf der beim CMP-Schritt freigelegten Kupferoberfläche bildet, zu entfernen. Im Allgemeinen wird eine Deckschicht, für gewöhnlich eine Siliziumnitridschicht oder Siliziumoxynitridschicht, anschließend auf der Plasma behandelten Kupfermetallisierungsschicht abgeschieden, um das Kupfer mittels der Deckschicht zu schützen und damit die Erzeugung von Oberflächendefekten zu vermeiden.
  • Ein typischer Prozessablauf nach dem Stand der Technik zur Behandlung einer Kupfermetallisierungsschicht vor der Ausbildung einer Deckschicht kann die folgenden Prozessschritte aufweisen. Bekanntlich werden nach dem Füllen von in einer dielektrischen Schicht gebildeten Öffnungen mit einem Barrierenmetall und Kupfer das überschüssige Barrierenmetall und das überschüssige Kupfer durch einen CMP-Schritt entfernt. Die sich aus dem CMP-Schritt ergebende Halbleiterstruktur weist Oberflächenbereiche aus Kupfer und Oberflächenbereiche aus dielektrischem Material auf, wobei das Verhältnis aus freigelegtem Kupfer zu dielektrischem Material von der Art der Metallisierungsschicht und den Entwurfsregeln für die betrachtete integrierte Schaltung abhängt. Wie zuvor erwähnt wurde, wird ein Ätzschritt mit reaktivem Plasma üblicherweise nach dem CMP-Schritt durchgeführt, um Korrosion, Verfärbungen und dergleichen, die hauptsächlich aus Kupferoxid bestehen und auf der nach dem CMP-Schritt freigelegten Kupferoberfläche gebildet sind, zu entfernen. Zur Behandlung der Kupferoberfläche wird der die freigelegte und eingeebnete Metallisierungsschicht tragende Wafer in eine Reaktionskammer eingeführt, die eine dynamische reaktive Plasmaumgebung bereit stellt. Die reaktive Plasmaumgebung ist in dem Sinne als dynamisch zu betrachten, als dass ein Speisegas kontinuierlich in die Kammer eingeleitet wird und Gase ständig abgepumpt werden, so dass sich eine konstante Durchflussrate der Speisegase bei einem konstanten Druck in der Reaktionskammer ausbildet. Zur Entfernung von Kupferoxid von der Oberfläche der Metallisierungsschicht wird typischerweise Ammoniakgas (NH3) kontinuierlich mit einer vordefinierten Durchflussrate in die Reaktionskammer für eine vordefinierte Zeitdauer eingeführt, wobei ein vordefinierter Druck in der Reaktionskammer aufrecht erhalten wird. Typische Prozessparameter können die folgenden Werte aufweisen.
  • In einem Anfangsschritt werden ungefähr 800 sccm (Standardkubikzentimeter pro Minute) Ammoniak (NH3) bei einem Kammerdruck von ungefähr 1000 Pa für ungefähr 15 Sekunden eingeleitet. Anschließend wird das Hochfrequenzfeld zur Erzeugung des Plasmas mit ungefähr 200 W für ungefähr 40 Sekunden eingeschaltet, während die Durchflussrate von Ammoniak (NH3) und der Druck in er Rektionskammer beibehalten werden. Schließlich wird für mindestens 30 Sekunden ein Pumpschritt durchgeführt, um Gasnebenprodukte, die während der Ammoniak (NH3)-Behandlung erzeugt wurden, zu entfernen. Die Dauer des Pumpschritts hängt von der Menge des Kupfers in der freigelegten Metallisierungsschicht ab. Vorzugsweise wird ein In-situ-Schritt zur Abscheidung ausgeführt, um die Deckschicht unmittelbar nach der Ammoniak (NH3)-Behandlung zu bilden. Zur Abscheidung der Deckschicht, beispielsweise einer Siliziumnitridschicht, wird zusätzlich Silangas (SiH4) in die Reaktionskammer eingeleitet. Um den Kontakt der mit Ammoniak (NH3) Plasma behandelten Kupferoberfläche mit dem Silangas zu steuern, kann ein sogenannter Hochlaufschritt angewendet werden, in dem die Durchflussrate des Silangases langsam erhöht wird. Ein typischer Prozessablauf für die Abscheidung der Siliziumnitridschicht kann die folgenden Schritte umfassen.
  • Zunächst wird ein Initialisierungsschritt für etwa 5 Sekunden mit einer Ammoniak (NH3)-Durchflussrate von etwa 260 sccm und einer Stickstoffdurchflussrate von ungefähr 8600 sccm durchgeführt. Danach wird der Hochlaufschritt für etwa 5 Sekunden mit einer Si landurchflussrate von etwa 50 sccm ausgeführt, während die Durchflussraten von Ammoniak (NH3) und Stickstoff beibehalten werden. Nach Steigern der Silandurchflussrate auf etwa 150 sccm wird die Durchflussrate für 12 bis 15 Sekunden konstant gehalten, um die Siliziumnitrid-Deckschicht abzuscheiden. Schließlich wird ein Spülschritt von ungefähr 10 Sekunden mit einer Durchflussrate von ungefähr 8600 sccm durchgeführt, und ein nachfolgender Pumpschritt von etwa 10 Sekunden beschließt den Abscheidevorgang. Entsprechend dem zuvor beschriebenen Prozess ist eine Gesamtzeit für die Behandlung der Kupferoberfläche und der Abscheidung der Siliziumnitrid-Deckschicht von etwa 140 Sekunden erforderlich, wodurch eine Siliziumnitrid-Deckschicht mit einer Dicke im Bereich von ca. 30–80 nm entsteht.
  • Trotz der zuvor genannten Prozessschritte können dennoch Unregelmäßigkeiten auf der Kupferoberfläche an der Grenzfläche zur Siliziumnitridschicht, etwa Verfärbungen, Korrosion, Kupfererhebungen und dergleichen beobachtet werden. Derartige Defekte werden hauptsächlich durch Reaktionsnebenprodukte hervorgerufen, die nach der Plasmabehandlung nicht wirksam entfernt werden können. Ein weiterer Faktor ist die unkontrollierte Oberflächenreaktion des Kupfers zu dem Zeitpunkt, wenn das Silangas anfänglich in die Reaktionskammer eingeleitet wird. Obwohl die Einführung eines Hochlaufschritts zur Einspeisung des Silangases die Anzahl der Unregelmäßigkeiten deutlich verringert hat, ist eine Verbesserung in dieser Hinsicht äußerst wünschenswert.
  • Ein weiteres Problem im Stand der Technik besteht in der relativ langen, für einen kompletten Prozesszyklus erforderlichen Zeit, die den Durchsatz merklich reduziert, da die Wafer in einer Einfach- oder Doppelprozesskammer bearbeitet werden.
  • Die internationale Patentanmeldung WO 00/29642 A1 offenbart ein Verfahren zum Entfernen von Oxiden oder anderen reduzierbaren Verunreinigungen auf einer Kupferoberfläche durch eine Plasmabehandlung. Zum Reduzieren der an der Oberfläche der Kupferstrukturen anhaftenden Oxide wird Ammoniak verwendet. Die Flussrate des Ammoniaks liegt im Bereich von 100 bis 1000 sccm. Zusätzlich können Trägergase eingesetzt werden, um den Gasfluss und die Plasmareaktion zu stabilisieren. Die Durchflussrate der Trägergase, wie z. B. Stickstoff, kann 0 bis 2000 sccm betragen.
  • Die Offenlegungsschrift DE 199 63 864 A1 offenbart eine Plasmabehandlung zum Verbessern der Haftung von anorganischen Dielektrika auf Kupfer unter Verwendung eines reduzierenden Plasmas. Das verwendete Plasma umfasst zumindest ein nicht oxidierendes Gas, z. B. H2, N2, NH3, Edelgase oder Mischungen davon. H2 und NH3 werden als vorzugsweise zu verwendende Gase angegeben.
  • Die Offenlegungsschrift DE 100 59 143 A1 offenbart ein Verfahren zum Behandeln einer Kupferoberfläche und zum Abscheiden einer Deckschicht auf dieser Oberfläche. Zur Reinigung der Kupferoberfläche wird reiner Ammoniak eingesetzt. Im anschließenden Übergangsschritt wird Stickstoff eingeleitet. Im nachfolgenden Deckschichtabscheidprozess wird ein Plasma aus einer Mischung von Stickstoff und Ammoniak verwendet.
  • Angesichts der obigen Probleme besteht ein Bedarf für einen verbesserten Prozess zur Reduzierung von Oberflächenunregelmäßigkeiten und zur effizienten Bildung einer Deckschicht über einer Metallisierungsschicht.
  • Überblick über die Erfindung
  • Die Aufgabe der vorliegenden Erfindung wird durch ein Verfahren nach Anspruch 1 gelöst.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen aus der folgenden detaillierten Beschreibung näher hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1 schematisch eine Plasmabehandlungsanlage, die für das erfindungsgemäße Verfahren verwendbar ist;
  • 2a schematisch eine Querschnittsansicht eines Beispiels eines Substrats mit einem Metallgebiet, das in dem erfindungsgemäßen Verfahren verwendet wird; und
  • 2b schematisch eine Querschnittsansicht des Substrats aus 2a, wobei eine Deckschicht gemäß der vorliegenden Erfindung gebildet ist.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • Es ist anzumerken, dass, obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen, wie sie in der folgenden detaillierten Beschreibung dargestellt sind, beschrieben ist, es nicht beabsichtigt ist, die vorliegende Erfindung auf die speziellen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Allgemein beruht die vorliegende Erfindung auf der Erkenntnis der Erfinder, dass das Errichten einer reaktiven Plasmaumgebung in der Gegenwart eines reaktiven Gases, etwa von Ammoniak (NH3) und eines Spülgases, etwa Stickstoff (N2), anstelle lediglich eines reaktiven Gases die Eigenschaften der Kupferoberfläche deutlich beeinflusst, etwa die Oberflächenrauhigkeit, die Ausbildung von Erhebungen und die Anzahl oxidierter und verfärbter Bereiche. Eine anschließende Herstellung einer Silizium enthaltenden Deckschicht zeigt daher eine erforderliche Haftung zu der darunterliegenden Metallisierungsschicht mit einer minimalen Anzahl an Unregelmäßigkeiten, d.h. einer minimalen Anzahl Sauerstoff enthaltender Bereiche und eine reduzierte Ausbildung von Erhebungen, und einen erhöhten Widerstand gegen Elektromigration, so dass damit die Bauteilleistungsfähigkeit und Zuverlässigkeit deutlich verbessert wird.
  • Mit Bezug zu den 1, 2a und 2b werden anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben.
  • 1 ist eine schematische Ansicht einer Plasmabehandlungsanlage 100, die beim Ausüben der vorliegenden Erfindung verwendbar ist. Die Plasmabehandlungsanlage 100 umfasst eine Reaktionskammer 101 mit einem Elektrodenpaar 103 und einer Substrathalterung 102 zur Aufnahme eines Substrats 110, das detaillierter mit Bezug zu 2a beschrieben wird. Eine der Elektroden 103 ist elektrisch mit einer Hochfrequenzleistungsquelle 104 verbunden. Ferner umfasst die Reaktionskammer 101 eine Versorgungsleitung 105, die mittels entsprechender Ventilelemente 108 und Gasleitungen 106 mit entsprechenden Quellen 107 gasförmiger Komponenten, etwa von Ammoniak (NH3), Stickstoff (N2) und Silan (SiH4), verbunden ist. Ferner ist eine Abgasleitung 109 an der Reaktionskammer 101 vorgesehen und ist mit einer Pumpquelle (nicht gezeigt) verbunden.
  • 2a zeigt schematisch eine Querschnittsansicht des Substrats 110 mit einer Unterseitenschicht 111, die diverse Materialschichten mit darin ausgebildeten Halbleiterelementen, etwa Transistoren, Widerstände, Kapazitäten und dergleichen, aufweisen kann. Über der Unterseitenschicht 111 ist eine Isolierschicht 112 mit Öffnungen gebildet, die mit einem Metall, etwa Kupfer, zur Bildung von Metallgebieten 113 mit einer freigelegten Oberfläche 114 aufgefüllt worden sind. Es ist anzumerken, dass, obwohl die vorliegende Erfindung mit Bezug zu einem Halbleiterelement mit einer Vielzahl elektrisch aktiver Komponenten beschrieben ist, die vorliegende Erfindung auch auf eine beliebige Halbleiterstruktur mit einer freigelegten Metalloberfläche anwendbar ist, unabhängig davon, ob die freigelegte Metalloberfläche eine zusammenhängende Oberfläche, die das gesamte Substrat bedeckt, darstellt, oder ob die Metalloberfläche ein oder mehrere Metall gebiete aufweist, die voneinander mittels einer Isolierschicht, beispielsweise der Isolierschicht 112, elektrisch isoliert sind.
  • Wie im einleitenden Teil der Anmeldung beschrieben worden ist, kann ein CMP-Schritt vor dem Einführen des Substrats 110 in die Reaktionskammer 101 zur anschließenden Entfernung von Kupferoxid, das auf der Oberfläche 114 gebildet ist, ausgeführt worden sein. Gemäß einer anschaulichen Ausführungsform wird anfänglich Ammoniak mit einer Durchflussrate von etwa 260 sccm und Stickstoff mit einer Durchflussrate von ungefähr 8600 sccm in die Reaktionskammer 101 mittels der Versorgungsleitung 105 und durch Auswählen der entsprechenden Ventilelemente 108 eingeführt. Die mit der Abgasleitung 109 verbundene Pumpquelle (nicht gezeigt) wird so gesteuert, um einen Druck von ungefähr 640 Pa (4.8 Torr) in der Reaktionskammer 101 zu erzeugen. Eine Temperatur des Substrats 110 wird auf etwa 400°C durch ein Heizmittel (nicht gezeigt), das beispielsweise in der Substrathalterung 102 vorgesehen ist, gesteuert. Diese Prozessparameter werden für ungefähr 10 Sekunden beibehalten, um ein dynamisches Gleichgewicht der gasförmigen Umgebung, die das Substrat 110 umgibt, zu etablieren.
  • Als ein nächster Schritt wird die Hochfrequenzleistungsversorgung 104 eingeschaltet, um eine Hochfrequenzleistung von ungefähr 50 Watt zu der Elektrode 103 zu liefern. Das Zuführen der Hochfrequenzleistung erzeugt eine reaktive Plasmaumgebung an dem Substrat 110 und führt zu einer deutlichen Verringerung oder sogar einer vollständigen Entfernung von Kupferoxidbereichen, etwa von erodierten Bereichen und verfärbten Bereichen, von der Oberfläche 114. Die Oberflächenbehandlung des Metallgebiets 113 wird für ungefähr 15 Sekunden lang durch Bereitstellen der reaktiven Plasmaumgebung mit den obigen Parametern beibehalten. Wie anschließend erläutert wird, werden im Wesentlichen alle oxidierten und/oder verfärbten Bereiche auf der Oberfläche 114 entfernt.
  • Gemäß einer weiteren anschaulichen Ausführungsformen wird die Zeitdauer für das Erzeugen einer reaktiven Plasmaumgebung bei Vorhandensein eines reaktiven Gases, etwa von Ammoniak (NH3) und eines Spülgases, etwa von Stickstoff (N2), von etwa 2–40 Sekunden variiert, abhängig von den geforderten Eigenschaften der Oberfläche 114 der Metallgebiete 113. Das heißt, das Behandeln der Oberfläche 114, etwa einer Kupferoberfläche, mit einer reaktiven Plasmaumgebung erzeugt eine prozessinduzierte Oberflächenrauhigkeit, die von der Dauer der Oberflächenbehandlung und den speziellen Behandlungsbedingungen abhängt. Im Allgemeinen führen eine hohe HF-Leistung und/oder eine hohe Konzentration eines reaktiven Gases und/oder eine lange Behandlungsdauer zu einer hohen Oberflächenrauhigkeit oder Ausbildung von Erhebungen. Die Oberflächenqualität beeinflusst jedoch die Haftung zu einer darüberliegenden Materialschicht und beeinflusst ebenso das Maß an Elektromigration des Metalls während des Betriebs des Bauteils. Erfindungsgemäß wird das reaktive Gas Ammoniak (NH3) mit dem Spülgas Stickstoff (N2) "verdünnt", um die Oberflächendeformierung signifikant zu reduzieren, die ansonsten auftreten würde, wenn purer Ammoniak (NH3) verwendet würde, wie dies im Stand der Technik der Fall ist. Durch Variieren der Behandlungszeit, die deutlich kürzer als im Stand der Technik sein kann, und/oder der Hochfrequenzleistung und/oder des Verhältnisses zwischen Ammoniak (NH3) und Stickstoff (N2) kann ferner das Maß an Ausbildung von Erhebungen auf ein gewünschtes Maß eingestellt werden. Um die erforderliche Oberflächenqualität bei gleichzeitiger Beibehaltung einer im Wesentlichen oxidfreien Oberfläche zu erreichen, kann die Hochfrequenzleistung in einem Bereich von ungefähr 35–200 Watt variiert werden, das Verhältnis von Stickstoff (N2) zu Ammoniak (NH3) kann in einem Bereich von ungefähr 20 bis 60 variiert werden, wohingegen die Behandlungszeit zwischen 2–40 Sekunden gewählt werden kann. Durch Reduzierung der Hochfrequenzleistung und durch Wahl einer relativ kurzen Behandlungszeit kann eine Deformierung der Kupferoberfläche 114 aufgrund der reaktiven Plasmaumgebung minimiert werden, wobei dennoch eine geforderte Abtragsrate für oxidierte Bereiche auf den Oberflächen 114 sichergestellt ist.
  • Wie zuvor erläutert ist, wenn Kupfer als das Metallgebiet 113 verwendet wird, ist die Oberfläche 114 äußerst empfindlich für eine Oxidation und daher wird eine Deckschicht in einem in-situ-Prozess gebildet, um die Kupfergebiete 113 zu passivieren. Gemäß einer anschaulichen Ausführungsform wird Silan (SiH4) in die Reaktionskammer 101 mit einer Durchflussrate von ungefähr 150 sccm eingeleitet, wobei die Hochfrequenzleistung auf ungefähr 500 Watt erhöht wird. Andere Prozessparameter bleiben unverändert, d.h. die Temperatur kann im Bereich von ungefähr 350–450°C und vorzugsweise bei ungefähr 400°C liegen, der Druck in der Reaktionskammer 101 kann im Bereich von ungefähr 530–730 Pa (4.0–5.5 Torr) gewählt werden, vorzugshalber liegt er bei ungefähr 640 Pa (4.8 Torr), die NH3-Durchflussrate kann im Bereich von 150–300 sccm, und vorzugsweise bei ungefähr 260 sccm liegen, und die Durchflussrate von N2 kann im Bereich von ungefähr 7500–9500 sccm liegen.
  • Wie aus der 2b zu ersehen ist, wird nach einer Abscheidezeit von ungefähr 10–20 Sekunden und, in einer speziellen Ausführungsform nach ungefähr 14 Sekunden, eine Silizium enthaltende Deckschicht 115 über den Metallgebieten 113 mit einer Dicke von ungefähr 30–80 nm gebildet, abhängig von den speziellen Parametern, wie Abscheidezeit, Silan-Durchflussrate und Hochfrequenzleistung.
  • Anschließend wird die Hochfrequenzquelle 104 abgeschaltet und das Einleiten von Silan und Ammoniak (NH3) wird angehalten, um die Reaktionskammer 101 mit Stickstoff (N2) bei einer Durchflussrate von ungefähr 7000–9500 sccm und, in einer speziellen Ausführungsform bei einer Durchflussrate von ungefähr 8600 sccm, zu spülen. Im Hinblick auf eine reduzierte Gesamtprozesszeit kann eine Spülzeit von ungefähr 10 Sekunden gewählt werden, die ein ausreichendes Entferner reaktiver Gaskomponenten und Reaktionsnebenprodukte erlaubt. Schließlich wird ein Pumpschritt von ungefähr 10–30 Sekunden und vorzugsweise von ungefähr 15 Sekunden zum Abschließen des Abscheidevorgangs durchgeführt, wobei die Stickstoff(N2)-Zufuhr eingesellt ist.
  • Wie aus den obigen anschaulichen Ausführungsformen zu erkennen ist, liegt eine Gesamtverarbeitungszeit zur Behandlung der Oberflächenbereiche 114 und zur Abscheidung der Silizium enthaltenden Deckschicht 115 im Bereich von ungefähr 50–90 Sekunden und ist damit deutlich kleiner als die Gesamtprozesszeit von ungefähr 140 Sekunden gemäß der Prozesstechnik im Stand der Technik. Folglich ist erfindungsgemäß der Durchsatz deutlich erhöht, und dies ermöglicht es, das erfindungsgemäße Verfahren in mehrere oder alle Metallisierungsprozesse, die während der Herstellung integrierter Schaltungen mit äußerst hoher Packungsdichte ausgeführt werden, zu implementieren. Ferner zeigt der Prozess entsprechend den oben dargestellten Parameterbereichen, die der Vielzahl der anschaulichen Ausführungsformen entsprechen, ein ausgezeichnetes Maß an Abtrag des Kupferoxids und stellt somit einen ausgezeichneten Reinigungsschritt nach einer CMP-Behandlung von Kupfermetallisierungsgebieten dar, auf denen anschließend eine Silizium enthaltende Deckschicht zu bilden ist. Im Gegensatz zur Bearbeitung nach dem Stand der Technik führt die Mischung eines reaktiven Gases und eines Spülgases während der Oberflächenbehandlung überraschender weise zu einer erhöhten Abtragsrate oxidierter Bereiche und erlaubt die Anwendung einer relativ kurzen Plasmabehandlung und einer relativ hohen Hochfrequenzleistung, woraus sich eine minimierte Rauhigkeit der Kupferoberfläche 114 und eine deutlich reduzierte Anzahl an Kupfererhebungen im Vergleich zu einer Kupferoberfläche 114 ergibt, die in Anwesenheit reinen Ammoniaks (NH3) behandelt ist, wie im Folgenden gezeigt wird.
  • Um die überlegenen Eigenschaften einer Grenzfläche zwischen einer Kupferoberfläche und einer darüberliegenden, Silizium enthaltenden Decksicht zu bekräftigen, führten die Erfinder zahlreiche Testläufe durch, um die Verbesserung im Vergleich zur Bearbeitung gemäß dem Stand der Technik quantitativ zu ermitteln. Es wurden Testwafer mit einer ganzflächigen Kupferoberfläche und mit einer strukturierten Isolierschicht mit einer Vielzahl von Kupfergebieten mit einer freigelegten Oberfläche vorbereitet.
  • Untersuchungen der Grenzfläche zwischen dem Kupfer und einer Siliziumnitridschicht, die auf dem Kupfer gebildet ist, mittels einer Auger-Analyse bestätigten, dass abhängig von dem Verhältnis des Behandlungsgases und des Spülgases, der Hochfrequenzleistung und der Behandlungsdauer das Oxid an der Kupferoberfläche äußerst effizient entfernt werden kann. In einer anschaulichen Ausführungsform ergab die Anwendung von ungefähr 260 sccm Ammoniak (NH3), 8600 sccm Stickstoff (N2), einer Hochfrequenzleistung von etwa 50 Watt und eine Behandlungsdauer von ungefähr 15 Sekunden eine Sauerstoffkonzentration an der Grenzfläche, die an der Nachweisgenauigkeit des Messgerätes von 0.5 Atom% und darunter lag. Gemäß diesen Ergebnissen ist der Sauerstoffgehalt an der Grenzfläche Kupfer/Siliziumnitrid ungefähr 25- bis 50-mal geringer als der Sauerstoffgehalt, der ohne eine Behandlung der Kupferoberfläche vor der Ausbildung der Deckschicht erhalten wird, und ist ungefähr zweimal geringer als der Sauerstoffgehalt, der mit einer Behandlung gemäß dem Stand der Technik, wie dies zuvor beschrieben ist, d.h. einer Behandlung mit Ammoniak (NH3) ohne Stickstoff (N2) erhalten wird. Folglich wird erfindungsgemäß die Sauerstoffmenge reduziert, wobei gleichzeitig eine deutlich reduzierte Prozesszeit möglich ist.
  • Hinsichtlich der Haftungseigenschaften der Grenzfläche zwischen den Kupfergebieten und der Siliziumnitriddeckschicht wurden Messungen unter Anwendung eines Carbonhaftbandtestes, eines 4-Punkt-Biegeverfahrens und eines Tieftemperatur-Ablösetests durchgeführt. Alle diese Hafttestläufe bestätigten eine ausreichende Qualität der Grenzfläche, die gemäß der vorliegenden Erfindung hergestellt wurden. In der folgenden Tabelle sind die Ergebnisse eines 4-Punkt-Biegetests an Grenzflächen, die durch variierende Prozessbedingungen erzeugt wurden, gezeigt, einschließlich eines Vergleichsbeispiels gemäß einer Bearbeitung nach dem Stand der Technik (als reiner NH3 bezeichnet) und einem Vergleichsbeispiel ohne Behandlung. Tabelle: 4-Punkt-Biegetest
    Figure 00120001
  • Aus dieser Tabelle geht hervor, dass eine Behandlung mit reinem Ammoniak (NH3) (Bearbeitung nach dem Stand der Technik) einen Haftwert von mehr als 15 Joules/m2 zur Folge hat, wobei eine Behandlungszeit von 40 Sekunden mit einer Hochfrequenzleistung von 200 Watt erforderlich ist. Im Gegensatz dazu führt eine erfindungsgemäße Ausführungsform unter Verwendung einer Mischung von Ammoniak (NH3) und Stickstoff (N2) mit einer Behandlungszeit von über 15 Sekunden und einer Hochfrequenzleistung on 100–200 Watt zu ungefähr dem gleichen Haftwert, wobei jedoch die Behandlungszeit deutlich geringer ist als in dem Prozess nach dem Stand der Technik und somit, wie zuvor erläutert wurde, das Maß an Oberflächendeformierung deutlich verringert ist. Eine weitere Verringerung der Behandlungszeit auf ungefähr 10–15 Sekunden und eine weitere Reduzierung der Hochfrequenzleistung auf 50–100 Watt führt zu Haftwerten von 10–20 J/m2, was einen Wert darstellt, der immer noch ausreichend für jegliche Art von Metallisierungsschicht ist. Schließlich führt eine Behandlungszeit von 2–10 Sekunden mit einer Hochfrequenzleistung von 20–100 Watt zu Haftwerten von 5–10 Joule/m2, was noch ausreichend ist für beispielsweise die Metallisierungsschichten, die näher an den aktiven Elementen liegen. Wie aus dieser Tabelle ersichtlich wird, zeigen Proben mit einem wirksameren reduzierten Kupferoxid, d.h. einer längeren Behandlungszeit und einer höheren Hochfrequenzleistung, größere Haftwerte aufgrund der raueren Oberfläche, die durch die reaktive Plasmabehandlung hervorgerufen wird, die aber andererseits eine verstärkte Elektromigration während des Betriebs der fertiggestellten integrierten Schaltung hervorruft. Mit einer Behandlung von ungefähr 5 Sekunden bei 50 Watt mit einer Mischung aus Ammoniak (NH3) und Stickstoff (N2) liegen die Haftwerte nahe an den Werten, die für unbehandelte Kupferoberflächen erhalten werden. Im Gegensatz dazu führt jedoch die höchst wirksame Oxidentfernung (25 bis 50-mal weniger) zu einem deutlich verringerten Leckstrom in Kupfer/Damaszenerstrukturen, die als Metallisierungsschichten in hoch entwickelten integrierten Schaltungen verwendet werden, und damit zu einer deutlich verbesserten Leistungsfähigkeit hinsichtlich der Elektromigration.
  • Eine Sichtprüfung (optisches Mikroskop) bestätigte, dass die Dichte an Erhebungen auf einer behandelten Kupferoberfläche mit einer 2 bis 5-sekündigen Ammoniak (NH3)/Stickstoff (N2)-Behandlung und einer Hochfrequenzleistung von weniger als 50 Watt eine Dichte von Erhebungen ergab, die ungefähr 10-mal geringer als in einer 40 Sekunden/200 Watt-Behandlung gemäß dem Stand der Technik ist. Diese Ergebnisse sind für strukturierte und unstrukturierte Wafer repräsentativ.
  • Folglich kann auf der Grundlage spezieller Anwendungen und Erfordernisse die Behandlungszeit und die Hochfrequenzleistung erhöht werden, um höhere Haftwerte zu erreichen, insbesondere wenn Grenzfläche auf der Oberseite von Zwischenschichtdielektrikumsschichten (ILD-Schichten) benachbart zu Bond-Flächen gebildet sind, da diese Bereiche eine höchst zuverlässige mechanische Verbindung zu den Bond-Flächen bereitstellen müssen. Andererseits können an tieferliegenden Metallisierungsschichten, wo die Haftwerte niedriger gewählt werden als an den Bond-Bereichen des Halbleiterchips, kürzere Behandlungszeiten mit verringerter Hochfrequenzleistung angewendet werden, um einen hohen Durchsatz und überlegene Eigenschaften hinsichtlich des Leckstroms und der Elektromigration zu erhalten.
  • Weitere Modifikationen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Folglich ist diese Beschreibung als lediglich anschaulich gedacht und dient dem Zwecke, dem Fachmann die allgemeine Art des Ausführens der vorliegenden Erfindung nahezubringen. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen aufzufassen.

Claims (10)

  1. Ein Verfahren zur Behandlung einer Kupferoberfläche, mit: Bereitstellen eines Substrats mit einem oder mehreren darin gebildeten Kupfer enthaltenden Gebieten mit einer freigelegten Oberfläche mit darin gebildeten oxidierten und verfärbten Bereichen; Bereitstellen einer gasförmigen Umgebung mit einer Mischung aus Stickstoff und Ammoniak in einem Verhältnis von Stickstoff zu Ammoniak im Bereich von mehr als 50 bis 60 zu 1; und Etablieren einer reaktiven Plasmaumgebung durch Zuführen einer Hochfrequenzleistung zu der gasförmigen Umgebung, um die oxidierten und verfärbten Bereiche von der freigelegten Oberfläche der Kupfer enthaltenden Gebiete zu entfernen, wobei ein Druck der reaktiven Plasmaumgebung im Bereich von 530 Pa–730 Pa liegt.
  2. Das Verfahren nach Anspruch 1, wobei eine Hochfrequenzleistung zum Errichten des reaktiven Gasplasmas im Bereich von 35–200 Watt zugeführt wird.
  3. Das Verfahren nach Anspruch 1, wobei eine Temperatur des Substrats 350–450°C beträgt.
  4. Das Verfahren nach Anspruch 1, wobei eine Behandlungszeitdauer zum Entfernen oxidierter und verfärbter Bereiche von der freigelegten Oberfläche im Bereich von 3–40 Sekunden liegt.
  5. Das Verfahren nach Anspruch 1, das ferner das Hinzufügen von Silan zu der reaktiven Plasmaumgebung umfasst, um eine Silizium enthaltende Deckschicht auf der freigelegten Oberfläche abzuscheiden.
  6. Das Verfahren nach Anspruch 5, wobei Silan mit einer Durchflussrate von 100–200 sccm bereitgestellt wird.
  7. Das Verfahren nach Anspruch 6, wobei Silan für eine Zeitdauer von 10–20 Sekunden zugeführt wird.
  8. Das Verfahren nach Anspruch 5, das ferner das Durchführen eines Spülschritts und eines Pumpschritts nach der Abscheidung der Silizium enthaltenden Deckschicht aufweist.
  9. Das Verfahren nach Anspruch 1, wobei eine Gesamtprozesszeit im Bereich von 50 Sekunden–90 Sekunden liegt.
  10. Das Verfahren nach einem der Ansprüche 5–8, wobei das Verfahren zur Bildung der Silizium enthaltenden Deckschicht auf der Kupferoberfläche in-situ durchgeführt wird.
DE10150822A 2001-10-15 2001-10-15 Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche Expired - Lifetime DE10150822B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10150822A DE10150822B4 (de) 2001-10-15 2001-10-15 Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
US10/135,009 US20030072695A1 (en) 2001-10-15 2002-04-29 Method of removing oxidized portions at an interface of a metal surface and capping layer in a semiconductor metallization layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10150822A DE10150822B4 (de) 2001-10-15 2001-10-15 Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche

Publications (2)

Publication Number Publication Date
DE10150822A1 DE10150822A1 (de) 2003-04-30
DE10150822B4 true DE10150822B4 (de) 2007-01-25

Family

ID=7702557

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10150822A Expired - Lifetime DE10150822B4 (de) 2001-10-15 2001-10-15 Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche

Country Status (2)

Country Link
US (1) US20030072695A1 (de)
DE (1) DE10150822B4 (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
DE102005035740A1 (de) * 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102005057075B4 (de) * 2005-11-30 2012-04-26 Advanced Micro Devices, Inc. Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102005057057B4 (de) * 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
WO2009085238A1 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Copper discoloration prevention following bevel etch process
US11134598B2 (en) * 2009-07-20 2021-09-28 Set North America, Llc 3D packaging with low-force thermocompression bonding of oxidizable materials
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000029642A1 (en) * 1998-11-17 2000-05-25 Applied Materials, Inc. Removing oxides or other reducible contaminants from a substrate by plasma treatment
DE19963864A1 (de) * 1999-01-04 2000-08-10 Ibm Plasmabehandlung zur Verbesserung der Haftung anorganischer Dielektrika auf Kupfer
US6235654B1 (en) * 2000-07-25 2001-05-22 Advanced Micro Devices, Inc. Process for forming PECVD nitride with a very low deposition rate
WO2002009173A2 (en) * 2000-07-26 2002-01-31 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
DE10059143A1 (de) * 2000-11-29 2002-06-13 Advanced Micro Devices Inc Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000029642A1 (en) * 1998-11-17 2000-05-25 Applied Materials, Inc. Removing oxides or other reducible contaminants from a substrate by plasma treatment
DE19963864A1 (de) * 1999-01-04 2000-08-10 Ibm Plasmabehandlung zur Verbesserung der Haftung anorganischer Dielektrika auf Kupfer
US6235654B1 (en) * 2000-07-25 2001-05-22 Advanced Micro Devices, Inc. Process for forming PECVD nitride with a very low deposition rate
WO2002009173A2 (en) * 2000-07-26 2002-01-31 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
DE10059143A1 (de) * 2000-11-29 2002-06-13 Advanced Micro Devices Inc Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil

Also Published As

Publication number Publication date
DE10150822A1 (de) 2003-04-30
US20030072695A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE4237587C2 (de) Chemisches Dampfabscheidungsverfahren zur Schaffung einer vorherrschend TiSi¶x¶ enthaltenden, elektrisch leitfähigen Schicht
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
DE10224167B4 (de) Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
EP1678746B1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
DE60022857T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102007004867B4 (de) Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102005057057B4 (de) Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102008016427B4 (de) Drahtbonden auf reaktiven Metalloberflächen einer Metallisierung eines Halbleiterbauelements durch Vorsehen einer Schutzschicht
DE19963864A1 (de) Plasmabehandlung zur Verbesserung der Haftung anorganischer Dielektrika auf Kupfer
DE19654737A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE19820147A1 (de) Verfahren zur Bildung einer leitfähigen Schicht mittels eines atomaren Schichtdepositionsprozesses
DE19629886A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
DE102006056624B4 (de) Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
DE10150822B4 (de) Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
DE102009015749B3 (de) Erhöhen der Haftung von dielektrischen Zwischenschichtmaterialien von Halbleiterbauelementen durch Unterdrücken der Silizidbildung am Substratrand
DE102007022621B4 (de) Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
DE10240176A1 (de) Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
DE102005063089A1 (de) Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
DE60209835T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102008044987A1 (de) Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleinem Epsilon unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
DE102005057061B3 (de) Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right