TWI706438B - 以uv輔助方式將材料注入多孔膜 - Google Patents

以uv輔助方式將材料注入多孔膜 Download PDF

Info

Publication number
TWI706438B
TWI706438B TW105120117A TW105120117A TWI706438B TW I706438 B TWI706438 B TW I706438B TW 105120117 A TW105120117 A TW 105120117A TW 105120117 A TW105120117 A TW 105120117A TW I706438 B TWI706438 B TW I706438B
Authority
TW
Taiwan
Prior art keywords
hydrogen
silicon
film
substrate
porous
Prior art date
Application number
TW105120117A
Other languages
English (en)
Other versions
TW201712731A (zh
Inventor
布萊恩薩克斯登 恩德伍得
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201712731A publication Critical patent/TW201712731A/zh
Application granted granted Critical
Publication of TWI706438B publication Critical patent/TWI706438B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述用於減少圖案化基板上的多孔膜經歷的收縮的方法。該膜可以是含矽和氫的層,該含矽和氫的層進一步含有碳、氧及氮中的一者或兩者。沉積之後,立刻藉由同時暴露於相對小的分子前驅物(例如NH3 或C2 H2 )和UV光源來處理該含矽和氫的層。該處理甚至可以減少該多孔膜隨後由於反應前的明顯滲透而在該膜底部經歷的收縮。該處理可以減少在填充有該多孔膜的溝槽的底部的收縮,從而在處理完成之後提供在該溝槽內保持較大填充因子的效益。

Description

以UV輔助方式將材料注入多孔膜
本文揭示的實施例係關於處理多孔膜。
半導體電路元件的小型化已達到以商業規模製造約14 nm的特徵尺寸的點。隨著尺寸持續變得越來越小,製程步驟出現了新的挑戰,像是使用避免電串擾的介電質材料填充電路元件之間的縫隙。隨著元件之間的寬度持續縮小,元件之間的縫隙往往變得更高且更窄,使得縫隙的填充很難不發生介電質材料卡住而形成孔隙或弱接縫。傳統的化學氣相沉積(CVD)技術時常在縫隙被完全填充之前在縫隙的頂部遭遇材料過度生長。此舉會在沉積的介電質材料被過度生長過早切斷的縫隙中形成孔隙或接縫;這種問題有時被稱為麵包條化(breadloafing)。
麵包條化問題的一種解決方案一直是使用液體前驅物作為更容易流入縫隙中的介電質原料。目前在商業用途中這樣做的技術被稱為旋塗玻璃(SOG)。最近已開發出賦予CVD沉積的介電質材料可流動特性的技術。這些技術可以沉積可流動前驅物來使用多孔材料填充高、窄的縫隙,同時降低形成孔隙或弱接縫的發生率。雖然新的可流動CVD技術代表在使用多孔材料(例如低k介電質材料)填充高、窄(即高深寬比)縫隙的顯著突破,但仍需要減少多孔材料在後續處理期間所經歷的收縮。
描述用於減少圖案化基板上的多孔膜經歷的收縮的方法。該膜可以是含矽和氫的層,該含矽和氫的層進一步含有碳、氧及氮中的至少一者。沉積之後,立刻藉由同時暴露於相對小的分子前驅物(例如NH3 或C2 H2 )和UV光源來處理該含矽和氫的層。該處理甚至可以減少該多孔膜隨後由於反應前的明顯滲透而在該膜底部經歷的收縮。該處理可以減少在填充有該多孔膜的縫隙的底部的收縮,從而在處理完成之後提供在該縫隙內保持較大填充因子的效益。
本文描述的實施例包括在圖案化基板上處理縫隙填充介電質的方法。該方法包括在該圖案化基板上形成含矽和氫的薄膜。該含矽和氫的薄膜填充該圖案化基板上的縫隙。該方法進一步包括使該含矽和氫的薄膜暴露於含氫前驅物,同時使該含矽和氫的薄膜暴露於UV光。該含氫前驅物進一步包括氮、矽及碳中之至少一者。在暴露該含矽和氫的薄膜的操作之後該含矽和氫的薄膜可以不含矽、碳、氮、氫及氧以外的元素。
本文描述的實施例包括在圖案化基板中填充縫隙的方法。該方法包括使低k介電質材料流入該圖案化基板上的該縫隙中。該方法進一步包括使該低k介電質材料暴露於含氫前驅物。該方法進一步包括使該圖案化基板暴露於UV光。使該圖案化基板暴露於UV光及使該低k介電質材料暴露於含氫前驅物的操作同時發生。
本文描述的實施例包括強化縫隙填充材料的方法。該方法包括將具有縫隙的圖案化基板傳送到基板處理室的基板處理區域中。該縫隙被填充多孔介電質。該方法進一步包括使含氫前驅物流入該基板處理區域中,同時在該縫隙上閃耀UV光。該方法進一步包括將該圖案化基板加熱,其中加熱該圖案化基板使該縫隙底部附近的多孔介電質收縮小於35%。依據實施例,加熱該圖案化基板可以將該基板的溫度提高約150 ℃、約200 ℃、約250 ℃、或約300 ℃。
在以下的實施方式中部分提出其他的實施例與特徵,而且在檢視說明書後部分的實施例與特徵對於所屬技術領域中具有通常知識者而言將變得顯而易見,或者可藉由實施該等實施例而學習到部分的實施例與特徵。該等實施例的特徵與優點可以藉由說明書中描述的手段、組合及方法來實現和獲得。
描述用於減少圖案化基板上的多孔膜經歷的收縮的方法。該膜可以是含矽和氫的層,該含矽和氫的層進一步含有碳、氧及氮中的一者或兩者。沉積之後,立刻藉由同時暴露於相對小的分子前驅物(例如NH3 或C2 H2 )和UV光源來處理該含矽和氫的層。該處理甚至可以減少該多孔膜隨後由於反應前的明顯滲透而在該膜底部經歷的收縮。該處理可以減少在填充有該多孔膜的縫隙的底部的收縮,從而在處理完成之後提供在該縫隙內保持較大填充因子的效益。
多孔材料可被使用來例如產生低k介電質薄膜,但在其他情況下也可以是有用的。多孔膜可以藉由各種方法形成,包括不可流動或可流動的沉積方法。可流動的沉積方法可以藉由使多孔膜能夠滲入圖案化基板中的縫隙而是有用的。多孔膜會是容易收縮的,特別是當圖案化基板的溫度在後沉積處理期間被升高時。如本文所述同時暴露於紫外光處理已被發現有利多孔膜的結構。在UV輔助的化學反應中,氫可以被來自含氫前驅物的氮、矽、及/或碳取代。需要UV光來促進反應,但先保持滲透深入多孔膜中所需的低反應可能性。使用本文呈現的技術產生的多孔膜可以在後沉積處理期間和所生產的半導體元件的壽命期間表現出遠較少的收縮。收縮減少可以藉由(1)減少導電元件之間的串擾及(2)提供更高的物理穩定性而有益於半導體元件的操作,從而提高產率。來自同時暴露的前驅物的材料被送入比先前實現的遠更深的多孔膜中,此舉有利地減少甚至是在狹小空間的底部的收縮。雖然一些多孔縫隙填充膜已顯示50%的收縮率,但依據實施例本文描述的方法可以在沉積後收縮少於35%、少於30%、或少於25%。
為了更佳地瞭解和理解實施例,現在參照第1圖,第1圖為圖示依據實施例在基板上形成多孔膜的方法101中的選擇步驟的流程圖。在操作110中多孔的含矽和氫的薄膜最初被形成在圖案化基板上。然後可以將圖案化基板放在基板處理區域中。在操作120中,使C2 H2 流入基板處理區域。在使圖案化基板接觸C2 H2 的同時用紫外(UV)光照射圖案化基板。在實施例中,使C2 H2 流入基板處理區域中,然後開始UV光暴露,以在照明之前使C2 H2 深入該薄膜。除了UV光的影響,在操作120和130期間基板處理區域可以是無電漿的。依據實施例,來自C2 H2 的碳進入多孔的含矽和氫的薄膜中,並一路處理該薄膜直到底部(操作140)。
一般來說,可以使進一步包含氮、矽、及碳中之至少一者的含氫前驅物流入基板處理區域。在實施例中,含氫前驅物可以包含氫和碳或由氫和碳所組成。依據實施例,含氫前驅物可以具有兩個、三個、或四個碳原子,並且可以含有至少一個多鍵(雙鍵或三鍵)。例如,含氫前驅物可以是C2 H2 、C2 H4 、C3 H6 及C4 H8 中之一者。依據實施例,含氫前驅物可以包含氫和氮或由氫和氮所組成。在實施例中,含氫前驅物可以具有一個或兩個氮原子並且可以含有懸鍵。例如,含氫前驅物可以是NH3 、N2 H2 及N2 H4 中之一者。在實施例中,含氫前驅物可以包含氫和矽或由氫和矽所組成。依據實施例,含氫前驅物可以具有兩個、三個、四個(而且有時更多個)矽原子,並且可以包含單鍵或由單鍵所組成。例如,含氫前驅物可以是SiH4 、Si2 H6 、Si3 H8 、Si4 H10 、Si5 H10 、Si5 H12 、Si6 H12 、及Si6 H14 中之一者。在實施例中,含氫前驅物包含氫、矽及碳,而且可以包含C=O配位體。依據實施例,含氫前驅物可以伴隨一種或更多種附加氣體,例如氫氣(H2 )、氮氣(N2 )、氦氣、氖氣、氬氣。依據實施例,在本文揭示的所有實施例的紫外線處理期間,基板處理區域可以不含水氣,而且可以不含氧氣。在實施例中,除了含氫前驅物,多孔介電質薄膜周圍的環境可以是化學惰性的。
已經基於小的尺寸、而且在一些情況下基於減少的UV光直接吸收來選擇本文描述的前驅物。這兩個特性皆有助於前驅物在反應之前更深入滲透到即將描述的材料中,以緻密化和強化多孔膜。前驅物過早吸收UV光會過早提高化學反應性,此舉會消耗多孔膜頂部附近的前驅物。在實施例中,反應機制涉及多孔基板吸收UV光,隨後多孔基板與含氫前驅物反應。前驅物的小尺寸也促進在開放單元的多孔基板內深處迅速擴散。在操作120/130期間,在多孔膜的頂部上基本上沒有沉積發生。
在操作110中,多孔膜可以藉由各種方法沉積,例如旋塗玻璃(SOG)、旋塗介電質(SOD)或藉由化學氣相沉積(CVD)沉積。初始沉積之後多孔膜可以流動,此舉可以有助於填充圖案化基板上的狹窄縫隙。多孔膜可被稱為可流動的多孔膜,而且在固化之後量測可以具有低的介電常數(低k)。依據實施例,在完成的元件的溝槽中,低k介電質薄膜可以具有介於2.2和3.0之間的介電常數。在實施例中,多孔膜包含矽和氫,而且可以是S-C-H膜、Si-N-H膜、Si-O-H膜、Si-C-N-H膜、Si-O-C-H膜、或Si-O-N-H膜。依據實施例,多孔膜可以包含矽、碳及氫或由矽、碳及氫所組成。依據實施例,多孔膜可以包含矽、氮及氫或由矽、氮及氫所組成。依據實施例,多孔膜可以包含矽、氧及氫或由矽、氧及氫所組成。依據實施例,多孔膜可以包含矽、碳、氮及氫或由矽、碳、氮及氫所組成。依據實施例,多孔膜可以包含矽、碳、氧及氫或由矽、碳、氧及氫所組成。依據實施例,多孔膜可以包含矽、氧、氮及氫或由矽、氧、氮及氫所組成。
在操作120/130中,藉由使含氫前驅物流入基板處理區域同時用UV光照射圖案化基板以引起與多孔膜的化學反應來處理圖案化基板。在實施例中,在操作140期間基板處理區域可以不含電漿(可以是無電漿的),以避免使含氫前驅物活性過高,在含氫前驅物活性過高的情況下有效處理的深度會減少。依據實施例,在到基板處理區域的途中含氫前驅物可以不通過任何電漿。與未經處理且其他方面相似的薄膜相比,已發現處理過的薄膜收縮較少,而且保留較高的物理強度。氮、矽或碳被注入多孔膜中,而且可以形成橋鍵結位點,此舉甚至使在狹窄縫隙內深的部分中的多孔膜強度增加。當未進行本文的方法時,懷疑短的矽鏈會揮發,此舉可能會導致較多的收縮。本文描述的方法也可以減少縫隙內的孔隙,進一步有益於完成的元件的性能和可靠性。依據實施例,在多孔膜內所有深度的強度與同時發生的收縮減少可以是大體上均勻的(縫隙外或內)。
使用本文描述的技術填充的縫隙(例如通孔和溝槽)可以具有高度和寬度,該高度和寬度界定高度對寬度(即H/W)的深寬比(AR),該深寬比明顯大於1:1(例如大於5:1、大於6:1、大於8:1、大於10:1或大於12:1)。依據實施例,在許多情況下,高AR是由於小於32 nm、小於28 nm、小於22 nm、或小於16 nm的小縫隙寬度。在實施例中,高度可以大於100 nm、大於150 nm、大於250 nm、或大於0.5 µm。本文中將使用「頂部」和「向上」來描述在基板平面的垂直遠端並在垂直方向上進一步遠離基板的質量中心的部分/方向。將使用「垂直」來描述在「向上」方向上朝向「頂部」排列的物品。也可以使用其他現在含義清楚的類似用語(例如「高度」和「寬度」)。
在實施例中,在操作120/130期間基板的溫度可以低於150 ℃、低於120 ℃、低於100 ℃或低於80 ℃,因為與含氫前驅物(例如C2 H2 )的化學反應並不依賴溫度進行。可以使用更高的溫度,因為所需的化學反應在高達熱活化沉積發生的點(對於C2 H2 來說〜400℃)仍會發生。在操作110與操作120/130開始之間可以將圖案化基板的溫度保持低於這些溫度中的每個溫度,以避免在可以依據實施例強化薄膜之前損壞薄膜。可以使用更高的溫度,因為所需的化學反應在高達熱活化沉積發生的點(對於C2 H2 來說〜400℃)仍會發生。在實施例中,在操作120/130期間和在操作110與120/130之間,圖案化基板的溫度可以低於500 ℃、低於400 ℃或低於300 ℃。
在操作120/130中多孔膜的UV處理期間,基板處理區域中的壓力可以介於1托和1000托之間、或介於3托和200托之間、或介於6托和50托之間。較高的壓力可以有助於更均勻地處理較少的多孔膜。本文中給予所有實例的所有薄膜性質和製程參數也都適用於所有的其他實例。在實施例中,可以使含氫前驅物以介於10 sccm和4000 sccm之間、介於200 sccm和3000 sccm之間、或介於500 sccm和2000 sccm之間的流動速率流入基板處理區域。含氫前驅物可被以氣體或液體的形式供應。在揭示的實施例中,適用於本文描述的所有方法的UV光波長可以介於100 nm和450 nm之間、或者可以介於100 nm和400 nm之間。UV光可以在基板處理區域內或外產生並通過適當的透射式真空視窗的路徑進入基板處理區域。UV光可以藉由準分子雷射產生。
現在將參照第2圖,第2圖為圖示依據實施例在基板上形成多孔介電質薄膜的方法201中的選擇步驟的流程圖。在操作210中多孔膜最初被形成在圖案化基板上。然後可以將圖案化基板放在基板處理區域中。在操作220中,在基板處理區域內使圖案化基板接觸NH3 ,同時還用紫外(UV)光照射圖案化基板。依據實施例,接觸NH3 可以在暴露於UV光之前開始,以確保更深地滲入多孔介電質薄膜中。如同先前一樣,在操作220期間基板處理區域可以沒有電漿。依據實施例,來自NH3 的氮進入多孔膜中並從頂部到底部大體上均勻地處理該膜(操作230)。如同本文呈現的其他實例,已發現UV光和含氫前驅物(例如NH3 )的同時存在可產生在後續處理中大體上展現較少收縮的多孔介電層。
對於本文描述的所有實例來說,依據實施例,從在圖案化基板上形成多孔膜的操作到使圖案化基板接觸含氫前驅物並用UV光照射圖案化基板以強化多孔膜的操作,可以不使圖案化基板暴露於外部空氣(來自半導體處理主機或腔室外部的潔淨室的空氣)。
依據實施例,本文所述的任何或全部沉積方法在沉積過程中都可以在基板處理區域中具有低的電子溫度,以確保在多孔膜內深處的有益化學反應。在基板處理區域中可以使用朗繆爾探針量測電子溫度。在實施例中,電子溫度可以低於0.5 eV、低於0.45 eV、低於0.4 eV、或低於0.35 eV。引入替代的學術用語,在本文描述的沉積製程期間,本文可以將基板處理區域描述為「無電漿」。「無電漿」並不一定意指該區域是沒有電漿的。在電漿區域內形成的離子化物種和自由電子可以以非常小的濃度移動通過隔板(噴頭)中的孔隙(孔)。在腔室電漿區域中,電漿的邊界是難以界定的,而且可能會經由噴頭中的孔侵入基板處理區域。此外,可以在不消除本文所述沉積製程之理想特徵下在基板處理區域中形成低強度的電漿。在激發電漿流出物的形成過程中,所有離子密度的強度遠比腔室電漿區域更低的電漿之起因皆未偏離本文所用的「無電漿」範圍。
現在將描述可以形成多孔膜的基板處理室的幾個實施例,而且在一些選定的架構中也可以使用該等實施例來對圖案化基板進行化學處理。第3圖圖示依據實施例用於沉積和硬化腔室的例示性處理系統1001。FOUPs(前開式晶圓傳送盒)1002藉由機器人手臂1004供應基板進入低壓保持區域1006。可以使用第二機器人手臂1010來在保持區域1006和基板處理室1008a-f之間來回傳送基板晶圓。
基板處理室1008a-f可設以在沉積的層上沉積或進行各種處理。在一種架構中,可以使用兩對處理室(例如1008c-d和1008e-f)在基板上沉積可流動介電質材料,而且可以使用第三對處理室(例如1008a-b)來利用紫外線或電子束照射硬化該介電質材料。
第4A圖為依據實施例的基板處理室1101。遠端電漿系統(RPS)1110可以處理氣體,然後該氣體經由氣體入口組件1111前進。可以在氣體入口組件1111內看到兩個不同的氣體供應通道。第一通道1112攜帶通過遠端電漿系統(RPS)1110的氣體,而第二通道1113繞過RPS 1110。在實施例中,第一通道1112可用於製程氣體,並且第二通道1113可用於處理氣體。圖示蓋體(或導電性頂部)1121和穿孔的隔板1153之間具有絕緣環1124,絕緣環1124允許AC電位被相對於穿孔的隔板1153施加於蓋體1121。製程氣體經由第一通道1112進入腔室電漿區域1120,而且製程氣體可以單獨在腔室電漿區域1120中被電漿激發或在腔室電漿區域1120與RPS 1110的組合中被電漿激發。本文中可以將腔室電漿區域1120及/或RPS 1110的組合稱為遠端電漿系統。穿孔的隔板(也稱為噴頭)1153將腔室電漿區域1120與噴頭1153下方的基板處理區域1170分離。噴頭1153允許電漿存在於腔室電漿區域1120中,以避免在基板處理區域1170中直接激發氣體,同時仍允許激發的物種(電漿流出物)從腔室電漿區域1120進入基板處理區域1170。
噴頭1153被定位在腔室電漿區域1120與基板處理區域1170之間,並允許腔室電漿區域1120內產生的電漿流出物(前驅物或其他氣體的激發衍生物)通過複數個通孔1156,通孔1156穿過板材的厚度。噴頭1153還具有一個或更多個中空容積1151,中空容積1151可以被蒸氣或氣體(例如含矽和碳的前驅物)形式的前驅物(例如TSA)填滿,並經由小孔1155通入基板處理區域1170,但不是直接通入腔室電漿區域1120。
在圖示的實施例中,噴頭1153可以(經由通孔1156)分配製程氣體,該製程氣體含有製程氣體藉由腔室電漿區域1120中的電漿激發時產生的電漿流出物。可以使含氮前驅物(例如NH3 )流過RPS 1110,以在產生的電漿流出物遇到TSA時形成Si-N-H膜。可以選擇前驅物,以藉由化學氣相沉積形成本文中處理的各種薄膜。可以在不使用化學氣相沉積之下使用其他技術來形成多孔膜(例如SOD或SOG)。製程氣體還可以包括載氣,例如氦氣、氬氣、氮氣(N2 )等。第二通道1113也可以遞送製程氣體及/或載氣、及/或用以從生長的或初沉積的薄膜中移除不想要的成分的膜處理或硬化氣體。電漿流出物可以包括製程氣體的離子化或中性衍生物,而且在本文中也可以被稱為氧基團前驅物,以指稱引入的製程氣體的原子組分。
第4B圖為依據實施例用於處理腔室的噴頭1153之仰視圖。噴頭1153與第4A圖中圖示的噴頭一致。將通孔1156繪示為在噴頭1153的底部具有較大的內徑(ID)並且在頂部具有較小的ID。小孔1155大致上均勻地分佈在噴頭的表面上,即使在通孔1156之間亦同,此舉可有助於提供更均勻的混合。
當經由噴頭1153中的通孔1156到達的電漿流出物與源自中空容積1151經由小孔1155到達的含-矽-和-碳前驅物結合時,便在基板處理區域1170內由基座(未圖示)支撐的基板上形成了例示性薄膜。雖然可以在基板處理區域1170裝設支援用於其他製程(例如硬化)的電漿之配備,但在例示性薄膜的生長過程中並無電漿存在。該基座可設以冷卻或加熱支撐的基板,以保持相對低溫(從室溫到約120 ℃)。
電漿可以在噴頭1153上方的腔室電漿區域1120中或噴頭1153下方的基板處理區域1170中被點燃。腔室電漿區域1120中存在電漿,以從含氧氣體的進入流產生氧基團前驅物。在處理室的導電性頂部1121與噴頭1153之間施加通常在射頻(RF)範圍中的交流電壓,以在沉積過程中在腔室電漿區域1120中點燃電漿。RF電源產生13.56 MHz的高RF頻率,但也可以產生單獨的或與13.56 MHz頻率結合的其他頻率。例示性的RF頻率包括諸如2.4 GHz的微波頻率。在實施例中,在可流動膜的沉積過程中,遠端電漿功率可以大於或約為1000瓦、大於或約為2000瓦、大於或約為3000瓦、或大於或約為4000瓦。基板處理系統被系統控制器控制。可以使用由系統控制器執行的電腦程式產品實施在基板上沉積薄膜疊層的製程。
本文中使用的「基板」可以是上面有或無層形成的支撐基板。該支撐基板可以是絕緣體或具有各種摻雜濃度與分佈的半導體,而且該支撐基板可以例如是積體電路製造中使用的類型的半導體基板。用語「前驅物」係用以指稱任何製程氣體,該製程氣體參與反應,以從表面移除材料或將材料沉積到基板上。處於「激發態」的氣體係描述其中至少某些氣體分子處於振動激發、離解及/或離子化狀態的氣體。氣體(或前驅物)可以是兩種或更多種氣體(或前驅物)的組合。「基團前驅物」係用以描述電漿流出物(處於激發態且激發電漿的氣體),該電漿流出物參與反應,以從表面移除材料或在表面上沉積材料。「氧基團前驅物」為含有氧的基團前驅物,而且在實施例中可以不含氮。片語「惰性氣體」係指當蝕刻或被併入薄膜中時不形成化學鍵的任意氣體。例示性的惰性氣體包括鈍氣,但也可以包括其他氣體,只要當(典型上)微量陷入薄膜中時無化學鍵形成即可。
貫穿全文使用用語「縫隙」,但並非暗指蝕刻出的幾何形狀具有大的水平深寬比。從表面上方觀看,縫隙可能會呈現圓形、橢圓形、多邊形、矩形或各式各樣的其他形狀。「溝槽」是長的縫隙(例如具有大於5或大於10的長度對寬度比)。溝槽可以處於圍繞材料島嶼的護城河形狀,溝槽的深寬比是護城河的長度或周長除以護城河的寬度。用語「通孔」是用來指稱低深寬比的縫隙(從上方觀看),通孔可能會或可能不會被填充金屬而形成垂直的電連線。
描述了幾個實施例後,所屬技術領域中具有通常知識者將理解的是,在不偏離實施例的精神下可以使用各種的修改、替代結構、及均等物。此外,並未描述一些眾所周知的製程和元件,以免不必要地模糊了實施例。因此,以上描述不應被視為限制申請專利範圍的範圍。
當提供一個數值範圍時,應當理解的是,除非上下文另有明確說明,否則每個介於該範圍的上限和下限之間、到下限單位之十分之一的中間值也被具體揭示。每個介於陳述範圍中的任何陳述值或中間值與該陳述範圍中的任何其他陳述值或中間值之間的較小範圍也被涵括。這些較小範圍的上限和下限可以被獨立包括或排除在該範圍中,而且其中兩個限值任一者、皆不或皆被包括在該較小範圍中的每個範圍也被涵括在揭示的實施例內,依陳述範圍中任何具體排除的限值而定。當陳述範圍包括一個或兩個限值時,排除任一個或兩個那些被包括的限值的範圍也被包括在內。
本文中和所附申請專利範圍中使用的單數形式「一」和「該」也包括複數的指稱物,除非上下文另有明確說明。因此,舉例來說,提及「一製程」也包括複數種這樣的製程,提及「該前驅物」包括提及一種或更多種前驅物及所屬技術領域中具有通常知識者習知的前驅物之均等物,以此類推。
同樣地,詞語「包含」和「包括」當被用於本說明書中和以下的申請專利範圍中時意圖指明存在陳述的特徵、整數、元件、或步驟,但上述詞語並不排除存在或添加一個或更多個其他的特徵、整數、元件、步驟、動作、或基團。
101‧‧‧方法 110-140‧‧‧操作 201‧‧‧方法 210-230‧‧‧操作 1001‧‧‧處理系統 1002‧‧‧FOUPs(前開式晶圓傳送盒) 1004‧‧‧機器人手臂 1006‧‧‧低壓保持區域 1008a-f‧‧‧基板處理室 1010‧‧‧第二機器人手臂 1101‧‧‧基板處理室 1110‧‧‧遠端電漿系統(RPS) 1111‧‧‧氣體入口組件 1112‧‧‧第一通道 1113‧‧‧第二通道 1120‧‧‧腔室電漿區域 1121‧‧‧蓋體 1124‧‧‧絕緣環 1151‧‧‧中空容積 1153‧‧‧穿孔的隔板/噴頭 1155‧‧‧小孔 1156‧‧‧通孔 1170‧‧‧基板處理區域
可以藉由參照其餘部分的說明書和圖式來進一步理解實施例的本質與優點。
第1圖為圖示依據實施例在圖案化基板上形成多孔膜的方法中的選擇步驟的流程圖。
第2圖為圖示依據實施例在圖案化基板上形成多孔膜的方法中的選擇步驟的流程圖。
第3圖圖示依據實施例的基板處理系統。
第4A圖圖示依據實施例的基板處理室。
第4B圖圖示依據實施例的氣體分配噴頭。
在附圖中,類似的元件及/或特徵可以具有相同的元件標號。另外,相同類型的各種元件可以藉由在元件標號之後接續破折號和第二標號來區別,該第二標號可區別類似的元件。假使說明書中只有使用第一元件標號,則不管第二元件標號為何,描述適用於具有相同第一元件標號的任一個類似元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
101‧‧‧方法
110-140‧‧‧操作

Claims (7)

  1. 一種在一圖案化基板上處理一縫隙填充介電質的方法,該方法包含以下步驟:在該圖案化基板上形成一含矽和氫的薄膜,其中該含矽和氫的薄膜填充該圖案化基板上的一縫隙;以及使該含矽和氫的薄膜暴露於一含碳和氫的前驅物,同時使該含矽和氫的薄膜暴露於UV光,其中該含碳和氫的前驅物包含兩個、三個、或四個碳原子且在兩個碳原子之間具有三共價鍵。
  2. 如請求項1所述之方法,其中該含碳和氫的前驅物包含C2H2
  3. 如請求項1所述之方法,其中該含碳和氫的前驅物係由氫和碳所組成。
  4. 如請求項1所述之方法,其中形成該含矽和氫的薄膜之步驟包含以下步驟:在該圖案化基板的表面上初始沉積之後使材料流入該縫隙中。
  5. 如請求項1所述之方法,其中在暴露該含矽和氫的薄膜的操作之後該含矽和氫的薄膜不含矽、碳、氮、氫及氧以外的元素。
  6. 如請求項1所述之方法,其中在暴露該含矽和氫的薄膜時該圖案化基板的溫度小於150℃。
  7. 如請求項1所述之方法,其中該含矽和氫的 薄膜為多孔膜。
TW105120117A 2015-07-13 2016-06-27 以uv輔助方式將材料注入多孔膜 TWI706438B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/797,960 2015-07-13
US14/797,960 US9947576B2 (en) 2015-07-13 2015-07-13 UV-assisted material injection into porous films

Publications (2)

Publication Number Publication Date
TW201712731A TW201712731A (zh) 2017-04-01
TWI706438B true TWI706438B (zh) 2020-10-01

Family

ID=57757413

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105120117A TWI706438B (zh) 2015-07-13 2016-06-27 以uv輔助方式將材料注入多孔膜

Country Status (5)

Country Link
US (1) US9947576B2 (zh)
KR (1) KR102546214B1 (zh)
CN (1) CN107851558B (zh)
TW (1) TWI706438B (zh)
WO (1) WO2017011088A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607832B2 (en) 2018-01-15 2020-03-31 Samsung Electronics Co., Ltd. Method and apparatus for forming a thin layer
JP2021009980A (ja) * 2019-07-03 2021-01-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060105566A1 (en) * 2004-11-12 2006-05-18 Carlo Waldfried Ultraviolet assisted pore sealing of porous low k dielectric films
TW201218315A (en) * 2010-09-20 2012-05-01 Applied Materials Inc Air gap formation
TW201327671A (zh) * 2011-10-24 2013-07-01 Applied Materials Inc 用於在含矽-碳-及-氮層可流動沉積後降低蝕刻速率的處理

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
DE102006051496B4 (de) 2006-10-31 2008-09-25 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem porösen Materialschichtstapel mit kleinem ε mit reduzierter UV-Empfindlichkeit und Verfahren zu dessen Herstellung
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8377823B2 (en) 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
JP2012015411A (ja) 2010-07-02 2012-01-19 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9023737B2 (en) * 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9040430B2 (en) 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9029265B2 (en) 2013-10-15 2015-05-12 United Microelectronics Corp. Method for forming semiconductor structure
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060105566A1 (en) * 2004-11-12 2006-05-18 Carlo Waldfried Ultraviolet assisted pore sealing of porous low k dielectric films
TW201218315A (en) * 2010-09-20 2012-05-01 Applied Materials Inc Air gap formation
TW201327671A (zh) * 2011-10-24 2013-07-01 Applied Materials Inc 用於在含矽-碳-及-氮層可流動沉積後降低蝕刻速率的處理

Also Published As

Publication number Publication date
CN107851558B (zh) 2022-08-02
KR102546214B1 (ko) 2023-06-20
WO2017011088A1 (en) 2017-01-19
KR20180021214A (ko) 2018-02-28
TW201712731A (zh) 2017-04-01
CN107851558A (zh) 2018-03-27
US20170018455A1 (en) 2017-01-19
US9947576B2 (en) 2018-04-17

Similar Documents

Publication Publication Date Title
TWI670772B (zh) 可流動之低k介電質隙縫塡充處理
US9018108B2 (en) Low shrinkage dielectric films
US10465294B2 (en) Oxide and metal removal
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US8551891B2 (en) Remote plasma burn-in
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
KR20220137859A (ko) 기판 상의 구조물 형성 방법
TWI463566B (zh) 低溫氧化矽轉換
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
TWI621731B (zh) 用於應力裝置之低溫可流動固化
JP2013501384A (ja) 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
TW201231711A (en) Amine curing silicon-nitride-hydride films
US20160284567A1 (en) Pulsed nitride encapsulation
TW201636453A (zh) 用於膜沉積的脈衝化電漿
JP2009290026A (ja) 中性粒子を用いた半導体装置の成膜方法
TWI706438B (zh) 以uv輔助方式將材料注入多孔膜
KR102453724B1 (ko) 개선된 스텝 커버리지 유전체
TWI743242B (zh) 高壓退火及降低濕蝕刻速率