DE69835276T2 - Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation - Google Patents

Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation Download PDF

Info

Publication number
DE69835276T2
DE69835276T2 DE69835276T DE69835276T DE69835276T2 DE 69835276 T2 DE69835276 T2 DE 69835276T2 DE 69835276 T DE69835276 T DE 69835276T DE 69835276 T DE69835276 T DE 69835276T DE 69835276 T2 DE69835276 T2 DE 69835276T2
Authority
DE
Germany
Prior art keywords
trench
layer
substrate
cvd
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69835276T
Other languages
English (en)
Other versions
DE69835276D1 (de
Inventor
Fabrice Geiger
Frederic Gaillard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69835276D1 publication Critical patent/DE69835276D1/de
Application granted granted Critical
Publication of DE69835276T2 publication Critical patent/DE69835276T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Description

  • Die vorliegende Erfindung betrifft die Fertigung von integrierten Schaltkreisen. Insbesondere ist die vorliegende Erfindung auf ein Verfahren zum Bereitstellen von selbstplanarisierter Abscheidung dielektrischer Schichten von hoher Qualität für eine Isolation mit flachem Trench gerichtet.
  • Die Größe von Halbleiterbauelementgeometrien wird weiterhin verringert, wodurch mehr Bauelemente pro Einheitsfläche auf einem gefertigten Wafer bereitgestellt werden. Diese Bauelemente werden in der Regel zunächst voneinander isoliert, während sie in den Wafer eingebaut werden, und sie werden anschließend miteinander verbunden, um die gewünschten spezifischen Schaltkreiskonfigurationen zu erstellen. Gegenwärtig werden manche Bauelemente mit Merkmalsabmessungen von nur 0,18 μm gefertigt. Zum Beispiel kann der Abstand zwischen Bauelementen wie leitenden Linien oder Bahnen auf einem strukturierten Wafer um 0,18 μm getrennt sein, wodurch Aussparungen oder Zwischenräume. mit einer vergleichbaren Größe zurückbleiben. In der Regel wird eine nichtleitende Schicht aus Dielektrikum, wie Siliziumdioxid (Si02), über den Merkmalen abgeschieden, um die oben erwähnten Zwischenräume zu füllen und die Merkmale von anderen Merkmalen des integrierten Schaltkreises in benachbarten Schichten oder von benachbarten Merkmalen in derselben Schicht zu isolieren.
  • Dielektrische Schichten werden in verschiedenen Anwendungen verwendet, darunter als STI-Dielektrikum (STI = shallow trench isolation = Isolation mit flachem Trench) zum Isolieren von Bauelementen und Zwischenschichtdielektrikum (ILD = interlayer dielectric), das zwischen Metallverdrahtungsschichten oder vor einem Metallisierungsprozess gebildet wird. STI wird zum Isolieren von Bauelementen mit Merkmalsabmessungen von weniger als nur etwa 0,5 μm verwendet. Die Planarisierung von dielektrischen Schichten hat zunehmend an Bedeutung gewonnen, da die Packungsdichten von Halbleiterbauelementen weiter zunehmen.
  • Das Planarisierungsproblem wird unter Verwendung eines Beispiels eines typischen Prozesses zum Bilden einer Isolation mit flachem Trench (allgemein als STI-Integration bezeichnet) beschrieben, wie in den 1a19 dargestellt. In 1a ist auf einem Siliziumsubstrat 110 eine Bond-Oxidschicht 112 und eine Nitridschicht 114, wie Siliziumnitrid, abgeschieden. Die Nitridschicht 114 wird in der Regel mittels chemischer Dampfabscheidung bei Niederdruck (low pressure chemica1 vapor deposition, LPCVD) abgeschieden und dient als Ätz-Stopper für den chemisch-mechanischen Polierschritt (CMP). Unter Bezugnahme auf 1b wird über der Nitridschicht 114 eine untere antireflektierende Schicht (bottom anti-reflective coating, BARC) 116 zum Absorbieren von Licht, das vom Substrat 110 während der Photolithographie reflektiert wird, gebildet. In der Regel ein organisches Spin-On-Glas (SOG), wird die BARC 116 in der Regel für Licht mit Wellenlängen von unter etwa 248 nm, darunter tiefen Ultraviolettlichts (deep ultraviolet, DUV) und fernen Ultraviolettlichts (far ultraviolet, FUV), benötigt. Über der BARC 116 wird ein Fotoresist 118 gebildet und unter Verwendung einer Maske (nicht gezeigt), die die Stelle der Trenchs definiert, freigelegt. Der freigelegte Fotoresist wird dann abgelöst, um offene Flächen zum Bilden der Trenchs zu hinterlassen. In der Regel wird eine Plasmaätzung durchgeführt, um die offenen Flächen durch das Nitrid 114, Bond-Oxid 112 und Siliziumsubstrat 110 zu ätzen, um die Trenchs 120 zu bilden, wie in 1c gezeigt ist. Nachdem der verbleibende Fotoresist 118 und die BARC 116 entfernt wurden, wird in der Regel ein thermisches Oxid 122 auf dem Nitrid/Bond-Oxid und auf den Oberflächen der Trenchs 120 (Trenchboden 124 und Trenchwand 126) aufwachsen gelassen, um die Schädigung des Siliziumsubstrats 110 durch das Plasma zu reparieren, wie in 1d dargestellt ist.
  • Dann wird eine dielektrische Schicht 128 über dem thermischen Oxid 122 abgeschieden, um die Trenchs 120 zu füllen und die Nitridschicht 114 zu bedecken. Diese dielektrische Schicht 128 wird oftmals als eine Oxid-Trenchfüllschicht bezeichnet. Typische dielektrische Schichten werden aus Oxidmaterialien, wie Siliziumdioxid oder Silikatglas, gebildet. Wie in 1e gezeigt ist, ist das Oberflächenprofil der abgeschiedenen dielektrischen Schicht 128 abgestuft und ähnelt im Allgemeinen der Form des mit Trenchs versehenen Substrats 110. Das Oberflächenprofil ist in dichten Feldern mit schmalen Trenchs mit engem Abstand gleichförmiger als in offenen Feldern mit breiten Trenchs. Wie in 1e zu sehen ist, wird in dem Dielektrikumsprofil zwischen dem dichten Feld 134 und dem offenen Feld 132 eine Stufenhöhe 130 gebildet. Aufgrund der Stufenhöhe 130 ist es nicht praktikabel, CMP direkt nach dem Schritt der Abscheidung der dielektrischen Schicht anzuwenden, um die dielektrische Schicht 128 zu planarisieren, da andernfalls mit CMP ein Schalenentwicklungseffekt im offenen Feld 132 resultieren wird, wie in 1h zu sehen ist. Statt dessen wird ein Vorgang mit Umkehrmaske und Ätzung zum Ätzen des zusätzlichen Oxids angewendet, um ein ebeneres Oberflächenprofil zu erzielen, wie in 1f dargestellt ist. Dieser Vorgang schließt in der Regel die Schritte der Fotoresistabscheidung, Umkehrmaskierung, Härtung, Entfernung des geätzten Fotoresists, Rückätzung und Entfernung des verbleibenden Fotoresists ein. Dann wird ein CMP-Vorgang auf die Struktur von 1f angewendet, um die Oberfläche des gefüllten Substrats 110 vollständig zu planarisieren, wie in 19 gezeigt ist. Der Vorgang mit Umkehrmaske und Ätzung, den die Stufenhöhe erforderlich macht, fügt dem Planarisierungsvorgang erhebliche Kosten und Komplexität bei (beispielsweise aufgrund der involvierten hinzugefügten Lithographieschritte).
  • Aus der obigen Erörterung ist zu ersehen, dass mehrere Schritte, darunter zusätzliche Photolithographieschritte (die kostspielige Gerätschaft bedingen), erforderlich sind, um STr bereitzustellen. Es ist jedoch wünschenswert, die Anzahl der Schritte (und damit verbundener Gerätschaft, insbesonde re Photolithographiegerätschaft, die kostspielige Objektive, Lichtquellen usw. bedingt) zu reduzieren und verbesserte Ergebnisse zu erzielen, um einen wirtschaftlicheren und effizienteren Herstellungsprozess bereitzustellen. Zum Beispiel besteht eine Methode, verbesserte Ergebnisse zu erzielen, darin, eine selbst-planarisierte, Oxid-Trenchfüllschicht von hoher Qualität zu verringerten Kosten bereitzustellen.
  • Es ist eine Reihe von Vorgängen zum Abscheiden von dielektrischen Schichten bekannt, wie das Dielektrikum zum Füllen der Zwischenräume 128 als die Oxid-Trenchfüllschicht im in 1e gezeigten Beispiel. Eine Prozessart setzt O3 (Ozon) und TEOS (Tetraethylorthosilan) zum Abscheiden einer dielektrischen Schicht, wie Silikatglas, ein. Solche abgeschiedenen Schichten werden allgemein als „O3/TEOS-Schichten" bezeichnet. O3/TEOS-Prozesse weisen eine Oberflächenempfindlichkeit auf, die zunimmt, wenn das O3/TEOS-Verhältnis ansteigt. Aufgrund der Oberflächenempfindlichkeit variiert die DielektrikumsAbscheidungsrate entsprechend den Eigenschaften des Materials der darunter liegenden Schicht.
  • Es ist bekannt, die Oberflächenempfindlichkeit zu verringern, indem vor der Abscheidung der O3/TEOS-Schicht eine oberflächenunempfindliche Sperrschicht abgeschieden wird. Zum Beispiel schließt ein bekannter Prozess eine plasmagestützte TEOS-Abscheidung (PETEOS-Abscheidung, PETEOS plasma-enhanced TEOS), gefolgt von einer Oberflächenbehandlung und dann einer dünnen TEOS-Deckschicht. Dieser Prozess bedingt in unerwünschter Weise zusätzliche Prozessschritte. Ein anderes bekanntes Verfahren besteht darin, die Oberflächenempfindlichkeit zu senken, indem das O3/TEOS-Verhältnis herabgesetzt wird. Das Senken des O3/TEOS-Verhältnisses neigt jedoch dazu, in unerwünschter Weise in einer poröseren dielektrischen Schicht zu resultieren. Dies ist insbesondere problematisch, wenn die dielektrische Schicht für Isolationszwecke verwendet wird. Eine Methode, sich mit diesen Bedenken zu befassen, bestand darin, die Prozesstemperatur auf mehr als etwa 500°C anzuheben, das Anheben der Prozesstemperatur ist jedoch oftmals unerwünscht. Alternativ wurden ein zusätzlicher Temperprozess nach der Abscheidung der Oxid-Trenchfüllschicht und das Einschieben von PETEOS-Schichten angewendet, um die Oxid-Trenchfüllschicht zu verdichten. Dieses Verfahren leidet jedoch unter dem Erfordernis, einen zusätzlichen Schritt durchzuführen.
  • Anstatt die Oberflächenempfindlichkeit zu verringern, haben einige die Abscheidungsratenabhängigkeit von O3/TEOS-Schichten genutzt, um eine Füllung der Zwischenräume für ein mit Trenchs versehenes Siliziumsubstrat durchzuführen, wobei die Seitenwände des Trenchs mit Abstandsstücken aus thermischem Oxid bedeckt sind.
  • Bei Anwendung einer APCVD-Abscheidung (APCVD = atmospheric pressure CVD, CVD bei Atmo sphärendruck) von O3/TEOS und einer Ozonkonzentration von 5% wurde berichtet, dass ein schnelleres Wachstum der Schicht auf dem unteren Silizium als auf den seitlichen Wandabstandsstücken einer Bildung von Hohlräumen vorbeugte, um eine hohlraumfreie Füllung der Zwischenräume zu erzielen.
  • Andere haben die Durchführbarkeit des Bildens eines planarisierten zwischen den Metallen liegenden Dielektrikums (Intermetalldielektrikums, IMD) untersucht, indem die Oberflächenempfindlichkeit von O3/TEOS und ähnlichen Materialien, wie O3-Octamethylcyclotetrasiloxan (OMTC), ausgenutzt wurde.
  • Forscher haben von Schwierigkeiten beim Steuern der verschiedenen Abscheidungsraten berichtet, um eine Planarität zu erreichen. Zum Beispiel wurden an den Rändern von Aluminiummetalllinien beträchtliche Erhebungen beobachtet, die von den unterschiedlichen Abscheidungsraten des O3/TEOS auf einer TiN-ARC-Schicht auf dem Aluminium und den Aluminiumseitenwänden verursacht wurden. Manche derselben Forscher haben von zufriedenstellenderen Planarisierungsergebnisse beim Abscheiden von SiOz-Schichten auf einer Aluminiumleiterbahn, die auf einer PSG-Ebene (PSG Phosphorglas) unter Verwendung von O3-0MTC aufgebaut wurde, berichtet.
  • Angesichts des Obigen sind Versuche, Ebenheit zu erzielen, indem oberflächenempfindliche dielektrische Schichten abgeschieden wurden, nicht immer erfolgreich gewesen. Darüber hinaus haben die Erfinder entdeckt, dass diese Verfahren dielektrische Schichten produzieren können, die nicht die gewünschte Qualität aufweisen.
  • Die US-A-5, 665, 635 betrifft ein Verfahren zum Bilden von Feldoxidschichten in einem Halbleiterbauelement. Es ist eine Aufgabe dieser Offenbarung, ein einfaches Verfahren zum Bilden von Feldoxidschichten in einem Halbleiterbauelement bereitzustellen, mittels dem eine Isolierschicht gleichzeitig einen schmalen Trench und einen breiten Trench füllen kann, während die obere Fläche der Isolierschicht flach ist. Das Verfahren zum Bilden von Feldoxidschichten auf einem Halbleiterbauelement besteht im Behandeln einer Trenchmaske aus einem Nitrid und einem Trench-Oxid, um die Oberflächen positiv zu laden, so dass eine Ozon/TEOS-USG-Schicht bei einer schnellen Geschwindigkeit auf dem Trench-Oxid, jedoch bei einer langsamen Geschwindigkeit auf der Trenchmaske abgeschieden werden könnte. Anders ausgedrückt, es wird ein spezieller Oberflächenbehandlungsprozess auf eine solche Art und Weise ausgeführt, dass die über der Nitridschicht und den Trenchs gebildete Oxidschicht ein positives Potential an ihrer Oberfläche zum Erzielen einer schnelleren Wachstumsrate auf der Oxidschicht im Vergleich zur Wachstumsrate auf der Nitridschicht aufweist.
  • Benötigt werden effizientere und wirtschaftlichere Verfahren zur selbst-planarisierten Abscheidung einer Oxid-Trenchfüllschicht von hoher Qualität zur Integration der Isolation mit flachem Trench.
  • Diese Aufgabe wird von einem Verfahren nach Anspruch 1 erfüllt.
  • Die Erfindung stellt effizientere Verfahren zum Bereitstellen von Integration der Isolation mit flachem Trench durch Bilden von selbst-planarisierten Trenchfüllschichten von hoher Qualität unter Verwendung von oberflächenempfindlichen dielektrischen Materialien bereit. Die Abscheidungsratenabhängigkeit von dielektrischen Materialien, wie O3/TEOS-Schichten, wird effektiv genutzt, um Selbst-Planarisierung zu erzielen.
  • Zum weiteren Verständnis der Aufgaben und Vorteile der vorliegenden Erfindung sollte auf die folgende ausführliche Beschreibung in Verbindung mit den begleitenden Zeichnungen Bezug genommen werden.
  • 1a1h sind vertikale Schnittansichten eines Substrats, die die Trenchbildung und Trenchfüllung mit einem dielektrischen Material unter Anwendung von Abscheidungsverfahren des Stands der Technik darstellen;
  • 2a und 2b sind Ablaufdiagramme, die im Verfahren zum Bilden eines Trenchs gemäß der vorliegenden Erfindung verwendet werden;
  • 3a und 3b sind vertikale Schnittansichten von alternativen Ausführungsformen eines mit Trenchs versehenen Substrats, die die Verwendung von antireflektierenden CVD-Schichten gemäß der vorliegenden Erfindung darstellen;
  • 4 ist ein Ablaufdiagramm einer Ausführungsform des Verfahrens zum Bilden einer selbstplanarisierten Trenchfüllschicht, die gemäß der vorliegenden Erfindung gebildet wurde;
  • 5a und 5b sind vertikale Schnittansichten von alternativen Ausführungsformen eines Substrats mit einer selbst-planarisierten Trenchfüllschicht gemäß der vorliegenden Erfindung darstellen;
  • 6 ist ein Ablaufdiagramm einer Ausführungsform des Verfahrens zum Verarbeiten einer Trenchfüllschicht gemäß der vorliegenden Erfindung;
  • 7 ist eine vertikale Schnittansicht des Substrats von 5a, das mit einem oxidierenden Tempern gemäß der vorliegenden Erfindung verarbeitet wurde;
  • 8 ist eine vertikale Schnittansicht einer Ausführungsform einer Vorrichtung zur chemischen Dampfabscheidung, die für die vorliegende Erfindung verwendet wird;
  • 9 und 10 sind auseinander Ansichten von Teilen der in Fig. CVD-Kammer; gezogene perspektivische 8 bildlich dargestellten
  • 11 ist ein vereinfachtes Diagramm eines Systemmonitors und eines CVD-Systems in einem Multikammersystem, das eine oder mehrere Kammern enthalten kann;
  • 12 zeigt ein veranschaulichendes Blockdiagramm der hierarchischen Steuerstruktur der Systemsteuerungssoftware, des Computerprogramms, gemäß einer spezifischen Ausführungsform;
  • 13a und 13b sind SEM-Schnittansichten (SEM = scanning electron micrograph, mikroskopische Rasterelektronenaufnahme) einer Trenchfüllschicht, die unter Verwendung von Abscheidungsverfahren des Stands der Technik gebildet wurde;
  • 14a und 14b sind SEM-Schnittansichten einer Trenchfüllschicht, die nach dem Reinigen eines geätzten Trenchs mit thermischem Oxid auf den Trenchwänden gebildet wurde;
  • 15a und 15b sind SEM-Schnittansichten einer Trenchfüllschicht, die ohne thermisches Oxid auf den Trenchoberflächen gebildet wurde; und
  • 16a und 16b sind SEM-Schnittansichten einer Trenchfüllschicht, die nach dem Reinigen eines Trenchs ohne thermisches Oxid auf den Trenchoberflächen gebildet wurde.
  • I. Selbstplanarisierte Abscheidung einer dielektrischen Schicht bei Integration von flachem Trench
  • Spezifische Ausführungsformen der vorliegenden Erfindung werden unter Anwendung einer STI-Integration als einem Beispiel veranschaulicht. Die Vorteile der verschiedenen Ausführungsformen der Erfindung können mittels Vergleich mit den Verfahren des Stands der Technik, wie in den 1a1h dargestellt, leicht erkannt werden. Spezifisch stellt die vorliegende Erfindung eine effizientere Integration der Isolation mit flachem Trench bereit, indem eine selbst-planarisierte Abscheidung einer dielektrischen Trenchfüllschicht bereitgestellt wird, ohne einen Verlust der Qualität der dielektrischen Schicht zu bewirken. Es versteht sich, dass der Schutzumfang der Erfindung nicht notwendigerweise auf STI-Integration beschränkt ist.
  • A. Bilden eines Trenchs
  • 2a und 2b veranschaulichen alternative Verfahren zum Bilden eines Trenchs auf einem Substrat, das in der Regel aus Silizium hergestellt ist. Unter Bezugnahme auf 2a besteht der erste Schritt 210 darin, eine antireflektierende CVD-Schicht (CVD anti-reflective coating, CVD-ARC) direkt auf das Siliziumsubstrat aufzutragen. Die Verwendung von CVD-ARC eliminiert vor allem das Erfordernis von Bond-Oxid- und Nitridschichten, die in der Regel zur STI-Integration verwendet werden. Im Gegensatz zu der allgemein verwendeten organischen Spin-On-BARC ist die CVD-ARC ein anorganisches Material, das in der Regel beispielsweise Siliziumnitrid, Siliziumoxynitrid oder Siliziumcarbid umfasst. Die CVD-ARC wird abgeschieden, indem die chemische Reaktion der Prozessgase in einem CVD-System vorangetrieben wird. Zum Beispiel wird eine CVD-ARC, die Silizium und Stickstoff und/oder Sauerstoff enthält (auch als eine dielektrische ARC oder DARC bekannt), abge schieden, indem die folgenden Prozessgase in plasmagestützte CVD (plasmaenhanced CVD, PECVD) eingeführt werden: ein Silizium enthaltendes Gas (wie Silan oder TEOS) und ein Stickstoff enthaltendes Gas und/oder ein Sauerstoff enthaltendes Gas. Distickoxid (N20) kann zum Zuführen von Stickstoff und Sauerstoff verwendet werden, es können aber natürlich andere Sauerstoff- und Stickstoffquellen verwendet werden. In der Regel wird zum Steuern der Abscheidungsrate des Prozesses und der Schichtdicke und zum Stabilisieren des Prozesses ein Inertgas, wie Helium oder Argon, verwendet. Ein Beispiel einer geeigneten CVD-Vorrichtung ist in der US-Patentschrift Nr. 5,558,717 mit dem Titel "CVD PROCESSING CHAMBER", an Zhao et al. erteilt, beschrieben. Das Gasverhältnis kann so eingestellt werden, dass eine Schichtzusammensetzung mit den gewünschten optischen Eigenschaften (Brechungsindex und Absorptionsindex) der abgeschiedenen CVD-ARC erzielt wird. Eine CVD-ARC-Siliziumnitridschicht unterscheidet sich von der herkömmlichen LPCVD-Siliziumnitridschicht darin, dass die Schichtzusammensetzung des LPCVD-Siliziums nicht modifiziert werden kann, da es sich bei LPCVD nicht um einen plasmagestützten Prozess, sondern einen thermischen Prozess handelt. Einige der Techniken, die zum Abscheiden der CVD-ARC verwendet werden können, sind in der US-Patentschrift Nr. 5968324 mit dem Titel "METHOD AND APPARATUS FOR DEPOSITING ANTIREFLECTIVE COATING" mit David Cheung, Joe Feng, Judy H. Huang und Wai-Fan Yau als Erfindern; der US-Patentschrift Nr. 6 209 484 mit dem Titel "METHOD AND APPARATUS FOR DEPOSITING AN ETCH STOP LAYER" mit Judy H. Huang, Wai-Fan Yau, David Cheurtg und Chan-Lon Yang als Erfindern und „Novel ARC Optimization Methodology for KrF Excimer Laser Li thography at Low KI Factor" von Tohru Ogawa, Mi tsunori Kimura, Yoichi Tomo und Toshiro Tsumori, in den SPIE Proceedings (Optical/Laser Microlithography V), Band 1674, Seiten 362–375 (1992), veröffentlicht, beschrieben. Die zwei Anmeldungen sind Applied Materials, Inc. übertragen, dem Bevollmächtigten der vorliegenden Erfindung.
  • In einer Ausführungsform wird ein gewünschtes Verhältnis von Silan (SiH4) zu N20 zum Abscheiden einer DARC gewählt. Darüber hinaus werden N2 und NH3 eingeführt, um die optischen und chemischen Eigenschaften der abgeschiedenen DARC weiter zu steuern. Die Auswirkungen von N2 und NH3 sind besonders in Prozessregimes bestimmend, in denen SiH4 und N20 eine minimale oder keine Auswirkung auf die DARC-Eigenschaften haben, z.B. bei niedriger Temperatur. Der Zusatz von NH3 und N2 zum Prozess ändert ferner die Zusammensetzung der Schicht, was größere Freiheiten und eine feinere Abstimmung des Brechungsindex und des Absorptionsindex ermöglicht. Des Weiteren ist der Prozess mit der Verwendung von Helium kompatibel, das kosteneffizienter als Argon ist. Helium ermöglicht außerdem eine verbesserte Kontrolle der Spannungen in der abgeschiedenen DARC-Schicht. Dies hilft dabei, zu verhindern, dass die Schicht zu dehnbar wird, was bewirken kann, dass sie nach der Abscheidung vom Substrat abplatzt.
  • Die CVD-ARC hat die Fähigkeit, Licht zu absorbieren, das während der Photolithographie vom Substrat reflektiert wird, ähnlich der BARC (1b). Darüber hinaus weist die CVD- ARC eine Reflexionseigenschaft auf, die ihr ermöglicht, Licht zu reflektieren, das vom Licht, das vom Substrat reflektiert wird, in der Phase verschoben ist, so dass die zwei einander in einer so genannten Phasenänderungsaufhebung aufheben.
  • Die CVD-ARC hat zudem die wichtige zusätzliche Fähigkeit, als Ätz-Stopper für die CMP zu dienen, was es möglich macht, die LPCVD-Nitridschicht zu eliminieren (19, wie oben erwähnt). Des Weiteren kann die CVD-ARC im Gegensatz zum LPCVD-Nitrid direkt auf das Siliziumsubstrat aufgetragen werden. Die Bond-Oxidschicht (1a) wird nicht mehr benötigt, um den Übergang von Spannungen zwischen dem Siliziumsubstrat und einer LPCVD-Nitridschicht zu dämpfen. Folglich kann die einzelne CVD-ARC-Schicht das Bond-Oxid, das LPCVD-Nitrid und die BARC ersetzen, was in einer einfacheren Struktur und einem effizienteren Verfahren zum Vorbereiten des Substrats für STI resultiert. Die CVD-ARC dient sowohl Photolithographie- und CMP-Zwecken und ist außerdem eine gute Barriere gegenüber Sauerstoffdiffusion.
  • Erneut unter Bezugnahme auf 2a wird bei Schritt 212 über der CVD-ARC ein Fotoresist gebildet. Der Fotoresist wird freigelegt, um die Trenchstelle zu definieren, an der der Trench zu bilden ist (Schritt 214), und der freigelegte Fotoresist wird dann gemäß einer spezifischen Ausführungsform an der Trenchstelle abgelöst (Schritt 216). Ein Ätzschritt 218 wird zum Ätzen der CVD-ARC und des Siliziumsubstrats durchgeführt, um den Trench an der Trenchstelle zu bilden. Bei Schritt 220 wird der verbleibende Fotoresist entfernt. Gemäß einigen spezifischen Ausführungsformen kann ein optionaler Reinigungsschritt 222 durchgeführt werden, um den Trench zu reinigen und Verunreinigungen zu entfernen. Der Reinigungsschritt 222 kann beispielsweise einen herkömmlichen Nassätzvorgang unter Verwendung einer Mischung, die Fluorwasserstoffsäure (HF) enthält, einsetzen. Die resultierende Struktur ist in 3a dargestellt, die das Siliziumsubstrat 224 mit einer darauf gebildeten CVD-ARC 226 mit einer Dicke von etwa 100–200 nm (1000–2000 A) zeigt. Der gebildete Trench 228 weist einen Trenchboden 230 und eine Trenchwand 232 auf.
  • Im Vergleich zu der in den 1a1d dargestellten herkömmlichen Vorgehensweise kann das Verfahren von 2a den Prozess des Wachsens eines thermischen Oxids über den Oberflächen des Trenchs eliminieren, der herkömmlich angewendet wird, um die Schädigung des Siliziumsubstrats durch das Plasma während der Trenchbildung zu reparieren. Die Erfinder haben festgestellt, dass ein Abscheiden des oberflächenempfindlichen dielektrischen Materials, wie O3/TEOS, direkt über einem Siliziumtrench die Qualität der zu bildenden Trenchfüllschicht im Vergleich zu vorherigen Vorgehensweisen erheblich verbessert und dass der Reinigungsschritt 222 die Schichtqualität weiter verbessern kann, wie im Folgenden ausführlicher erörtert wird. Darüber hinaus haben die Erfinder entdeckt, dass ein oxidierender Temperprozess nach Bildung der Trenchfüllschicht dazu verwendet werden kann, ein thermisches Oxid an dem Trenchboden und der Trenchwand wachsen zu lassen, wie im Folgenden erörtert wird.
  • Die CVD-ARC kann in spezifischen Ausführungsformen der Erfindung verwendet werden. Gemäß einigen spezifischen Ausführungsformen können im Folgenden beschriebene anschließende Prozessschritte angewendet werden, ungeachtet dessen, ob die CVD-ARC oder die vorherige BARC/Nitrid/Oxid-Kombination verwendet wird. Folglich wird nach der Bildung des Trenchs statt dessen der Ausdruck "Ätzstoppschicht" verwendet werden und soll als eine LPCVD-Nitridschicht mit einer Bond-Oxid-Schnittstelle) oder CVD-ARC verstanden werden.
  • In der in 2b gezeigten alternativen Ausführungsform sind die Schritte 250260 mit den Schritten 210220 von 2a identisch und werden zum Ätzen des Trenchs unter Verwendung des Fotoresists ausgeführt. Nachdem der Fotoresist entfernt wurde (Schritt 260), werden jedoch zwei zusätzliche Prozessschritte durchgeführt. In Schritt 262 wird ein zu dem in 1d gezeigten ähnliches thermisches Oxid auf dem Trenchboden und der Trenchwand aufwachsen gelassen. Das thermische Oxid wird beispielsweise unter Verwendung eines herkömmlichen Plasmaätzvorgangs weggeätzt (Schritt 264). Die resultierende Struktur ist in 3b dargestellt, die ein Siliziumsubstrat 270 mit einer darauf gebildeten Ätzstoppschicht 272 (CVD-ARC oder LPCVD-Nitrid) und einen Trench 274 mit einem Trenchboden 276 und einer Trenchwand 278 zeigt. Nach dem Entfernen des thermischen Oxids am Trenchboden 276 ist das verbleibende thermische Oxid 280 an der Trenchwand 278 gezeigt. Danach wird in vorteilhafter Weise ein Reinigungsschritt 266 unter Anwendung eines Nassätzprozesses mit einer HF-Mischung oder dergleichen, um Verunreinigungen zu entfernen, durchgeführt. Wie im Folgenden erörtert ist, haben die Erfinder entdeckt, dass dieser Reinigungsschritt 266 die Qualität der Trenchfüllschicht, die abgeschieden werden wird, verbessert.
  • B. Abscheiden einer Trenchfüllschicht
  • Unter Bezugnahme auf 4 wird, nachdem das mit Trenchs versehene Substrat (224 in 3a oder 270 in 3b) hergestellt wurde, es in Schritt 290 in einer Prozesskammer (wie der Kammer 15 von 8) platziert. In der Regel wird in Schritt 292 ein Inertgas in die Kammer einströmen gelassen, um den Druck in der Kammer zu stabilisieren, bevor reaktionsfähige Prozessgase eingeführt werden. Als Nächstes wird ein Precursorgas mit einer Oberflächenempfindlichkeits- und Wachstumsratenabhängigkeit auf unterschiedlich zusammengesetzten Oberflächen in die Kammer eingeführt (Schritt 294). Ein Beispiel eines geeigneten Precursorgases ist TEOS. Da TEOS ein flüssiger Pre cursor ist, steuert eine geeignete Vorrichtung das Durchperlen eines Zuführgases, wie Helium, durch das TEOS in einer Waschflaschenanordnung oder das Einführen eines Trägergases, wie Helium oder Stickstoff, in ein Einspritzsystem für Flüssigkeiten, um das TEOS zu verdunsten und ein Prozessgas mit den gewünschten Flussraten zu bilden. Ein Ozongas wird in die Kammer einströmen gelassen (Schritt 296), um mit dem TEOS zu reagieren, um eine O3/TEOS-Trenchfüllschicht über dem Substrat abzuscheiden. Die Abscheidungsrate der O3/TEOS-Schicht ist auf dem unteren Boden des Trenchs (bei dem es sich um Silizium handelt) schneller als auf den höheren Oberflächen der oberen Abschnitte des Substrats, die die Ätzstoppschicht (bei der es sich um LPCVD-Nitrid oder CVD-ARC handelt) umfassen. Die relativen Abscheidungsraten der O3/TEOS-Schicht auf den unteren und den höheren Oberflächen werden in Schritt 298 reguliert, indem das O3/TEOS-Verhältnis eingestellt wird, bis die O3/TEOS-Schicht eine im Wesentlichen selbst-planarisierte dielektrische Oberfläche entwickelt.
  • Das O3/TEOS-Verhältnis kann eingestellt werden, indem die Flussraten des O3 und/oder TEOS eingestellt werden. Zum Beispiel kann ein vorbestimmtes O3/TEOS-Verhältnis gewählt werden und die relativen Flussraten können eingestellt werden, um jenes Verhältnis in Schritt 298 zu erzielen. Es ist vorteilhaft, das O3/TEOS-Verhältnis zu maximieren, um die Abscheidung vom Trenchboden zu beschleunigen, um Ebenheit zu erzielen. O3/TEOS-Verhältnisse von wünschenswert mehr als etwa 10:1 und wünschenswerter etwa 10:1 bis 20:1 können angewendet werden.
  • Die Abscheidung der Trenchfüllschicht kann bei einer verhältnismäßig niedrigen Temperatur von weniger als etwa 500°C erfolgen. Dies vermeidet in vorteilhafter Weise die unerwünschte Bildung von Aluminiumfluorid, die auftreten kann, wenn die Abscheidung bei höheren Temperaturen von mehr als etwa 500°C (z.B. erforderlichenfalls um die dielektrische Schicht für niedrige O3/TEOS-Verhältnisse zu verdichten) in Verarbeitungskammern erfolgt, die Aluminiummaterialien enthalten, und die längere Reinigungszeit, die zum Entfernen des Aluminiumfluorids erforderlich ist. Andere Prozessparameter, wie Druck und Flussraten, können eingestellt werden, um den Abscheidungsprozess für ein gewähltes O3/TEOS-Verhältnis zu optimieren. Ein Druck, der von etwa 26,7 bis 93,3 kPa (200 bis 700 Torr) reicht, ist zur Verwendung mit O3/TEOS-Verhältnissen von 10:1 bis 20:1 bevorzugt.
  • 5a und 5b stellen die selbst-planarisierten dielektrischen Trenchfüllschichten 300 bzw. 302 dar, die über den Substraten 224, 270 von 3a und 3b abgeschieden wurden, die unter Anwendung der Verfahren von 5a bzw. 5b hergestellt wurden. Wie im Folgenden ausführlicher erörtert ist, stellen die vorliegenden Verfahren nicht nur eine selbst-selbstplanarisierte Abscheidung der Trenchfüllschichten bereit, sondern stellen außerdem sicher, dass diese Schichten eine hohe Qualität aufwei sen.
  • C. Verarbeiten der Trenchfüllschicht
  • Unter Bezugnahme auf 6 stellen die Schritte 310 und 312 einen oxidierenden Temperprozess dar, der angewendet werden kann, um ein thermisches Oxid an den Trenchoberflächen wachsen zu lassen, nachdem die Trenchs mit der abgeschiedenen Trenchfüllschicht gefüllt wurden. Obgleich der Prozess über eine allgemeine Anwendbarkeit über die hierin beschriebene STI-Integration hinaus verfügt, hat er in diesem Beispiel bestimmte Vorteile, da das vorherige Wachsen des thermischen Oxids (1d) eliminiert wurde, um die Bildung einer Trenchfüllschicht von hoher Qualität (z.B. O3/TEOS) und eine umfassende Planarisierung im Verfahren von 2a sicherzustellen. Dieses anschließende oxidierende Tempern bewirkt nicht nur, dass ein thermisches Oxid an den Trenchoberflächen wächst, sondern es verdichtet im Wesentlichen gleichzeitig die Trenchfüllschicht, wodurch deren Qualität weiter verbessert wird. Eine dichte Schicht ist vorteilhaft, insbesondere für Isolationszwecke. Das oxidierende Tempern wird durchgeführt, indem das Substrat in Schritt 310 einem Sauerstoff enthaltenden Gas (wie molekularer Sauerstoff, Dampf und ein beliebiges Precursorgas mit Sauerstoff) ausgesetzt wird und das Substrat in Schritt 312 auf eine geeignete Temperatur (z.B. mehr als etwa 800°C) aufgeheizt wird. Während das Substrat 224 von 5a dem oxidierenden Tempern unterzogen wird, wird ein thermisches Oxid 308 längs der Oberflächen des Trenchs 228 aufwachsen gelassen, wie in 7 dargestellt ist. Das oxidierende Tempern wird in wünschenswerter Weise nach dem CMP vorgenommen, um den CMP-Prozess zu verbessern, da die oberflächenempfindliche Abscheidung auf aktiven Flächen (LPCVD-Nitrid oder CVD-ARC) poröser ist als auf den Trenchs (Silizium), wie abgeschieden. Dieser Unterschied bei der Schichtdichte kann für den CMP-Prozess vorteilhaft sein, da die CMP-Rate über der aktiven Fläche höher als über den Trenchs sein wird.
  • Der letzte Schritt 314 besteht darin, das Trenchfüllmaterial selektiv zu entfernen und zu Planarisieren, in der Regel mittels CMP. Dieser Schritt entfernt das Trenchfüllmaterial über der Ätzstoppschicht, bei der es sich um LPCVD-Nitrid oder CVD-ARC handeln kann. Da das dielektrische Profil im Wesentlichen eben ist, ist kein Vorgang mit Umkehrmaske und Ätzung erforderlich und der CMP-Schritt kann schneller abgeschlossen werden als im Fall, in dem das dielektrische Profil abgestuft ist, wie das in 1f gezeigte. Dies verringert die Prozesszeit weiter und steigert den Durchsatz.
  • II. Ein beispielhaftes CVD-System (das nicht Teil der Erfindung bildet und nur zu Veranschaulichungszwecken offenbart ist)
  • Eine geeignete CVD-Vorrichtung, in der das Verfahren der vorliegenden Erfindung ausgeführt werden kann, ist in 8 gezeigt, bei der es sich um vertikale Schnittansichten eines CVD-Systems mit einer Vakuum- oder Verarbeitungskammer 15, die eine Kammerwand 15a und eine Kammerdeckelanordnung 15b umfasst, handelt. Die Kammerwand 15a und die Kammerdeckelanordnung 15b sind in den 9 und 10 in auseinander gezogenen, perspektivischen Ansichten gezeigt.
  • Das CVD-System 10 umfasst einen Gasverteiler 11 zum Verteilen von Prozessgasen auf einem Substrat (nicht gezeigt), das auf einem erhitzten Podest 12 aufliegt, das in der Prozesskammer zentriert ist. Während der Verarbeitung wird das Substrat (z.B. ein Halbleiterwafer) auf einer flachen (oder leicht konvexen) Oberfläche 12b des Podests 12 angeordnet. Das Podest kann regulierbar zwischen einer unteren Lade-/Abladeposition (nicht gezeigt) und einer oberen Verarbeitungsposition (in 8 gezeigt), die nah an den Verteiler 11 angrenzt, bewegt werden. Eine Zentralplatine (nicht gezeigt) enthält Sensoren zum Bereitstellen von Informationen zur Position der Wafer.
  • Abscheide- und Trägergase werden durch Perforationen 13b (10) einer herkömmlichen flachen, kreisförmigen Gasverteilung oder Blende 13a in die Kammer 15 eingeführt. Spezifischer strömen Abscheideprozessgase durch den Einlassverteiler 11 (durch Pfeil 40 in 8 angezeigt), durch eine herkömmliche perforierte Sperrplatte 42 und dann durch Löcher 13b in der Gasverteilungsblende 13a in die Kammer ein.
  • Bevor sie den Verteiler erreichen, werden Abscheide- und Trägergase von Gasquellen 7 durch Gaszuführleitungen 8 (8) in ein Mischsystem 9 eingebracht, wo sie vereint und dann an den Verteiler 11 gesendet werden.
  • Der im CVD-System 10 durchgeführte Abscheidungsprozess kann entweder ein thermischer Prozess oder ein plasmagestützter Prozess sein. In einem plasmagestützten Prozess legt eine HF-Stromversorgung 44 zwischen der Gasverteilungsblende 13a und dem Podest elektrische Leistung an, um so die Prozessgasmischung anzuregen, um ein Plasma in dem zylindrischen Bereich zwischen der Blende 13a und dem Podest zu bilden. (Dieser Bereich wird hierin als der „Reaktionsbereich" bezeichnet.) Bestandteile des Plasmas reagieren dahingehend, eine gewünschte Schicht auf der Oberfläche des Halbleiterwafers abzuscheiden, der vom Podest 12 getragen wird. Die HF-Stromversorgung 44 ist eine HF-Stromversorgung mit Mischfrequenz, die in der Regel Leistung bei einer hohen HF-Frequenz (RF1) von 13,56 MHz und bei einer niedrigen HF-Frequenz (RF2) von 360 kHz liefert, um den Abbau von reaktionsfähigen Spezies, die in die Vakuumkammer 15 eingeführt wurden, zu fördern. In einem thermischen Prozess würde die HF-Stromversorgung 44 nicht genutzt werden und die Prozessgasmischung reagiert thermisch dahingehend, die gewünschten Schichten auf der Oberfläche des Halbleiterwafers abzuscheiden, der vom Podest 12 getragen wird, der mittels Heizwiderständen erhitzt wird, um Wärmeenergie für die Reaktion zu liefern.
  • Während eines plasmagestützten Abscheidungsprozesses erhitzt das Plasma die gesamte Prozesskammer 10, einschließlich der Wände des Kammerkörpers 15a, die den Auslassdurchgang 23 und das Sperrventil 24 umgibt. Wenn das Plasma nicht aktiviert ist oder während eines thermischen Abscheidungsprozesses, wird eine heiße Flüssigkeit durch die Wände 15a der Prozesskammer zirkuliert, um die Kammer bei einer erhöhten Temperatur zu halten.
  • Der Rest der Gasmischung, der nicht in einer Schicht abgeschieden wird, einschließlich Reaktionsprodukten, wird mittels einer Vakuumpumpe (nicht gezeigt) aus der Kammer abgesaugt. Spezifisch werden die Gase durch eine ringförmige Öffnung in Schlitzform 16, die den Reaktionsbereich umgibt, und in eine ringförmige Auslasssammelkammer 17 ausgesaugt. Der ringförmige Schlitz 16 und die Sammelkammer 17 werden von dem Zwischenraum zwischen der Oberseite der zylindrischen Seitenwand 15a der Kammer (einschließlich der oberen dielektrischen Auskleidung 19 auf der Wand) und dem Boden des kreisförmigen Kammerdeckels. 20 definiert. Die kreisförmige 3600-Symmetrie und Gleichförmigkeit der Schlitzöffnung 16 und der Sammelkammer 17 sind wichtig, um einen einheitlichen Fluss von Prozessgasen über den Wafer zu erzielen, um so eine einheitliche Schicht auf dem Wafer abzuscheiden.
  • Von der Auslasssammelkammer 17 strömen die Gase unter einem seitlichen Erweiterungsabschnitt 21 der Auslasssammelkammer 17 durch, an einem Beobachtungsfenster (nicht gezeigt) vorbei, durch einen sich nach unten erstreckende Gasdurchtritt 23 hindurch, an einem Vakuumsperrventil 24 (dessen Körper in die untere Kammerwand 15a integriert ist) vorbei und in den Auslass 25 hinein, der mit der externen Vakuumpumpe (nicht gezeigt) durch eine Vorleitung (ebenfalls nicht gezeigt) verbunden ist.
  • Ein Hebemechanismus und -motor (nicht gezeigt) hebt die erhitzte Podestanordnung 12 und deren Waferhebestifte 12b an und senkt diese herab, während Wafer mittels einer Automatikschneide (nicht gezeigt) durch eine Öffnung zum Einsetzen/Herausnehmen 26 in der Seite der Kammer 10 in den Körper der Kammer und aus diesem heraus überführt werden. Der Motor hebt das Podest 12 zwischen einer Verarbeitungsposition 14 und einer niedrigeren Waferladeposition an und senkt ihn dazwischen ab. Der Motor, die Ventile oder Mengenregler, die mit den Zuführleitungen 8 verbunden sind, das Gaszuführungssystem, das Drosselventil, die HF-Stromversorgung 44 und die Kammer- und Substratheizsysteme werden alle von einer Systemsteuereinrichtung 34 (8) über Steuerleitungen 36, von denen nur einige gezeigt sind, gesteuert. Die Steuereinrichtung 34 stützt sich auf Rückmeldung von optischen Sensoren, um die Position von beweglichen mechanischen Anordnungen, wie dem Drosselventil und Nebenschluss, zu bestimmen, die von entsprechenden Motoren unter der Steuerung der Steuereinrichtung 34 bewegt werden.
  • In einer bevorzugten Ausführungsform beinhaltet die Systemsteuereinrichtung ein Festplattenlaufwerk (Speicher 38), ein Diskettenlaufwerk und einen Prozessor 37. Der Prozessor enthält einen Einkartenrechner (single-board computer, SBC), analoge und digitale Ein-/Ausgabe-Karten, Schnittstellenbaugruppen und Schrittmotorsteuerplatinen. Verschiedene Teile des CVD-Systems 10 entsprechen dem VME-Standard(VME = Versa Modular European), der Platinen-, Baugruppenrahmen und Verbindungsgliedabmessungen und -typen definiert. Der VME-Standard definiert auch die Busstruktur mit einem 16-Bit-Datenbus und einem 24-Bit-Adressbus.
  • Die Systemsteuereinrichtung 34 steuert alle Aktivitäten der CVD-Maschine. Die Systemsteuereinrichtung führt Systemsteuerungssoftware aus, bei der es sich um ein Computerprogramm handelt, das in einem computerlesbaren Medium, wie einem Speicher 38, gespeichert ist. Vorzugsweise ist der Speicher 38 ein Festplattenlaufwerk, der Speicher 38 kann jedoch auch eine andere Art von Speicher sein. Das Computerprogramm enthält Sätze von Befehlen, die die zeitliche Abstimmung, die Mischung von Gasen, den Kammerdruck, die Kammertemperatur, die HF-Leistungsniveau, die Nebenschlussposition und andere Parameter eines bestimmten Prozesses vorschreiben. Andere auf anderen Speichereinrichtungen, darunter beispielsweise eine. Diskette oder ein anderes geeignetes Laufwerk, gespeicherte Computerprogramme können ebenfalls zum Betreiben der Steuereinrichtung 34 verwendet werden.
  • Die Schnittstelle zwischen einem Benutzer und der Steuereinrichtung 34 ist über einen CRT-Monitor 50a und einen Lichtgriffel 50b, wie in 11 gezeigt, bei der es sich um ein vereinfachtes Diagramm des Systemmonitors und des CVD-Systems 10 in einem Substratverarbeitungssystem, das eine oder mehrere Kammern enthalten kann, handelt. In der bevorzugten Ausführungsform werden zwei Monitore 50a verwendet, einer in der Wand eines Reinraums für die Bediener und der andere hinter der Wand für die Servicetechniker angebracht. Die Monitore 50a zeigen gleichzeitig dieselben Informationen an, es ist jedoch nur ein Lichtgriffel 50b aktiviert." Ein Lichtsensor in der Spitze des Lichtgriffels 50b erfasst von der CRT-Anzeige abgestrahltes Licht. Um ein bestimmtes Fenster oder eine bestimmte Funktion auszuwählen, berührt der Bediener einen vorgesehenen Bereich des Anzeigebildschirms und drückt die Taste auf dem Griffel 50b. Der berührte Bereich wechselt seine hervorgehobene Farbe oder es wird ein neues Menü oder ein neues Fenster angezeigt, was die Kommunikation zwischen dem Lichtgriffel und dem Anzeigebildschirm. Andere Geräte, wie eine Tastatur, eine Maus oder ein anderes Zeige- oder Kommunikationsgerät, können anstelle des Lichtgriffels 50b oder zusätzlich zu diesem verwendet werden, um dem Benutzer zu ermöglichen, mit der Steuereinrichtung 34 zu kommunizieren.
  • 12 ist ein veranschaulichendes Blockdiagramm der hierarchischen Steuerstruktur der Systemsteuerungssoftware, des Computerprogramms 70, gemäß einer spezifischen Ausführungsform. Unter Verwendung der Lichtgriffelschnittstelle gibt ein Benutzer gibt als Reaktion auf Menüs oder Fenster, die auf dem CRT-Monitor angezeigt werden, eine Prozesssatznummer und eine Prozesskammernummer in eine Prozessauswahl-Unterroutine 73 ein. Die Prozessauswahl-Unterroutine 73 identifiziert (i) die gewünschte Prozesskammer und (ii) den gewünschten Satz von Prozessparametern, der zum Betreiben der Prozesskammer zum Durchführen des gewünschten Prozesses erfordert wird. Die Prozessparameter zum Durchführen eines spezifischen Prozesses beziehen sich auf Prozessbedingungen, wie beispielsweise Prozessgaszusammensetzung und -flussraten, Temperatur, Druck, Plasmabedingungen, wie HF-Leistungsniveau und die niedrige HF-Frequenz, Kühlgasdruck und Kammerwandtemperatur. Diese Parameter werden vom Benutzer in Form einer Rezeptur bereitgestellt und werden unter Nutzung der Lichtgriffel/CRT-Monitor-Schnittstelle eingegeben.
  • Eine Prozessablaufsteuerungs-Unterroutine 75 umfasst Programmcode zum Annehmen der von der Prozessauswahl-Unterroutine 73 identifizierten Prozesskammer und des von der Prozessauswahl-Unterroutine 73 identifizierten Satzes von Prozessparametern und zum Steuern des Betriebs der verschiedenen Prozesskammern.
  • Nachdem die Ablaufsteuerungs-Unterroutine 75 bestimmt hat, welche Kombination von Prozesskammer und Prozesssatz als Nächstes ausgeführt werden wird, bewirkt die Ablaufsteuerungs-Unterroutine 75 die Ausführung des Prozesssatzes, indem die bestimmten Prozesssatzparameter an eine Kammermanager-Unterroutine 77a–c weitergeleitet werden, die mehrere Verarbeitungsaufgaben in einer Prozesskammer 15 gemäß dem Prozesssatz, der von der Ablaufsteuerungs-Unterroutine 75 bestimmt wurde, steuert. Zum Beispiel umfasst die Kammermanager-Unterroutine 77a Programmcode zum Steuern von Sputter- und CVD-Prozessarbeitsschritte in der Prozesskammer 15. Die Kammermanager-Unterroutine 77 steuert außerdem die Ausführung von verschiedenen Kammerkomponenten-Unterroutinen, die den Betrieb der Kammerkomponenten steuert, die zum Durchführen des ausgewählten Prozesssatzes erforderlich sind. Beispiele von Kammerkomponenten-Unterroutinen sind eine Substratpositionierungs-Unterroutine 80, eine Prozessgassteuerungs-Unterroutine 83, eine Drucksteuerungs-Unterroutine 85, eine Heizgerätsteuerungs-Unterroutine 87 und eine Plasmasteuerungs-Unterroutine 90.
  • Der Betrieb der bestimmten Kammerkomponenten-Unterroutinen wird nun unter Bezugnahme auf 12 beschrieben. Die Substratpositionierungs-Unterroutine 80 umfasst Programmcode zum Steuern von Kammerkomponenten, die zum Laden des Substrats auf das Podest 12 und gegebenenfalls zum Anheben des Substrats auf eine gewünschte Höhe in der Kammer 15, um den Abstand zwischen dem Substrat und dem Gasverteiler 11 zu steuern, verwendet werden.
  • Die Prozessgassteuerungs-Unterroutine 83 weist Programmcode zum Steuern der Prozessgaszusammensetzung und -flussraten auf. Die Prozessgassteuerungs-Unterroutine 83 steuert die Auf/Zu-Stellung der Sicherheitsabsperrventile und stellt zudem die Massenstromregler linear höher/niedriger ein, um die gewünschte Gasflussrate zu erzielen.
  • In manchen Prozessen wird ein Inertgas, wie Helium oder Argon, in die Kammer 15 einströmen gelassen, um den Druck in der Kammer zu stabilisieren, bevor reaktionsfähige Prozessgase eingeführt werden. Für diese Prozesse wird die Prozessgassteuerungs-Unterroutine 83 so programmiert, dass sie Schritte zum Einströmen des Inertgases in die Kammer 15 für einen Zeitraum, der zum Stabilisieren des Drucks in der Kammer erforderlich ist, beinhaltet, und dann würden die oben beschriebenen Schritte ausgeführt werden. Darüber hinaus ist die Prozessgassteuerungs-Unterroutine 83, wenn ein Prozessgas aus einem flüssigen Precursor, beispielsweise TEDS, verdunstet werden soll, so geschrieben, dass es Schritte zum Durchperlen eines Zuführgases wie Helium, durch den flüssigen Precursor in einer Waschflaschenanordnung oder zum Einführen eines Trägergases, wie Helium oder Stickstoff, in ein Einspritzsystem für Flüssigkeiten beinhaltet. Wenn für diese Art von Prozess eine Waschflasche verwendet wird, regelt die Prozessgassteuerungs-Unterroutine 83 den Fluss des Zuführgases, den Druck in der Waschflasche und die Waschflaschentemperatur, um die gewünschten Prozessgasflussraten zu erzielen.
  • Die Drucksteuerungs-Unterroutine 85 umfasst Programmcode zum Steuern des Drucks in der Kammer 15, indem die Größe der Öffnung des Drosselventils im Auslasssystem der Kammer geregelt wird. Die Größe der Öffnung des Drosselventils wird eingestellt, um den Kammerdruck auf den gewünschten Niveau in Beziehung zum Gesamtprozessgasfluss, zur Größe der Prozesskammer und zum Purnpsolldruck für das Auslasssystem zu steuern.
  • Die Heizgerätsteuerungs-Unterroutine 87 umfasst Programmcode zum Steuern der Stromstärke zu einer Heizeinheit, die zum Erhitzen des Substrats 20 verwendet wird. Die Heizgerätsteuerungs-Unterroutine 87 wird auch von der Kammermanager-Unterroutine 77a aufgerufen und empfängt einen Ziel- oder Sollwerttemperaturparameter.
  • Die Plasmasteuerungs-Unterroutine 90 umfasst Programmcode zum Einstellen der HF- Leistungsniveau der hohen und der niedrigen Frequenz, die auf die Prozesselektroden in der Kammer 15 angewendet werden, und zum Einstellen der eingesetzten niedrigen HF-Frequenz. Analog zu den zuvor beschriebenen Kammerkomponenten-Unterroutinen wird die Plasmasteuerungs-Unterroutine 90 von der Kammermanager-Unterroutine 77a aufgerufen.
  • III. Versuche und Testergebnisse
  • Die folgenden Versuchsbeispiele werden verwendet, um die Vorteile der vorliegenden Erfindung bei der Qualität der dielektrischen Schicht zu veranschaulichen, wenn die oben beschriebenen verschiedenen Verfahren zum Herstellen der Trenchs in dem Substrat vor der Abscheidung angewendet werden. Die Beispiele wurden unter Verwendung einer CVD-Kammer und insbesondere einer "DxZ"- oder einer "CxZ"-Kammer (für ein 200-mm-Substrat bemessen und mit einem Volumen von etwa 7 Liter), die von Applied Materials, Inc., Santa Clara, Kalifornien, USA, gefertigt und vertrieben wird, vorgenommen. Es wurden Siliziumsubstrate mit einer LPCVD-Siliziumnitrid-Ätzstoppschicht und Trenchs mit unterschiedlichen Breiten von bis zu 0,25 μm verwendet. Die Abscheidung wurde mittels subatmosphärischer CVD (SACVD) ausgeführt. Zu typischen Prozessparametern für den Vorgang der dielektrischen Abscheidung, der in 4 umrissen ist, zählen ein Druck von etwa 26,7 bis 93,3 kPa (200 bis 700 Torr), eine Heizgerättemperatur von etwa 300–500°C, eine Flussrate des Inertgases (He oder N2) von etwa 5000 10.000 sccm (Standardkubikzentimeter pro Minute), eine Ozonflussrate von etwa 4000–8000 sccm, eine TEOS-Flussrate von etwa 200–500 mgm (Milligramm pro Minute) und eine Ozonkonzentration von etwa 12–20 Gew.-% (Gewichtsprozent).
  • In den in den 13a16b gezeigten Beispielen wurden dieselben Prozessparameter verwendet: ein Druck von etwa 60 kPa (450 Torr), eine Heizgerättemperatur von etwa 400°C, eine Heliumflussrate von etwa 3000 sccm, eine Ozonflussrate von etwa 5000 sccm, eine TEOS-Flussrate von etwa 320 mgm und eine Ozonkonzentration von etwa 12,5 Gew.-%. Dies liefert ein O3/TEOS-Verhältnis von etwa 13:1. Die beobachtete Abscheidungsrate beträgt etwa 300 nm/min auf Silizium, etwa 100 nm/min auf LPCVD-Siliziumnitrid und etwa 150 nm/min auf thermischem Oxid. Die Trenchtiefe beträgt etwa 0,55 μm und es wurden zwei Trenchbreiten verwendet: 0,25 μm (13a16a) und 1 μm (13b16a).
  • 13a und 13b zeigen SEM-Schnittansichten einer O3/TEOS-Schicht 350, die über einem mit Trenchs versehenen Substrat 352 mit einer LPCVD-Siliziumnitrid-Ätzstoppschicht 354 gebildet wurde. Die Trenchs werden unter Anwendung eines früheren Verfahrens zum Wachsen eines thermischen Oxids an den Böden der Trenchs und den Wänden der Trenchs und dann Wegätzen des thermischen Oxids von den Böden der Trenchs hergestellt. Obgleich die Trenchfüllschicht. 350 ein Oberflächenprofil aufweist, das an Ebenheit herankommt, werden Defekte in Form von Abtrennungen längs der Oberflächen der Trenchs, insbesondere an den Wänden der Trenchs, beobachtet. Hohlräume, die auf eine unerwünschte Porosität hinweisen, werden in der Trenchfüllschicht 350 in der Nähe der Trenchwand für den Trench am offenen Feld beobachtet (13a). Von diesen Defekten wird angenommen, dass sie das Resultat von inhärenten Spannungsunterschieden zwischen dem Wachstum der dielektrischen Schicht auf dem Silizium am Trenchboden und dem thermischen Oxid an der Trenchwand sind. Die Defekte sind unerwünscht und insbesondere für Isolationszwecke problematisch.
  • 14a und 14b zeigen SEM-Schnittansichten einer O3/TEOS-Schicht 370, die unter Anwendung eines Verfahrens zum Herstellen von Trenchs, das dem in 2b gezeigten ähnlich ist, mit der Ausnahme, dass eine LPCVD-Siliziumnitrid-Ätzstoppschicht 374 anstelle einer CVD-ARC verwendet wird, über einem mit Trenchs versehenen Substrat 372 gebildet wurde. Gemäß diesem Verfahren werden die Trenchs gereinigt, nachdem das thermische Oxid von den Böden der Trenchs weggeätzt wurde (Schritt 266). In diesem Beispiel wird eine Nassätzung unter Verwendung einer Mischung, die etwa 1% HF enthält, etwa 10 Sekunden lang durchgeführt, obgleich auch andere ähnliche Reinigungsprozesse angewendet werden können. Wie in den 14a und 14b gezeigt ist, verbessert dieser Reinigungsschritt die Qualität der dielektrischen Schicht 370, die eine im Wesentlichen ebene Oberfläche aufweist, in ziemlich beachtlicher Weise. Die Abtrennungen längs der Oberflächen der Trenchs sind im Vergleich zu denen von 13a und 13b weniger ausgeprägt und verbreitet. Die Porosität neben den Oberflächen der Trenchs ist erheblich reduziert. Das Reinigen der Trenchs vor der Abscheidung mindert anscheinend die Spannungseffekte. Wie aus der Verbesserung der Qualität der Trenchfüllschicht 370 zu ersehen ist, kann der Reinigungsschritt 266 Vorteile bieten, die die Kosten des Durchführens des zusätzlichen Schritts ausgleichen.
  • 15a und 15b zeigen SEM-Schnittansichten einer O3/TEOS-Schicht 380, die unter Anwendung eines Verfahrens zum Herstellen von Trenchs, das dem in 2a gezeigten ähnlich ist, mit der Ausnahme, dass eine LPCVD-Siliziumnitrid-Ätzstoppschicht 384 anstelle einer CVD-ARC verwendet wird, über einem mit Trenchs versehenen Substrat 382 gebildet wurde. Dieses Verfahren eliminiert das Wachsen von thermischem Oxid vor der Abscheidung der O3/TEOS-Schicht, wodurch Spannungseffekte vermieden werden. In diesem Beispiel wird der Reinigungsschritt 222 jedoch nicht durchgeführt. Wie in den 15a und 15b gezeigt ist, ist die Qualität der im Wesentlichen ebenen Trenchfüllschicht 380 deutlich besser als die der ersten zwei Beispiele (13a14b). Es liegt keine wahrnehmbare Abtrennung entlang der Oberflächen der Trenchs vor und es werden sehr wenige Hohlräume gebildet, von denen sich die meisten in der Nähe oder über der LPCVD-Nitridschicht 384 befinden und anschließend mittels CMP entfernt werden. Die Trenchfüllschicht 380 von guter Quali tät ist besonders für Trenchisolationszwecke vorteilhaft. Um ein thermisches Oxid an den Oberflächen der Trenchs wachsen zu lassen und die Trenchfüllschicht 380 weiter zu verdichten, kann das oben in Verbindung mit den 6 und 7 beschriebene oxidierende Tempern angewendet werden.
  • 16a und 16b zeigen SEM-Schnittansichten einer im Wesentlichen ebenen O3/TEOS-Schicht 390, die unter Anwendung eines Verfahrens zum Herstellen von Trenchs, das dem in 2a gezeigten ähnlich ist, mit der Ausnahme, dass eine LPCVD-Siliziumnitrid-Ätzstoppschicht 394 anstelle einer CVD-ARC verwendet wird, über einem mit Trenchs versehenen Substrat 392 gebildet wurde. Dieses Verfahren unterscheidet sich von dem, das zum Durchführen der in den 15a und 15b gezeigten Abscheidung verwendet wurde, darin, dass es den Trenchreinigungsschritt 222 vor der Abscheidung umfasst. In diesem Beispiel werden die Trenchs mittels einer Nassätzung unter Verwendung einer Mischung, die etwa 1% HF enthält, etwa 10 Sekunden lang gereinigt. 16a und 16b zeigen eine gewisse Verbesserung der Qualität der Trenchfüllschicht 390 gegenüber der von 15a und 15b, insbesondere im Hinblick auf weniger Hohlraumbildung. Folglich kann der optionale Reinigungsschritt 222 angewendet werden, wenn eine weitere Verbesserung der Schichtqualität erwünscht ist. Es kann auch ein oxidierendes Tempern angewendet werden, um ein thermisches Oxid an den Oberflächen der Trenchs wachsen zu lassen. Die Trenchfüllschicht 390 von 16a hat eine überlegene umfassende Planarisierung mit praktisch keinem Stufenhöheneffekt im Vergleich zu der in 1e gezeigten Trenchfüllschicht 128, die die Stufenhöhe 130 aufweist.
  • Wie aus der obigen Erörterung zu ersehen ist, werden Defekte längs und neben Trenchoberflächen gebildet, wenn das dielektrische Material über einem Trench abgeschieden wird, der ein thermisches Oxid aufweist, das auf der Trenchwand und dem Trenchboden aufwachsen gelassen und dann vom Trenchboden geätzt wurde. Einige Ausführungsformen der vorliegenden Erfindung reduzieren die Bildung der Defekte, indem vor der Abscheidung des dielektrischen Trenchfüllmaterials ein Trenchreinigungsschritt angewendet wird. In einer bevorzugten Ausführungsform wird das herkömmliche Wachsen von thermischem Oxid vor der Abscheidung eliminiert, um die Defekte im Wesentlichen zu eliminieren. Statt dessen wird ein thermisches Oxid vorzugsweise nach der Abscheidung auf den Trenchoberflächen unter Anwendung eines oxidierenden Tempervorgangs aufwachsen gelassen, der außerdem die dielektrische Trenchfüllschicht verdichtet.
  • Das vorliegende Verfahren kann zum Verbessern der umfassenden Planarisierung, ohne einen Verlust der Qualität der dielektrischen Schicht zu bewirken, und zum Reduzieren der Kosten und zum Steigern des Durchsatzes verwendet werden. Das oxidierende Tempern macht es möglich, ein thermisches Oxid an den Oberflächen der Trenchs wachsen zu lassen, nachdem die Trenchs mit einer selbst-planarisierten Trenchfüllschicht von hoher Qualität gefüllt wurden. Die Verwendung von CVDARC für Photolithographie- und CMP-Zwecke zum Bilden von Trenchs ist effizienter und resultiert in einer einfacheren Struktur. Darüber hinaus können alternative Ausführungsformen ersonnen werden, indem beispielsweise das O3/TEOS-Verhältnis, der Druck oder andere Parameter zur Dielektrikumsabscheidung variiert werden.
  • 2a
  • 210
    Auftragen von CVD-ARC auf dem Substrat
    212
    Ausbilden von Fotoresist über dem CVD-ARC
    214
    Freilegen des Fotoresists, um Trench zu definieren
    216
    Entfernen von Fotoresist an der Trenchposition
    218
    Ätzen von dem CVD-ARC und dem Substrat, um Trench an der Trenchposition auszubilden
    220
    Entfernen von Fotoresist
    222
    Reinigen von dem Trench
  • 2b
  • 250
    Auftragen von einem CVD-ARC über dem Substrat
    252
    Ausbilden von Fotoresist über dem CVD-ARC
    254
    Freilegen des Fotoresists, um Trenchposition zu definieren
    256
    Entfernen von Fotoresist an der Trenchposition
    258
    Ätzen von dem CVD-ARC und dem Substrat, um Trench an der Trenchposition auszubilden
    260
    Entfernen von Fotoresist
    262
    Aufwachsen lassen von thermischem Oxid an dem Trenchboden und der Trenchwand
    264
    durch Ätzen entfernen von thermischem Oxid am Trenchboden
    266
    Reinigen des Trenchs
  • 4
  • 290
    Anordnen von mit Trenchs versehenem Substrat in der Verarbeitungskammer
    292
    Einströmen lassen von inertem Gas in die Verarbeitungskammer
    294
    Einleiten von Precursorgas in die Verarbeitungskammer
    296
    Einströmen lassen von Ozongas in die Verarbeitungskammer
    298
    Einstellen des Ozon/Precursorgas-Verhältnisses
  • 6
  • 310
    das Substrat aussetzen gegenüber einem Sauerstoff enthaltenden Gas
    312
    Aufheizen des Substrats, um Trenchfüllschicht zu verdichten und thermisches Oxid aufwachsen zu lassen
    314
    CMP von Trenchfüllschicht
  • 8
  • Controller
    Controller
    Processor
    Prozessor
    Memory
    Speicher
    RF Power Supply
    Hochfrequenzenergiequelle
    Gas mixing
    Gas mischen
  • 11
  • Remote system monitor and light pen
    Monitor des entfernt angeordneten Systems und Lichtgriffel
    System monitor and light pen
    Systemmonitor und Lichtgriffel
    RS-232 Video interconnect panel
    RS-232 Videoanschlußpanel
    Main AC-Box
    Hauptwechselstrombox
    System Mainframe
    System Mainframe
    AC Channel
    Wechselstromkanal
    DC Power Supply Box
    Gleichstromversorgungsbox
    AC Outlet panel
    Wechselstromauslasspanel
    System electronics reck
    Elektronikgestell des Systems
  • 12
  • 73
    Prozessauswahleinrichtung Kammerauswahl Prozessgasfluß Temperatur Druck Plasmaenergie
    75
    Prozessablaufsteuerung
    77b
    Kammermanager
    77c
    Kammermanager
    77a
    Kammermanager für CVD/Sputterkammer
    80
    Substratpositionierung
    83
    Prozessgassteuerung
    85
    Drucksteuerung
    87
    Heizungssteuerung
    80
    Plasmasteuerung

Claims (15)

  1. Verfahren zum Formen einer Trench-Isolationsstruktur auf einem Siliziumsubstrat, wobei das Verfahren die folgenden Schritte beinhaltet: – Auftragen einer antireflektierenden CVD-Schicht auf und in Kontakt mit dem Substrat; – Bilden von Fotoresist auf der antireflektierenden CVD-Schicht; – Aussetzen eines Bereichs des Fotoresists gegenüber Licht, um eine Stelle zu definieren, an der ein Trench zu bilden ist; – Entfernen des Fotoresists an dieser Stelle; – Ätzen an dieser Stelle, durch die antireflektierende CVD-Schicht und durch eine Tiefe des Substrats, um an dieser Stelle den Trench zu bilden, wobei das Substrat den Boden des Trenchs bildet; – Entfernen eines Rests des Resists; – Platzieren des mit Trenchs versehenen Substrats in einer Verarbeitungskammer; – Einführen eines Precursorgases in die Verarbeitungskammer; – Einströmen eines Ozongases in die Verarbeitungskammer, um mit dem Precursorgas zu reagieren, um eine Oxid-Trenchfüllschicht auf dem unteren Boden des Silizumtrenchs und auf der antireflektierenden CVD-Schicht abzuscheiden, wobei die Abscheiderate der Trenchfüllschicht auf dem unteren Boden des Siliziumtrenchs schneller ist als auf der antireflektierenden CVD-Schicht; und – Einstellen des Ozon-Precursorgas-Verhältnisses auf etwa 10:1 bis 20:1, vorzugsweise auf etwa 13:1, um die Ascheideraten einzustellen und Planizität der Trenchfüllschicht zu erreichen.
  2. Verfahren nach Anspruch 2, wobei das Precursorgas TEOS ist.
  3. Verfahren nach Anspruch 2, wobei das Füllen des Trenchs weiterhin das Steuern eines Drucks in der Substrat-Verarbeitungskanner basierend auf einem Ozon-Precursorgas-Verhältnis umfasst, das während des Einstellvorganges gewählt wurde.
  4. Verfahren nach einem der Ansprüche 1 oder 2 weiterhin umfassend das Reinigen des Trenchs, bevor das mit Trench versehene Substrat in der Verarbeitungskammer platziert wird.
  5. Verfahren nach Anspruch 4 wobei das Reinigen das Aussetzen des Trenchs gegenüber einem Nassätzmittel beinhaltet.
  6. Verfahren nach Anspruch 1 weiterhin beinhaltend das Einströmen eines Sauerstoff enthaltenden Gases in die Substrat-Verarbeitungskammer und Aufheizen des Substrats, um das Oxid-Trenchfüllmaterial im wesentlichen gleichzeitig zu verdichten und um ein thermisches Oxid an der Schnittstelle zwischen dem Oxid-Trenchfüllmaterial und einer Oberfläche des Trenchs zu bilden.
  7. Verfahren nach Anspruch 1 weiterhin beinhaltend das Erzeugen eines Drucks von ungefähr 2,6 × 104 bis 9,3 × 104 Pa (200–700 Torr) und einer Temperatur von ungefähr 300–500°C in der Substrat-Verarbeitungskammer.
  8. Verfahren nach Anspruch 6 wobei das Sauerstoff enthaltende Gas aus der Gruppe, die molekulares Sauerstoffgas und Dampf enthält, ausgewählt ist.
  9. Verfahren nach Anspruch 7, wobei der Aufheizungsschritt das Erhöhen einer Temperatur des Substrats auf wenigstens ungefähr 800°C beinhaltet.
  10. Verfahren nach Anspruch 1, wobei die antireflektierende CVD-Schicht mit einer Dicke von ungefähr 100–200 nm (1000–2000 A) aufgebracht wird.
  11. Verfahren nach Anspruch 1, wobei das Trenchfüllen das Abscheiden einer Schicht des Trenchfüllmaterials in dem Trench und auf der antireflektierenden CVD-Schicht; und das selektive Entfernen des Trenchfüllmaterials über der antireflektierenden CVD-Schicht beinhaltet.
  12. Verfahren nach Anspruch 11, wobei der selektive Entfernungsschritt ein chemischmechanischer Polierschritt ist, und wobei die antireflektierende CVD-Schicht als Ätz-Stopper für den chemisch-mechanischen Polierschritt wirkt.
  13. Verfahren nach Anspruch 1, wobei die antireflektierende CVD-Schicht durch eine plasmagestützte chemische Dampfabscheidung eines dielektrischen Materials geformt wird.
  14. Verfahren nach Anspruch 13, wobei das dielektrische Material aus der Gruppe, die Siliziumnitride und Siliziumoxinitride enthält, ausgewählt ist.
  15. Verfahren nach Anspruch 1, wobei die antireflektierende CVD-Schicht Siliziumcarbid umfasst.
DE69835276T 1998-05-22 1998-05-22 Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation Expired - Fee Related DE69835276T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP98401232A EP0959496B1 (de) 1998-05-22 1998-05-22 Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation

Publications (2)

Publication Number Publication Date
DE69835276D1 DE69835276D1 (de) 2006-08-31
DE69835276T2 true DE69835276T2 (de) 2007-07-12

Family

ID=8235378

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69835276T Expired - Fee Related DE69835276T2 (de) 1998-05-22 1998-05-22 Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation

Country Status (7)

Country Link
US (1) US6733955B1 (de)
EP (1) EP0959496B1 (de)
JP (1) JP2002517089A (de)
KR (2) KR100692090B1 (de)
DE (1) DE69835276T2 (de)
TW (1) TW413885B (de)
WO (1) WO1999062108A2 (de)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL145608A0 (en) * 1999-04-02 2002-06-30 Silicon Valley Group Thermal Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
TW439194B (en) * 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
JP4989817B2 (ja) * 2000-12-21 2012-08-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6362098B1 (en) 2001-02-28 2002-03-26 Motorola, Inc. Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate
US7026172B2 (en) * 2001-10-22 2006-04-11 Promos Technologies, Inc. Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
WO2003043078A2 (en) * 2001-11-13 2003-05-22 Advanced Micro Devices, Inc. Preferential corner rounding of trench structures using post-fill oxidation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US20040074516A1 (en) * 2002-10-18 2004-04-22 Hogle Richard A. Sub-atmospheric supply of fluorine to semiconductor process chamber
DE10259728B4 (de) * 2002-12-19 2008-01-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Grabenisolationsstruktur und Verfahren zum Steuern eines Grades an Kantenrundung einer Grabenisolationsstruktur in einem Halbleiterbauelement
KR100454849B1 (ko) * 2002-12-20 2004-11-03 아남반도체 주식회사 반도체 소자의 제조방법
JP4161745B2 (ja) * 2003-03-06 2008-10-08 株式会社デンソー 光学素子およびその製造方法
IL155137A0 (en) * 2003-03-27 2003-10-31 Yissum Res Dev Co A method for generating plant diversity
US7029591B2 (en) * 2003-04-23 2006-04-18 Lsi Logic Corporation Planarization with reduced dishing
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7176105B2 (en) 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US20070249128A1 (en) * 2006-04-19 2007-10-25 Junjung Kim Ultraviolet (UV) Radiation Treatment Methods for Subatmospheric Chemical Vapor Deposition (SACVD) of Ozone-Tetraethoxysilane (O3-TEOS)
KR100772275B1 (ko) * 2006-05-24 2007-11-01 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20090184402A1 (en) * 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8404583B2 (en) * 2010-03-12 2013-03-26 Applied Materials, Inc. Conformality of oxide layers along sidewalls of deep vias
US8796106B2 (en) * 2010-03-30 2014-08-05 Stmicroelectronics S.R.L. Isolation trenches
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (zh) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构的形成方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US10199388B2 (en) 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
US10228618B2 (en) * 2015-10-13 2019-03-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
CN114784473A (zh) * 2022-03-16 2022-07-22 上海交通大学 基于硅基光敏薄膜的双重折叠基片集成波导滤波巴伦

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59193044A (ja) * 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd 半導体基板の製造方法
JPS6074637A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd 半導体装置の製造方法
JPH0779127B2 (ja) * 1989-12-27 1995-08-23 株式会社半導体プロセス研究所 半導体装置の製造方法
JPH0779127A (ja) * 1991-06-27 1995-03-20 Nippon Dempa Kogyo Co Ltd 多重モード型圧電振動子
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
JP2897569B2 (ja) 1991-12-30 1999-05-31 ソニー株式会社 レジストパターン形成時に用いる反射防止膜の条件決定方法と、レジストパターン形成方法
EP0582724A1 (de) 1992-08-04 1994-02-16 Siemens Aktiengesellschaft Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
CA2131668C (en) * 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
EP0697723A3 (de) * 1994-08-15 1997-04-16 Ibm Verfahren zur Metallisierung einer isolierenden Schicht
JPH0945687A (ja) 1995-07-26 1997-02-14 Ricoh Co Ltd 基板表面の平坦化方法
DE19528746C1 (de) * 1995-08-04 1996-10-31 Siemens Ag Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur
JP3402022B2 (ja) * 1995-11-07 2003-04-28 三菱電機株式会社 半導体装置の製造方法
KR0179554B1 (ko) * 1995-11-30 1999-04-15 김주용 반도체 소자의 소자분리절연막 형성방법
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6069055A (en) 1996-07-12 2000-05-30 Matsushita Electric Industrial Co., Ltd. Fabricating method for semiconductor device
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
TW309630B (en) * 1996-11-23 1997-07-01 Taiwan Semiconductor Mfg Method of forming shallow trench isolation
US5786262A (en) * 1997-04-09 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-planarized gapfilling for shallow trench isolation
US5731241A (en) * 1997-05-15 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned sacrificial oxide for shallow trench isolation
US5930644A (en) * 1997-07-23 1999-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation using oxide slope etching
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US5851927A (en) * 1997-08-29 1998-12-22 Motorola, Inc. Method of forming a semiconductor device by DUV resist patterning
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
KR20000013397A (ko) * 1998-08-07 2000-03-06 윤종용 트렌치 격리 형성 방법

Also Published As

Publication number Publication date
EP0959496B1 (de) 2006-07-19
WO1999062108A3 (en) 2000-01-27
KR20010043762A (ko) 2001-05-25
JP2002517089A (ja) 2002-06-11
EP0959496A2 (de) 1999-11-24
KR100692090B1 (ko) 2007-03-12
DE69835276D1 (de) 2006-08-31
TW413885B (en) 2000-12-01
WO1999062108A2 (en) 1999-12-02
US6733955B1 (en) 2004-05-11
KR20060090734A (ko) 2006-08-14
KR100687367B1 (ko) 2007-02-26
EP0959496A3 (de) 1999-12-15

Similar Documents

Publication Publication Date Title
DE69835276T2 (de) Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
DE69837124T2 (de) Abscheidungsverfahren von einer mit Halogen dotierten Si02-Schicht
DE69633770T2 (de) Verfahren und Vorrichtung zur chemischen Gasphasenabscheidung dünner Schichten
DE69835479T2 (de) Abscheidung von einem fluorierten Siliziumglas
DE60109675T2 (de) Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung
US8466067B2 (en) Post-planarization densification
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
US8329587B2 (en) Post-planarization densification
DE69908101T2 (de) Verfahren zum beschichten und ätzen einer dielektrischen schicht
US7622369B1 (en) Device isolation technology on semiconductor substrate
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE69728683T2 (de) Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten
DE10127622B4 (de) Verfahren zur Herstellung eines mit HDPCVD-Oxid gefüllten Isolationsgrabens
DE102006037710B4 (de) Verfahren zur Herstellung einer Grabenisolation eines Halbleiterbauelements
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20120269989A1 (en) Low temperature silicon oxide conversion
US20040245091A1 (en) Hdp-cvd multistep gapfill process
US20070087522A1 (en) Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
JP2002517089A5 (de)
JPH1161409A (ja) 先行プリメタル絶縁層用途に使用されるhdpーcvd psg膜の形成方法及び装置
EP0989598A2 (de) Verfahren zur Nassätzung einer Halbleiterstruktur
DE60210337T2 (de) Verfahren zur herstellung eines films aus kohlenstoffdotiertem oxid
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6875558B1 (en) Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
DE112004001026T5 (de) Verfahren und Vorrichtung zum Abscheiden von Materialien mit einstellbaren Eigenschaften und Ätzcharakteristiken

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING

8339 Ceased/non-payment of the annual fee