DE60109675T2 - Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung - Google Patents

Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung Download PDF

Info

Publication number
DE60109675T2
DE60109675T2 DE60109675T DE60109675T DE60109675T2 DE 60109675 T2 DE60109675 T2 DE 60109675T2 DE 60109675 T DE60109675 T DE 60109675T DE 60109675 T DE60109675 T DE 60109675T DE 60109675 T2 DE60109675 T2 DE 60109675T2
Authority
DE
Germany
Prior art keywords
substrate
chamber
phosphorus
sol
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60109675T
Other languages
English (en)
Other versions
DE60109675D1 (de
Inventor
Robert P. Saratoga Mandal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE60109675D1 publication Critical patent/DE60109675D1/de
Application granted granted Critical
Publication of DE60109675T2 publication Critical patent/DE60109675T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B37/00Compounds having molecular sieve properties but not having base-exchange properties
    • C01B37/02Crystalline silica-polymorphs, e.g. silicalites dealuminated aluminosilicate zeolites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf die Herstellung von integrierten Schaltungen. Insbesondere bezieht sich die Erfindung auf ein Verfahren zum Abscheiden von dielektrischen Schichten auf einem Substrat.
  • Seit Halbleitervorrichtungen vor mehreren Dekaden erstmals eingeführt wurden, haben sich ihre Geometrien in der Größe dramatisch verringert. Seit damals folgten die integrierten Schaltungen insgesamt der Regel zwei Jahre/halbe Größe (häufig als Mooresches Gesetz bezeichnet), was bedeutet, dass die Anzahl der Vorrichtungen, die auf einen Chip passen, sich alle zwei Jahre verdoppelt. Die heutigen Herstellungsanlagen erzeugen routinemäßig Vorrichtungen mit Elementgrößen von 0,35 μm und sogar 0,18 μm, und die Anlagen von morgen werden bald Vorrichtungen mit noch kleineren Geometrien herstellen.
  • Um die Größe der Vorrichtungen auf integrierten Schaltungen weiter zu reduzieren, wurde es erforderlich, leitende Materialien mit einem niedrigen spezifischen Widerstand und Isolatoren mit niedrigen Dielektrizitätskonstanten (k, wobei k < 4,0) zu verwenden, um die kapazitive Koppelung zwischen benachbarten Metallleitern zu verringern. Dielektrika mit niedrigem k-Wert wurden sowohl durch Glasaufschleuderverfahren als auch durch chemische Gasphasenabscheidungs-(CVD-)Techniken abgeschieden, was in der Internationalen Veröffentlichung WO 99/41423 beschrieben ist. Angrenzend an dielektrische Schichten mit niedrigem k-Wert hat man Einlage-/Sperrschichten einschließlich Deckschichten abgeschieden, um eine Diffusion von Nebenprodukten, wie Feuchte, aus der dielektrischen Schicht mit niedrigem k-Wert auf das leitende Material, wie es in der Internationalen Veröffentlichung WO 99/41423 beschrieben ist, sowie aus der Umgebung in das Dielektrikum mit niedrigem k-Wert zu verhindern.
  • Beispielsweise diffundiert Feuchte, die während der Bildung eines Isolators mit niedrigem k-Wert erzeugt wird, leicht auf die Oberfläche des leitenden Metalls und erhöht den spezifischen Widerstand der angrenzenden leitenden Metalloberfläche. Zur Verhinderung einer Zwischenschichtdiffusion werden Sperr-/Einlageschichten zwischen den Schichten abgeschieden, die gewöhnlich aus herkömmlichen Materialien auf Siliciumbasis bestehen, beispielsweise Siliciumnitrid, die die Diffusion von Nebenprodukten blockieren und/oder die Diffusion von Metallschichten in das Material mit niedrigem k-Wert verhindern. Die Sperr- /Einlageschichten haben jedoch gewöhnlich Dielektrizitätskonstanten, die merklich größer als 4,0 sind, beispielsweise hat Siliciumnitrid eine Dielektrizitätskonstante von etwa 7, und die hohe Dielektrizitätskonstanten können zu einer kombinierten Isolatorschicht führen, die die Dielektrizitätskonstante nicht merklich verringert.
  • Ein Versuch zur Bildung von Schichten mit niedrigem k-Wert besteht darin, einen Film mit hoher Porosität und niedriger Dichte abzuscheiden, um die Dielektrizitätskonstanten zu erhalten, die sich der Dielektrizitätskonstante von Luft nähern, d.h. k~1. Ein Beispiel für ein Verfahren zum Abscheiden eines porösen Films mit niedrigem k-Wert ist in dem US-Patent 5,858,457, erteilt für Brinker et al., beschrieben. Brinker et al. offenbaren ein Verfahren zur Bildung von Filmen mit niedriger Dielektrizitätskonstante mit einer hohen Filmporosität durch Abscheiden eines Sol-Gel-Präkursors auf einem Substrat, worauf eine selektive Verdampfung von Komponenten des Sol-Gel-Präkursors folgt, um Assoziate zu bilden. Die Assoziate werden dann in strukturierte poröse Filme durch oxidative Pyrolyse in supramolekulare reaktionsdirigierende Matrizes bei etwa 400°C geformt. Bei dem Patent von Brinker et al. erfordert jedoch der Pyrolyseschritt etwa vier Stunden, um das Sol-Gel zu einem porösen Film auszuglühen. Derart lange Zeiten sind mit dem zunehmenden Bedürfnis für hohe Verfahrensgeschwindigkeiten bei der modernen Halbleiterfertigung nicht vereinbar.
  • Filme auf Siliciumdioxidbasis mit hoher Porosität, beispielsweise Siliciumdioxidfilme, wie sie in dem obigen Patent von Brinker et al. beschrieben sind, haben gegenüber geladenen mobilen Ionen, insbesondere Alkaliionen, beispielsweise Natrium oder Kalium, das in den Sol-Gel-Präkursoren gebildet wird, einen zu geringen Diffusionswiderstand. Diese Ladungsionen diffundieren leicht in und durch den Film unter dem Einfluss von angelegten elektrischen Feldern und erhöhen die Leitfähigkeit des Films und führen zu einer Polarisierung des Films sowie zu einer Erhöhung der Dielektrizitätskonstanten des Films. Deshalb ist der Transport von geladenen beweglichen Ionen für die Isolatorfilme mit niedriger Dielektrizitätskonstante schädlich, die für integrierte Schaltungen mit Multiniveau-Zwischenverbindungen verwendet werden.
  • Außerdem sind poröse Filme auf Siliciumdioxidbasis häufig hydrophil und absorbieren aggressiv Feuchte aus der Umgebung. Wenn Wasser, das eine Dielektrizitätskonstante (k) von etwa 78 hat, von dem porösen Film absorbiert wird, können die dielektrischen Eigenschaften – niedriger k-Wert – des porösen Films nachteilig beeinflusst werden. Diese hydrophilen Filme werden häufig glühbehandelt, um Feuchte zu entfernen, was jedoch nur eine vorübergehende Lösung bei einem Abscheidungsprozess ist, da die Filme gegenüber auf dieses Vorgehenden folgende Feuchteverunreinigung empfindlich sind. Zusätzlich ist das Glühen häufig ein zeitraubender Prozess, der der Behandlungszeit des Substrats hinzuzufügen ist und zu geringeren Durchsatzraten führt. Zur Begrenzung der Feuchteverunreinigung in hydrophilen Filmen wird im Allgemeinen der Film von einem hydrophilen Film in einen hydrophen Film durch einen Silylierungsprozess und durch Abscheiden einer Deck- oder Passivierungsschicht umgewandelt, um die Feuchteverunreinigung in dem porösen Film zu unterbinden.
  • Ein Problem bei der Abscheidung von Deckschichten auf porösen Filmen besteht darin, dass poröse Filme, beispielsweise poröse Schleuderbeschichtungs- und Sprühbeschichtungsfilme, bei Atmosphärendruck abgelegt werden, beispielsweise bei mehr als etwa 300 Torr, und dass die Deckschicht gewöhnlich durch ein plasmaverstärktes chemisches Gasphasenabscheidungs-(PECVD-)Verfahren abgeschieden wird, das unter Vakuumdrucken ausgeführt wird, d.h. bei weniger als etwa 100 Torr. Solche Vakuumprozesse und Atmosphärenprozesse werden gewöhnlich in gesonderten Vakuum- und Atmosphärenbehandlungssystemen oder Gerätegruppenanordnungen durchgeführt, bei denen die Überführung von einem Behandlungssystem oder einer Behandlungsvorrichtung zur anderen den porösen Film der Verunreinigung aussetzt. Gruppenvorrichtungen sind modulare integrierte Mehrkammer-Behandlungssysteme, die ein zentrales Substrathandhabungsmodul und eine Anzahl von peripheren Behandlungskammern aufweisen, wo eingebrachte Substrate einer Reihe von Behandlungsschritten nacheinander in verschiedenen Behandlungskammern zur Bildung von integrierten Schaltungen unterliegen. Gruppenanordnungen wurden insgesamt als effektive und effiziente Ausrüstung zur Fertigung von modernen mikroelektronischen Vorrichtungen akzeptiert.
  • 1 zeigt eine Vakuumgruppenanordnung 10 mit einer Vielzahl von Einzelsubstratbehandlungskammern 12, die an einer zentralisierten Vakuumkammer angebracht sind, die als Überführungskammer 18 bezeichnet wird und zum Überführen von Substraten von einer Substratkassette, die sich in einer oder mehreren Ladeschleuskammern 20 befindet, zu einer oder mehreren Behandlungskammern dient. Diese spezielle Vorrichtung ist für die Aufnahme von vier (4) Einzelsubstrat-Behandlungskammern 12 gezeigt, die radial um die Überführungskammer angeordnet sind. Eine zu der in 1 gezeigten ähnliche Gruppenanordnung ist durch Applied Materials, Inc., Santa Clara, Kalifornien, lieferbar. Die Überführung der Substrate zwischen den Behandlungskammern 12 wird gewöhnlich durch ein Substrathandhabungsmodul 16 ausgeführt, das sich in einer zentralen Überführungskammer 12 befindet. Nachdem die Substrate behandelt sind, werden sie durch die Ladeschleusekammer 20 zurück und in Substratkassetten bewegt, wo die Substrate zum nächsten System für eine Behandlung bewegt werden. In den Behandlungskammern 12 können verschiedene Prozes se ausgeführt werden, beispielsweise eine physikalische Gasphasenabscheidung (PVD), eine chemische Gasphasenabscheidung (CVD), oder ein Ätzen.
  • Typischerweise hat man Atmosphären-Behandlungsgruppenvorrichtungen und Vakuum-Behandlungsgruppenvorrichtungen nicht integriert. Vakuumbehandlungsvorrichtungen erfordern die Aufrechterhaltung eines Vakuums oder die Wiederherstellung eines Vakuums durch Vakuumpumpen während verschiedener Behandlungsschritte in einem Prozesszyklus. Diese Vakuumforderung bedingt längere Behandlungszeiten und niedrigere Durchsatzgeschwindigkeiten verglichen mit Atmosphärenbehandlungsvorrichtungen, was die Integrierung solcher Systeme wenig anziehend macht. Die Überführung von Substraten zwischen den Gruppenvorrichtungen kann jedoch zu einer Verunreinigung der Prozesssubstrate führen, was äußerst problematisch beim Überführen von Filmen ist, die für eine Verunreinigung empfindlich sind, beispielsweise poröse Filme. Derzeit gibt es in der Industrie keine Gruppenvorrichtungen, die die Abscheidung von dielektrischen Materialien mit niedrigem k-Wert und von Hüllmaterialien sowohl unter Atmosphärendruck als auch nahe bei Vakuumbehandlungsbedingungen kombinieren.
  • Deshalb bleibt ein Bedürfnis nach einem Verfahren zur Abscheidung von diffusionsresistenten dielektrischen Materialien mit niedrigem k-Wert bei einem hohen Substratdurchsatz.
  • Die vorliegende Erfindung stellt ein Verfahren und eine Vorrichtung zur Abscheidung einer mesoporösen Siliciumoxidschicht mit niedriger Dielektrizitätskonstante bereit. Gemäß einem Aspekt der Erfindung stellt diese ein Verfahren zur Abscheidung einer Phosphor enthaltenden und eine niedrige Dielektrizitätskonstante aufweisenden mesoporösen Oxidschicht bereit. Der mesoporöse Film hat vorzugsweise eine Phosphorkonzentration an einer Phosphorverbindung wie Phosphorpentoxid (P2O5) von ca. 2 bis ca. 8 Gew.-%. Die mesoporöse Siliciumoxidschicht wird durch Abscheiden und Härten eines phosphorhaltigen Sol-Gel-Präkursors unter Bildung eines Oxidfilms, der miteinander in Verbindung stehende Poren von gleichem Durchmesser, vorzugsweise von kubischer Struktur, aufweist, nachfolgendes Glühen des Films bei einer Temperatur von ca. 200 bis ca. 450EC unter Inertgasatmosphäre oder Einwirkenlassen einer oxidierenden Atmosphäre, die eine reaktionsfähige Sauerstoffverbindung enthält, bei einer Temperatur von ca. 200 bis ca. 400EC und Entfernung des Tensids unter Bildung eines mit Phosphor gedopten mesoporösen Oxidfilms erzeugt. Der mesoporöse Oxidfilm hat vorzugsweise eine Porosität von wenigstens ca. 50% und eine Dielektrizitätskonstante zwischen ca. 1,6 und ca. 2,2. Der mesoporöse Film kann auch als intermetallische dielektrische Schicht verwendet werden.
  • Der phosphorhaltige Sol-Gel-Präkursor umfasst vorzugsweise eine Silicium-Sauerstoff-Verbindung, eine phosphorhaltige Säurelösung, ein organisches Lösungsmittel, Wasser und ein Tensid. Der Phosphor kann in den Sol-Gel-Präkursor durch Addition oder Substitution eines Phosphonsäureliganden (-PO(OH)2) auf einem Silicium enthaltenden chemischen Präkursor, durch eine Säurelösung auf der Basis von Phosphor, die eine Säure auf Phosphorbasis umfasst und ferner noch flüchtige anorganische Säuren und/oder organische Säuren enthalten kann, und durch eine Komponente eines Tensids auf Phosphorbasis, vorzugsweise ein Phosphat eines Tensids mit einem endständigen Alkohol, ausgewählt aus der Gruppe, die p-(CH3)3CCH2C6H4CH2(OCH2CH2)N-OH, p-(CH3)3COC6H4CH2(OCH2CH2)N-OH, (CH3)3CCH2C(CH3)2C6H4(OCH2CH2)N-OH, CH3(CH2)K-OH, CH3(CH2)I(CH2CH2O)J-OH, HO(CH2CH2O)M(CH2C(CH3)HO)L(CH2CH2O)MH und fluorierte Derivate davon sowie Gemische davon umfasst, wobei N eine ganze Zahl von 6 bis 12, vorzugsweise 8, K eine ganze Zahl von 13 bis 17, I eine ganze Zahl von 6 bis 15, J eine ganze Zahl von 20 bis 106 und L eine ganze Zahl von 20 bis 80 bedeuten, eingeführt werden.
  • Gemäß einem weiteren Aspekt der Erfindung kann ein mesoporöser Oxidfilm auf einem Substrat durch Bildung eines ersten Sol-Gel-Präkursors, der eine erste Silicium-Sauerstoff-Verbindung, eine organische Säure, ein erstes organisches Lösungsmittel, Wasser und ein erstes Tensid umfasst, Bildung eines zweiten Sol-Gel-Präkursors, der eine zweite Silicium-Sauerstoff-Verbindung, eine Säure auf Phosphorbasis, ein zweites organisches Lösungsmittel, Wasser und ein zweites Tensid aufweist, Mischen des ersten und zweiten Sol-Gel-Präkursors unter Bildung eines gemischten Sol-Gel-Präkursors, Abscheidung des gemischten Sol-Gel-Präkursors auf dem Substrat, Härtung des abgeschiedenen gemischten Sol-Gel-Präkursors unter Bildung eines Oxidfilms und Entfernung des Tensids unter Bildung eines mesoporösen Oxidfilms gebildet werden. Vorzugsweise wird das erste und zweite Sol-Gel zur Erzielung eines Verhältnisses des ersten Sol-Gel-Präkursors zum zweiten Sol-Gel-Präkursor von ca. 1:1 bis ca. 10:1 gemischt. Vorzugsweise weist der mesoporöse Oxidfilm eine Phosphorkonzentration an einer Phosphorverbindung, wie z.B. Phosphorpentoxid (P2O5), von ca. 2 bis ca. 8 Gew.-% auf.
  • Gemäß einem anderen Aspekt der Erfindung wird ein Verfahren zur Bildung einer dualen Damascenastruktur bereitgestellt, das die Abscheidung einer ersten Ätztrennwand auf einem Substrat, die Abscheidung eines ersten mit Phosphor gedopten mesoporösen Oxidfilms auf der ersten Ätztrennwand, die Abscheidung einer zweiten Ätztrennwand auf dem ersten mit Phosphor gedopten mesoporösen Oxidfilm, die Abscheidung eines zweiten mit Phosphor gedopten mesoporösen Oxidfilms auf der zweiten Ätztrennwand, die Abscheidung einer dritten Ätztrennwand auf dem zweiten mit Phosphor gedopten mesoporösen Oxidfilm, das Ätzen einer dritten Ätztrennwand und des zweiten mit Phosphor gedopten mesoporösen Oxidfilms zur Begrenzung einer vertikalen Verbindungsöffnung, das Ätzen der zweiten Ätztrennwand, des ersten mit Phosphor gedopten mesoporösen Oxidfilms und der ersten Ätztrennwand durch die vertikale Verbindungsöffnung zur weiteren Begrenzung der vertikalen Verbindung, wodurch das Substrat freigelegt wird, und das Ätzen der dritten Ätztrennwand und des zweiten mit Phosphor gedopten mesoporösen Oxidfilms zur Begrenzung einer horizontalen Verbindung und die Bildung eines dualen Damascenamerkmals aufweist. Der mesoporöse Oxidfilm hat vorzugsweise eine Phosphorkonzentration an einer Phosphorverbindung wie Phosphorpentoxid (P2O5) von ca. 2 bis ca. 8 Gew.-%.
  • Damit die Art und Weise, in der die oben erwähnten Merkmale, Vorteile und Ziele der vorliegenden Erfindung erreicht und im Einzelnen verstanden werden können, wird die Erfindung; wie sie vorstehend kurz zusammengefasst ist, insbesondere unter Bezug auf ihre Ausführungsformen beschrieben, die in den beiliegenden Zeichnungen beispielsweise dargestellt sind.
  • Anzumerken ist jedoch, dass die beiliegenden Zeichnungen nur typische Ausführungsformen dieser Erfindung veranschaulichen und deshalb nicht in Betracht kommen, ihren Rahmen zu begrenzen, da die Erfindung auch andere gleich wirksame Ausführungsformen erlauben kann.
  • 1 ist eine schematische Draufsicht auf eine radiale Gruppenanordnung für eine Chargenbehandlung von Halbleitersubstraten.
  • 2 ist eine schematische Draufsicht auf eine Ausführungsform einer Vorrichtung, die ein Deckschichtmodul und ein Hochdruckabscheidemodul der vorliegenden Erfindung aufweist.
  • 3 ist eine schematische Draufsicht auf eine Ausführungsform eines Deckschichtmoduls der vorliegenden Erfindung.
  • 4 ist eine perspektivische Ansicht einer Ausführungsform einer Ladeschleusenkammer der vorliegenden Erfindung.
  • 5 ist eine Draufsicht auf eine Überführungskammer und eine Behandlungskammer und zeigt ein Substrathandhabungselement nach der vorliegenden Erfindung, das in der Überführungskammer und in einer zurückgezogenen Position bereit für eine Drehung in der Überführungskammer oder für ein Ausfahren in eine andere Kammer angeordnet ist.
  • 6 ist eine Draufsicht auf eine Überführungskammer und eine Behandlungskammer und zeigt ein Substrathandhabungselement der vorliegenden Erfindung, das in der Überführungskammer angeordnet ist und sich in einer ausgefahrenen Position befindet, wobei in der Behandlungskammer Blätter angeordnet sind.
  • 7 ist eine Schnittansicht einer schnellen Glühbehandlungskammer.
  • 8 ist eine perspektivische Ansicht einer Ausführungsform einer PECVD-Kammer, die zu dem Deckschichtmodul der vorliegenden Erfindung gehört.
  • 9 ist eine Schnittansicht der PECVD-Kammer der vorliegenden Erfindung.
  • 10 ist eine auseinander gezogene Ansicht der Gasverteilungsanordnung für die PECVD-Kammer.
  • 11 ist eine Draufsicht auf eine PECVD-Kammer der vorliegenden Erfindung bei entferntem Deckel.
  • 12 ist ein veranschaulichendes Blockdiagramm des hierarchischen Steueraufbaus eines Rechnerprogramms für die Prozesssteuerung.
  • 13 ist eine veranschaulichende Ansicht des Verfahrens zur Herstellung eines mesoporösen Films, wobei eine kubische Phasenstruktur und ein mesoporöser Filmaufbau gezeigt sind.
  • 14 ist eine Schnittansicht, die eine duale Damaszenastruktur mit mit Phosphor dotierten, mesoporösen Oxidschichten der vorliegenden Erfindung zeigt.
  • 15A–H sind Schnittansichten, die eine duale Damaszenaabscheidungssequenz unter Verwendung von mit Phosphor dotierten, mesoporösen dielektrischen Oxidschichten der vorliegenden Erfindung zeigen.
  • Die vorliegende Erfindung stellt ein Verfahren und eine Vorrichtung zur Abscheidung einer mesoporösen Siliciumoxidschicht mit einer niedrigen Dielektrizitätskonstante bereit. Gemäß einem Aspekt der Erfindung stellt diese ein Verfahren zur Abscheidung einer mesoporösen Oxidschicht mit niedriger Dielektrizitätskonstante und hohem Phosphorgehalt bereit. Der mesoporöse Film hat vorzugsweise eine Phosphorkonzentration an einer Phosphorverbindung wie Phosphorpentoxid (P2O5) von ca. 2 bis ca. 8 Gew.-% und insbesondere von ca. 4%. Die mesoporöse Oxidschicht umfasst Siliciumoxid und wird durch Abscheiden und Härten eines phosphorhaltigen Sol-Gel-Präkursors zur Bildung eines Oxidfilms mit miteinander in Verbindung stehenden Poren gleichen Durchmessers, vorzugsweise von kubischer Struktur, und nachfolgendes Einwirken einer oxidierenden Atmosphäre auf den Film, die eine reaktionsfähige Sauerstoffverbindung enthält, bei einer Temperatur von ca. 200 bis ca. 400EC zur Entfernung des Tensids unter Bildung eines mit Phosphor gedopten mesoporösen Oxidfilms erzeugt. Der dem Siliciumoxidfilm zugeführte Phosphor bildet gewöhnlich Phosphoroxide wie Phosphorpentoxid. Der mesoporöse Oxidfilm weist eine Porosität von mindestens 50% und eine Dielektrizitätskonstante von ca. 1,6 bis ca. 2,2 auf. Der mesoporöse Film kann auch als intermetallische dielektrische Schicht verwendet werden. Man nimmt an, dass das Dopen mit Phosphor in vorteilhafter Weise die Vorzüge der Ionenmobilisierung, die Beschleunigung des Abschlusses der Hydrolyse und der nachfolgenden Kondensation während der Abscheidung sowie verbesserte Filmfestigkeit gewährleistet.
  • Der Sol-Gel-Präkursor umfasst vorzugsweise eine Silicium-Sauerstoff-Verbindung, eine Phosphor enthaltende Säurelösung, ein organisches Lösungsmittel, Wasser und ein Tensid. Der Präkursor auf der Basis der Silicium-Sauerstoff-Verbindung stellt vorzugsweise Tetraethylorthosilylat, Methyltriethoxysilan, Phenyltriethoxysilan, p-Bis(triethoxysilyl)benzol, Bis(triethoxysilyl)methan, Hexaethoxydisiloxan und Gemische daraus dar. Außerdem kann der Phosphor dem Sol-Gel-Präkursor durch Addition oder Substitution eines Phosphonsäureliganden (-PO(OH)2) auf einem Si enthaltenden chemischen Präkursor eingeführt werden. Bevorzugte phosphorhaltige Silicium-Sauerstoff-Präkursorverbindungen umfassen o-Phosphotriethoxylsilan, (CH3CH2O)3SiOPO(OH)2, o-Phosphoethyltriethoxylsilan (CH3CH2O)3SiCH2CH2OPO(OH)2, Phosphorylethyltriethoxylsilan (CH3CH2O)3SiCH2CH2PO(OH)2, p-Phosphorylphenyltriethoxylsilan (CH3CH2O)3Si(C6H4)PO(OH)2, Phosphonotriethoxylsilylketon (CH3CH2O)SiC(O)PO(OH)2, Phosphonotriethoxylsilan, (CH3CH2O)3SiPO(OH)2 und Gemische daraus.
  • Vorzugsweise wird der phosphorhaltige Sol-Gel-Präkursor mit einer Säurelösung auf Phosphorbasis gebildet. Die Säurelösung wirkt als Katalysator im Sinne einer Beschleunigung der Hydrolyse des Sol-Gel-Präkursors durch Verminderung der Energie der Hydrolyseaktivierung und Katalyse der nachfolgenden Kondensationsreaktion während der Filmbildung. Die phosphorhalige Säurelösung ist vorzugsweise eine Säure auf Phosphorbasis, ausgewählt aus der Gruppe Orthophosphorsäure (H3PO4), Ammoniumdihydrogenphosphat, Tetramethylammoniumdihydrogenphosphat, Phosphatester langkettiger Alkohole, Alkoxysilylphosphonate, substituierte Derivate sowie Gemische davon. Die phosphorhaltige Säurelösung kann außerdem noch flüchtige anorganische Säuren, vorzugsweise Salpeter-, Salz-, Perchlorsäure und Gemische davon und/oder organische Säuren, vorzugsweise Oxal-, Glyoxylsäure und Gemische davon enthalten.
  • Der Phosphor kann in den Sol-Gel-Präkursor durch eine auf Phosphor basierende Komponente eines Tensids, vorzugsweise ein Phosphat eines Tensids mit endständigem Alkohol, ausgewählt aus der Gruppe p-(CH3)3CCH2C6H4CH2(OCH2CH2)N-OH, p-(CH3)3COC6H4CH2(OCH2CH2)N-OH, CH3(CH2)K-OH, CH3(CH2)I(CH2CH2O)J-OH, HO(CH2CH2O)M(CH2C(CH3)HO)L(CH2CH2O)MH, (CH3)3CCH2C(CH3)2C6H4(OCH2CH2)N-OH und fluorierte Derivate davon sowie Gemische daraus eingeführt werden. N ist eine ganze Zahl von 6 bis 12 und vorzugsweise 8, K ist eine ganze Zahl von 13 bis 17, I ist eine ganze Zahl von 6 bis 15, J ist eine ganze Zahl von 20 bis 106 und L ist eine ganze Zahl von 20 bis 80. Vorzugsweise können zur Bildung des Sol-Gel-Präkursors ein keinen Phosphor enthaltendes Tensid, ausgewählt aus der Gruppe p-(CH3)3C-CH2-C6H4-CH2-(OCH2CH2)8OH, p-(CH3)3COC6H4CH2(OCH2CH2)8-OH und weitere Polyethylenoxid-Copolymer-Derivate, Polyethylenoxid-Polypropylenoxid-Polyethylenoxid-Dreiblockcopolymer-Derivate und Gemische daraus verwendet werden.
  • Gemäß einem weiteren Aspekt der Erfindung kann ein mesoporöser Oxidfilm auf einem Substrat durch Bildung eines ersten Sol-Gel-Präkursors, der eine erste Silicium-Sauerstoff-Verbindung, eine organische Säure, ein organisches Lösungsmittel, Wasser und ein erstes Tensid umfasst, Bildung eines zweiten Sol-Gel-Präkursors, der eine zweite Silicium-Sauerstoff-Verbindung, eine Säure auf Phosphorbasis, ein organisches Lösungsmittel, Wasser und ein zweites Tensid umfasst, Mischen des ersten Sol-Gel-Präkursors mit dem zweiten Sol-Gel-Präkursor unter Bildung eines gemischten Sol-Gel-Präkursors, Abscheidung des gemischten Sol-Gel-Präkursors auf dem Substrat, Härten des abgeschiedenen gemischten Sol-Gel-Präkursors unter Bildung eines Oxidfilms und Entfernung des Tensids aus dem mesoporösen Oxidfilm unter Bildung eines mesoporösen Oxidfilms gebildet werden. Vorzugsweise hat der mesoporöse Oxidfilm eine Phosphorkonzentration an einer Phosphorverbindung wie Phosphorpentoxid (P2O5) von ca. 2 bis ca. 8 Gew.-% und insbesondere von ca. 4 Gew.-%.
  • Ferner stellt die Erfindung ein Verfahren zur Bildung einer dualen Damascenastruktur bereit, das das Abscheiden einer ersten Ätztrennwand auf ein Substrat, das Abscheiden eines ersten mit Phosphor gedopten mesoporösen Oxidfilms auf der ersten Ätztrennwand, das Abscheiden einer zweiten Ätztrennwand auf dem ersten mit Phosphor gedopten mesoporösen Oxidfilm, das Ätzen des ersten mit Phosphor gedopten mesoporösen Oxidfilms zur Begrenzung einer vertikalen Verbindungsöffnung, das Abscheiden eines zweiten mit Phosphor gedopten mesoporösen Oxidfilms auf der zweiten Ätztrennwand, das Abscheiden einer dritten Ätztrennwand auf dem zweiten mit Phosphor gedopten mesoporösen Oxidfilm, das Abscheiden eines Hartmaskenfilms auf der dritten Ätztrennwand und das Ätzen des zweiten mit Phosphor gedopten mesoporösen Oxidfilms durch die vertikale Verbindungsöffnung zur weiteren Begrenzung der vertikalen Verbindung umfasst, wodurch das Substrat freigelegt und der zweite mit Phosphor gedopte mesoporöse Oxidfilm zur Begrenzung einer horizontalen Verbindung geätzt wird. Vorzugsweise hat der mesoporöse Oxidfilm eine Phosphorkonzentration an einer Phosphorverbindung wie Phosphorpentoxid (P2O5) von ca. 2 bis ca. 8 Gew.-% und insbesondere von ca. 4 Gew.-%.
  • 2A und 3A zeigen schematisch eine Ausführungsform eines Deckschichtmoduls 120, bei dem die oben beschriebenen Prozesse der Erfindung ausgeführt werden können. Das Deckschichtmodul 120 ist ein nahe dem Vakuumdruck behandelndes Modul zum Abscheiden von Filmen, insbesondere Deckfilmen, die durch plasmaverstärkte chemische Gasphasenabscheidung (PECVD) abgeschieden werden. Nahe-Vakuum-Drucke sind hier definiert als Drucke von etwa 100 Torr und weniger, und vorzugsweise ist der Druck des Deckmoduls ähnlich dem Arbeitsdruck der PECVD-Kammer von etwa 0,5 Torr bis etwa 10 Torr. Das Modul 120 ist ein in sich geschlossenes System mit den notwendigen Behandlungseinrichtungen, die an einem Rahmenaufbau 210 gehalten sind, der leicht installierbar ist und einen schnellen Betriebsanlauf ermöglicht. Das Modul 120 hat insgesamt vier Bereiche, nämlich eine Betriebsschnittstelle 122, wo Substrate in das Modul 120 eingeführt sind, eine oder mehrere Überführungskammern 126, von denen jede eine Substrathandhabungseinrichtung 127 aufnimmt, wobei die Substrathandhabungseinrichtung 127 vorzugsweise in Verbindung mit einer Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 steht, die in der Betriebsschnittstelle 122 angeordnet ist, eine oder mehrere, jedoch vorzugsweise zwei Tandem- oder Doppelbehandlungskammern 130, die an der Überführungskammer 126 und in Verbindung mit ihr angeordnet sind, sowie ein rückwärtiges Ende 140, das die Trägereinrichtungen aufnimmt, die für den Betrieb des Moduls 120 benötigt werden, beispielsweise eine Gasschalttafel 134, eine Energieverteilungsschalttafel 136 und einen Computersteuer- Einschubschrank 138, wie es in 2B und 3B gezeigt ist. Das System kann für die Anpassung an verschiedene Prozesse und tragende Kammer-Hardware ausgelegt werden, beispielsweise die plasmaverstärkte chemische Gasphasenabscheidung (PECVD). Die nachstehend beschriebene Ausführungsform richtet sich auf ein System, das einen PECVD-Prozess verwendet, beispielsweise einen Abscheidprozess für ein mesoporöses Oxiddielektrikum. Jedoch kommen natürlich für die vorliegende Erfindung neben diesen auch andere Prozesse in Frage.
  • 2B zeigt schematisch eine weitere Ausführungsform eines Abdeckschichtmodus 120 der Erfindung. Das Abdeckmodul 120 hat ebenfalls vier Bereiche, nämlich eine Betriebsschnittstelle 122, bei der das Substrat in das Modul 120 eingeführt wird, ein oder mehrere Überführungskammern 126A, 126B, von denen jede eine Substrathandhabungseinrichtung 127A, 127B aufnimmt, wobei die Substrathandhabungseinrichtungen 127A, 127B vorzugsweise in Verbindung mit einer Doppelstapel-Kühlladeschleusenkammer 127 stehen, die in der Betriebsschnittstelle 122 angeordnet ist und in Verbindung mit einer Substratvorheizstation 125 steht, eine oder mehrere, jedoch vorzugsweise zwei Tandem- oder Doppelprozesskammern 130, die an der Überführungskammer 126A, 126B angebracht und in Verbindung mit ihr stehen, sowie ein rückseitiges Ende 140 aufweist, das die Trägereinrichtungen aufnimmt, die für den Betrieb des Moduls 120 erforderlich sind, beispielsweise eine Gasschalttafel 134, eine Leistungsverteilungstafel 136 und den Rechnersteuerungseinschub 138, wie es in 2B und 3B gezeigt ist. Die Substratvorheizstation 125 hat insgesamt eine Vielzahl von vertikal angeordneten Substrathaltern und sorgt für das Erhitzen der Substrate. Die Substrathalterausrichtung und die Substratheizprozesse sind im Einzelnen in der nachstehenden Beschreibung für die Vorheiz-Ladeschleusenkammer 124 offenbart, wobei die Erfindung jedoch auch andere Vorheizstationen in Betracht zieht.
  • Überführungskammer
  • 2A zeigt eine schematische Draufsicht auf eine Ausführungsform des Behandlungsmoduls 120 der vorliegenden Erfindung. Das Behandlungsmodul 120 hat eine Überführungskammer 126 innerhalb einer Kammerseitenwand 133. Die Überführungskammern haben Seitenwände 133 und einen Boden 135 und sind vorzugsweise durch spanabhebende Bearbeitung oder auf andere Weise aus einem Materialstück hergestellt, beispielsweise aus Aluminium. An den Seitenwänden 133 wird während des Betriebs zur Bildung eines Vakuumraums ein Deckel (nicht gezeigt) für die Vakuumkammer 126 gehalten. Die Seitenwand 133 der Überführungskammer 126 trägt die Behandlungskammern 130 und sorgt für eine Befestigung der Betriebsschnittstelle 122, die ein oder mehrere Kühl-/Vorheiz- Ladeschleusenkammern 124 (gezeigt nachstehend in 4) enthalten kann, die einen Zugang über ein Schlitzventil 121 zu den Überführungskammern bereitstellen oder als eine Substrateinführstelle für ihre Behandlungen in Behandlungskammern 130 wirken. Die Seitenwand 133 für die Überführungskammer 126 bilden einen Durchgang 128 und 132 auf jeder Seite, durch den ein Zugang zu den anderen Kammern in dem System gebildet wird. Die Durchgänge 128 und 132, die durch die Seitenwände 133 hindurch angeordnet sind, können geöffnet und geschlossen werden, wofür zwei einzelne Schlitzventile oder eine Tandem-Schlitzventilanordnung verwendet werden/wird. Die Durchgänge 128 bilden einen Zugang für die Betriebsschnittstelle oder den Substratbereitstellbereich 122, wo die Substrate in die Überführungskammern 126 eingeführt werden können. Die Durchgänge 132 passen mit Substratdurchgängen 610 in Behandlungsbereichen 618, 620 (in 9 gezeigt) zusammen, um den Eintritt von Substraten in die Behandlungsbereiche 618, 620 in der Behandlungskammer 130 zur Positionierung auf dem Substratheizsockel 628 zu ermöglichen.
  • Die Behandlungskammer 130 und ein Substratbereitstellungsbereich 122 haben eine Schlitzventilöffnung und Schlitzventile 128, 132, die die Verbindung zwischen der Behandlungskammer 130, einem Substratbereitstellungsbereich 122 und der Überführungskammer 126 ermöglichen, während sie auch eine Vakuumisolierung der Umgebungen in jeder dieser Kammer bilden, um ein abgestuftes Vakuum in dem System zu ermöglichen. Schlitzventile und Verfahren zum Steuern von Schlitzventilen sind in dem US-Patent 5,226,632 von Tepam et al. und in dem US-Patent 5,363,872 von Lerimer offenbart, die hier beide als Referenz eingeschlossen sind. Der Boden 135 der Überführungskammer 126 bildet einen zentralen Durchgang (nicht gezeigt), in den sich eine Substrathandhabungseinrichtung 127, beispielsweise eine Substrathandhabungsanordnung, erstreckt und die am Boden 135 der Überführungskammern 126 angebracht ist. Durch den Boden 135 der Überführungskammer 126 ist ein Gasreinigungskanal (nicht gezeigt) angeordnet, um ein Reinigungsgas während des Abpumpens bereitzustellen.
  • 2B zeigt eine schematische Draufsicht auf eine weitere Ausführungsform des Behandlungsmoduls 120 der vorliegenden Erfindung. Die zweite Ausführungsform des Behandlungsmoduls 120 hat zwei Überführungskammern 126A, 126B innerhalb einer Kammerseitenwand 133. Die Überführungskammern 126A, 126B sind voneinander getrennt und stehen in Verbindung sowohl mit der Betriebsschnittstelle 122, die vorzugsweise eine oder mehrere Kühlkammern enthält, als auch mit einer oder mehreren Vorheiz-Ladeschleusenkammern 124, die senkrecht zur Betriebsschnittstelle 122 angeordnet sind, sowie mit einer oder mehreren Behandlungskammern 130 oder einer oder mehreren Behandlungsbereichen 618, 620. Die Seitenwand 133 für die Überführungskammern 126A, 126B bildet Durchgänge 128 und 132 auf jeder Seite, durch die ein Zugang zu den anderen Kammern des Systems bereitgestellt wird.
  • Substrathandhabungseinrichtung in der Überführungskammer des Deckmoduls
  • Gemäß 2A werden die Substrate, die dem Deckschichtmodul 120 durch den Vorderenden-Bereitstellungsbereich 122 bereitgestellt werden, von dem Deckschichtmodul 120 wie folgt gehandhabt. Wenn der Frontenden-Bereitstellungsbereich 122 geladen ist, schließen die Überführungskammer-Frontvakuumtore 128 zu dem Bereitstellungsbereich 122 hin, und die Überführungskammer 126 wird auf die Vakuumsbehandlungszustände abgepumpt. Die Überführungskammer 126 wird durch eine einzige oder zwei Bordvakuumpumpen (nicht gezeigt) abgepumpt, die an dem Deckmodul 120 angebracht sind. Nach dem Vakuumpumpen auf einen ausreichend niedrigen Druck und dem nachfolgenden Substratvorerhitzen in der Ladeschleuse 124, vorzugsweise in einer Vorheizkammer 244 (in 4 unten gezeigt), öffnen die pneumatisch betätigten Frontvakuumtore 128 der Überführungskammer 126 und erlauben gleichzeitig den Zugang zwischen den Überführungskammern 126 und dem Frontenden-Bereitstellungsbereich 122. Das Substrathandhabungselement 127 registriert die Substrate, die in der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 gehalten sind, die sich in dem Substratbereitstellungsbereich 122 befindet. Dann nehmen die Substrathandhabungselemente in der Überführungskammer 126, nämlich die Doppelblatt-Überführungskammer-Substrathandhabungselemente 127, gleichzeitig ein Substrat von jedem Stapel der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124, die sich in dem Frontenden-Bereitstellungsbereich 122 befindet, und überführen die Substrate gleichzeitig in Behandlungsbereich 618, 620 einer Zwillingsbehandlungskammer 130 oder überführen das jeweilige Substrat in Einzelbehandlungskammern 130 abhängig von der Auslegung des Deckmoduls 120. Alternativ können die Substrate vor den Schlitzventilen 132 zu der Behandlungskammer 130 während des Vakuumpumpens vorpositioniert werden.
  • Wenn das Substrat angeordnet ist, ziehen sich die Überführungskammer-Substrathandhabungseinrichtungen 127 aus der Behandlungskammer 130 zurück, und die Schlitzventil 132 werden geschlossen. Das Substrat, auf dem bereits eine dielektrische Schicht in einem Hochdruckabscheidemodul 101 abgeschieden worden ist, wird dann in der Behandlungskammer 130 durch Abscheidung mit einer Deckschicht durch PECVD versehen. Nach Abschluss der Behandlung werden die Schlitzventile 132 geöffnet und die Überführungskammer-Substrathandhabungseinrichtung 127 entfernt die Substrate aus den Behandlungsbereichen 618, 620 und legen die Substrate in der Kühlkammer 242 der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 ab. Nach der Ablage eines Substrats in den Vor heizmodulen 124 holt die Substrathandhabungseinrichtung das nächste Paar von Substraten aus der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 ab, die in der Bezifferungssequenz angezeigt ist. Dieses Substrat wird dann überführt, behandelt und an der Überführungskammer-Substrathandhabungseinrichtung 127 als das vorhergehende Substrat abgeholt. Dieses Verfahren setzt sich fort, bis alle Substrate der Vorheizkammer 244 in der PECVD-Behandlungskammer 130 behandelt und in der Kühlkammer 244 abgelegt sind. Wenn das letzte Substrat behandelt worden ist, werden die Schlitzventile 132 zur Behandlungskammer 130 geschlossen.
  • Dann wird die Überführungskammer 126 auf Atmosphärendruck unter Verwendung eines Inertgases, wie Argon, belüftet, und die Frontvakuumtore 128 werden geöffnet. Die Überführungskammerbelüftung kann fakultativ beginnen, sobald die Schlitzventile 132 geschlossen haben, nachdem das letzte Paar von Substraten behandelt worden ist. Dies ermöglicht die Belüftung der Überführungskammer 126, wenn der letzte Satz von Substraten zu der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 zurückgeführt wird, was die Behandlungszeit in dem Abdeckmodul 120 verringert. Wenn die Belüftung abgeschlossen ist, holt die Überführungskammer-Substrathandhabungseinrichtung 112 des Hochdruckabscheidungsmoduls 101 das Substrat aus der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 ab und entlädt gleichzeitig alle behandelten Substrate in die Substratkassetten 104, die sich in dem Frontenden-Bereitstellungsbereich 102 des Hochdruckabscheidungsmoduls 101 befinden.
  • Nachdem das letzte Paar von Substraten in jeder Charge behandelt und aus der Behandlungskammer 130 entfernt worden ist und die Schlitzventile 132 geschlossen worden sind, kann der Behandlungskammer-Reinigungsprozess einsetzen, durch den die Behandlungskammer für die nächste Charge von Substraten vorbereitet wird. Dies ermöglicht es, dass der Reinigungsprozess im Hintergrund weitergeht, während die Überführungskammer 126 belüftet wird und die Substrate ausgetauscht werden.
  • Hochdruckabscheidungsmodul
  • Gemäß 3A ist bei einer anderen Ausgestaltung der Erfindung das Deckschichtmodul 120 mit einem Hochdruckabscheidungsmodul 101 über einen Substratbereitstellungsbereich 122 verbunden. Das Hochdruckabscheidungsmodul 101 scheidet vorzugsweise dielektrische Materialien ab, beispielsweise die nachstehend erörterten mesoporösen Oxidfilme, und es wird häufig darauf als Hochdruckabscheidungsmodul Bezug genommen. Das Hochdruckabscheidungsmodul 101 ist ein in der Nähe des Atmosphärendruck behandelndes Mo dul zum Abscheiden von Filmen, wobei Hochdruck oder Druck in der Nähe des Atmosphärendrucks hier als Drucke von etwa 300 Torr und mehr und vorzugsweise als Drucke von mehr als 500 Torr definiert werden.
  • Das Deckschichtmodul 120 und das Hochdruckabscheidemodul 101, die miteinander verbunden sind, bilden das Behandlungssystem 100 der vorliegenden Erfindung. Der Substratbereitstellungsbereich 122 verwendet die Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 zur Überführung von Substraten zwischen dem Deckschichtmodul 120 und dem Hochdruckabscheidemodul 101. Das Hochdruckabscheidemodul 101 ist vorzugsweise ein in Schritte unterteiltes Atmosphärensystem, zu dem eine oder mehrere Substratschleuderbeschichtungskammern 114 mit entsprechenden Schlitzventilen 113, eine oder mehrere Härtungskammern 116 mit entsprechenden Schlitzventilen 115, eine oder mehrere Substrataustreibkammern 118 mit entsprechenden Schlitzventilen 117, eine oder mehrere Silylierabscheidekammern 123 mit entsprechenden Schlitzventilen 119, Doppelstapel-Kühlstationen 110 in der Kühlstation 111 sowie ein Substrathandhabungselement 112 gehören, das in der Überführungskammer 108 des Hochdruckabscheidungsmoduls 101 angeordnet ist. Vorzugsweise sind jeweils eine Schleuder-Beschichtungskammer 114, Härtungskammer 116, Austreibkammer 118 und Silylierkammer 123 vorhanden, wobei jede Kammerart in einem vertikal beabstandeten Stapel mit der Überführungskammer 108 des Hochdruckabscheidungsmoduls 101 angeordnet ist.
  • Wie in 3B gezeigt ist, können die Kammern, beispielsweise die eine oder mehrere Substrathärtungskammern 116, an oder in einer Ladeschleuse 124 für eine effiziente Raumeinsparung angebracht werden. Das Substrathandhabungselement 112 ist insgesamt ein zweiarmiges Substrathandhabungsgerät 112, das vorzugsweise zwei Arme mit unabhängiger Drehbewegung hat, wobei jeder Arm einen Zugang zu den verschiedenen Kammern in der Überführungskammer 108 des Moduls 101 hat. Alternativ kann die zweiarmige Handhabungseinrichtung 112 sich bewegende Tandemarme haben, die vorzugsweise das gleiche Modell sind wie die Substrathandhabungseinrichtung 127 des Deckschichtmoduls 120.
  • Der Frontenden-Bereitstellungsbereich 102 des Hochdruckabscheidungsmoduls 101 des Behandlungssystems 100 hat gewöhnlich eine oder mehrere Substratkassetten 106, die in einer Beziehung mit horizontalem Abstand voneinander auf einer Bereitstellungsplattform 102 gebracht sind, die mit der Überführungskammer 108 des Hochdruckabscheidungsmoduls 101 verbunden ist. Die Substratkassetten 106 sind so ausgelegt, dass sie eine Vielzahl von Substraten halten, die in einer im Abstand vertikalen Anordnung angeordnet sind. Die Substratkassetten 106 haben vorzugsweise zwei oder mehrere Kassettenplatten (nicht gezeigt) oder andere Substratträger, die in einer vertikalen Abstandsbeziehung angeordnet sind, um die darin angeordneten Substrate in einer vertikalen Stapelanordnung zu halten. Zwischen Doppelstapel-Kühlstationen 110 in der Kühlstation 111 und den Ladeschleusen 106 kann eine Substratablage 103 angeordnet werden, um eine Kühlablage für Substrate während des Substrataustausches zwischen der Kühlstation 111 und den Ladeschleusen 106 bereitzustellen. Alternativ kann die Substratablage 103 eine Vorheizstation für Substrate aufweisen, die in das Modul 101 für die Behandlung geführt werden.
  • In dem Frontenden-Bereitstellungsbereich 102 ist ein Paar von Substrathandhabungseinrichtungen oder Bereitstellungs-Substrathandhabungseinrichtungen 104 angeordnet. Die Bereitstellungs-Substrathandhabungseinrichtungen 104 sind so ausgelegt, dass sie ein Substrat in das Hochdruckabscheidungsmodul 101 oder in die Substratkassetten 106 des Hochdruckabscheidungsmoduls 101 laden und daraus entfernen, wobei die Bereitstellungs-Substrathandhabungseinrichtung 104 vorzugsweise zwischen den Substratkassetten 106 und den Doppelstapel-Kühlstationen 110 des Hochdruckabscheidungsmoduls 101 angeordnet ist. Die Bereitstellungs-Substrathandhabungseinrichtung 104 hat vorzugsweise ein Substratregistriersystem zum Registrieren der Substrate in jeder Substratkassette 106 für die Vorbereitung zum Laden der Substrate in das Hochdruckabscheidungsmodul 101. Eine Substrathandhabungseinrichtung mit einem Substratkartiersystem, das vorteilhafterweise bei dem vorliegenden System verwendet wird, ist von Equippe Technologies, Sunnyvale, Kalifornien, als Modell Nr. ATM 105 oder 107 erhältlich. Der Substratkartiersensor überprüft die Anzahl von Substraten und die Ausrichtung der Substrate in der Kassette 106, bevor die Substrate in die Überführungskammer 108 des Hochdruckabscheidungsmoduls 101 für die Abscheidung der dielektrischen Schicht überführt werden.
  • Das in 3A gezeigte Hochdruckabscheidungsmodul 101 enthält zwei vertikal gestapelte Doppel-Substratschleuderbeschichtungskammern 114, zwei Säulen von vier vertikal gestapelten Substrathärtungskammern 116, vier vertikal gestapelte Doppelsubstrataustreibkammern 118 und Silylierabscheidungskammern 123. Alle vertikal gestapelten Kammern sind einer Substrathandhabungseinrichtung 112 zugewandt, die zentral zu den Kammern 114, 116, 118, 123 angeordnet ist.
  • Substrathandhabung in dem Hochruckabscheidungsmodul
  • Der Dielektrikums-Substrathandhabungsprozess beginnt damit, dass die Bereitstellungs-Substrathandhabungseinrichtungen 104 die Substrate in jeder Substratkassette 106 registrieren. Nach der Registrierung werden die Substrate zu den Bereitstellungs-Substrathandhabungseinrichtungen 104 der Doppelstapel-Kühlstationen 110 in der Kühlstation 111 überführt. Die Substrathandhabungseinrichtung 112 des Hochdruckabscheidungsmoduls holt ein Substrat aus den Doppelstapel-Kühlstationen 110 ab und überführt das Substrat zu der Dielektrikums-Substratschleuderbeschichtungskammer 114 zum Abscheiden einer Sol-Gel-Präkursorschicht. Die Substrathandhabungseinrichtung 112 des Moduls kann die Substratschleuderbeschichtungskammer 114 auffüllen, bevor die Behandlung erfolgt, oder kann für Mehrfach-Schleuderbeschichtungskammern für das Absetzen von Substraten in den Substratschleuderbeschichtungsmodulen 114 programmiert werden, während ein oder mehrere Schleuderbeschichtungsmodule 114 ein Substrat behandeln. Wenn der Sol-Gel-Präkursor abgeschieden worden ist, holt die Substrathandhabungseinrichtung 112 des Moduls das Substrat ab und überführt das Substrat zu einer Härtungs- oder Wärmebehandlungskammer 116. Aufgrund der relativen Länge der Härtung verglichen mit einem anderen Behandlungsschritt bei der Abscheidungssequenz der dielektrischen Schicht wird eine proportional größere Anzahl von Härtungskammern 116, vorzugsweise etwa 8 Härtungskammern pro zwei Doppel-Substratschleuderbeschichtungskammern 114, in der Überführungskammer 108 des Moduls 101 angeordnet. Die Substrathandhabungseinrichtung 112 des Moduls kann so programmiert sein, dass sie die Härtungskammern 116 mit schleuderbeschichteten Substraten vor der Behandlung füllt, oder kann so programmiert sein, dass sie die Substrate wie gewünscht in die Härtungskammern 116 lädt und daraus entlädt. Nachdem der gewünschte Grad der Härtung erreicht worden ist, wird das Substrat zu einer Substrataustreibkammer 118 überführt. Das Substrat wird in der Substrataustreibkolonne zum Entfernen von oberflächenaktivem Stoff angeordnet, der in dem gehärteten Sol-Gel-Präkursor zurückgeblieben ist. Obwohl es nicht gezeigt ist, kann eine fakultative Wärmebehandlungskammer in der Überführungskammer 108 des Moduls 103 für eine Wärmebehandlung des Substrats zum Entfernen von Feuchte, Lösungsmitteln oder oberflächenaktiven Stoffen aus dem Substrat angeordnet werden, um entweder das Substrat für das Ozonaustreiben vorzubereiten oder um ein alternatives Verfahren zur Bildung des mesoporösen Films abgesehen durch Ozonaustreibung bereitzustellen.
  • Wenn der abgeschiedene dielektrische Film silyliert werden soll, wird dann das Substrat aus der Substrataustreibkammer 118 abgeholt und in die Silylierkammer 123 überführt. Alternativ wird für die Abscheidung der Deckschicht das Substrat in den Substratbereitstellungsbereich 122 für das Abdeckschichtmodul 120 überführt. Wenn die Behandlung entweder durch die Silylierkammer 123 oder das Deckmodul 120 erfolgt ist, holt die Substrathandhabungseinrichtung 112 das Substrat ab und überführt das Substrat zu den Substratkassetten 106 über die Doppelstapel-Kühlstationen 110.
  • Frontenden-Bereitstellungsbereich
  • Gemäß 2 und 3 ist die Betriebsschnittstelle oder der Substratbereitstellungsbereich 122 eine Atmosphärendruckvorrichtung, die eine schnelle Überführung aus dem Substratbereitstellungsbereich in die Kammern, beispielsweise das Hochdruckabscheidungsmodul 101, vor dem Vakuumabpumpen erlaubt, das gewöhnlich bei oder nahe dem Atmosphärendruck arbeitet. 3A zeigt den Frontenden-Bereitstellungsbereich 102 des Moduls 101, der vorzugsweise eine Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 aufweist, die ein oder mehrere Substratkassetten hat, die in der Doppelstapel-Kühl-/Vorheiz-Ladeschleusenkammer 124 für die Behandlung angeordnet sind. Die Substratkassetten sind so ausgelegt, dass sie eine Vielzahl von Substraten in einer vertikalen Abstandsbeziehung halten, wobei die Substrathandhabungselemente 112, 127 die Substrate von gegenüberliegenden Seiten der Substratkassetten aus ablegen und abholen können. Bei der in 2A gezeigten alternativen Ausgestaltung wirkt die Ladeschleusenkammer 124 auch als Kühlstation für einen Substrattransport zwischen den Modulen 101 und 120 und der Vorheizung, die in einer gesonderten Kammer ausgeführt wird.
  • In der Kühl-/Vorheiz-Ladeschleusenkammer 124 vor oder nach der Behandlung aufgenommene Substrate werden in das Modul 120 durch ein oder mehrere Überführungskammertore 128 (in 2A gezeigt) geladen, die durch die Überführungskammerseitenwand 133 durchgehend angeordnet sind. Angrenzend an die Kühl-/Vorheiz-Ladeschleusenkammer 124 und die Überführungskammertore 128 ist eine Substrathandhabungseinrichtung 127 in der Überführungskammer 126 angeordnet. Vorzugsweise hat die Substrathandhabungseinrichtung 127 ein Substratkartierungssystem zum Registrieren der Substrate in jeder Substratkassette für die Vorbereitung des Beladens und Entladens von Substraten in die Behandlungskammern 130, die an der Überführungskammer 126 angebracht sind.
  • Die Substrathandhabungseinrichtung 127 kann in die Ladeschleusenkammer 124 gleichzeitig wie die andere Substrathandhabungseinrichtung 112 (in 3A gezeigt) eintreten, da die Ladeschleuse sich für die Überführung der Substrate zu der Ladeschleusenkammer 124 von dem Hochdruckabscheidungsmodul 101 aus auf Atmosphärendruck befindet. Die Öffnung in der Seite 128 der Überführungskammer 126 wird vor dem Vakuumabpumpen der Überführungskammer 126 geschlossen, das erfolgt, bevor die Substrate in die Behandlungskammer 130 für das Abscheiden der Deckschicht überführt werden.
  • Doppelpositions-Ladeschleusenkammer
  • 4 zeigt eine aufgeschnittene perspektivische Ansicht der Kühl-/Vorheiz-Ladeschleusenkammer 124 der vorliegenden Erfindung. Die Kühl-/Vorheiz-Ladeschleusenkammer 124 hat Kammerwände 202, einen Boden 204 und einen Deckel 206. Die Kammer 124 hat zwei gesonderte Umgebungen oder Abteile 242, 244 und einen Überführungsbereich 246. Die Abteile 242, 244 haben eine Substratkassette in jedem Abteil 242, 244 zum Halten von Substraten in ihnen. Jedes Abteil 242, 244 hat eine Tragplattform 249 und eine obere Plattform 250 zur Bildung des Bodens und der Oberseite der Abteile 242, 244. In den Abteilen 242, 244 kann vertikal eine Tragwand 252 angeordnet werden, um die Plattformen 248, 250 in einer Abstandsbeziehung zu halten. Der Überführungsbereich 246 hat einen oder mehrere Durchgänge 121 für einen Zugang aus der Kühl-/Vorheiz-Ladeschleusenkammer 124 in die Überführungskammern 108, 126. Die Durchgänge 121 werden vorzugsweise durch Verwendung von Schlitzventilen und Schlitzventil-Betätigungseinrichtungen geöffnet und geschlossen.
  • Das Abteil 242 hat eine Kühlstation für Substrate, folgend auf die Behandlung in den Behandlungskammern der Überführungskammer 108 oder in dem Abdeckmodul 120. Bei der in 2A gezeigten alternativen Ausgestaltung können beide Abteile 242, 244 Kühlstationen für Substrate folgend auf die Behandlung in den Behandlungskammern der Überführungskammer 108 oder in dem Deckmodul 120 bereitstellen.
  • Das Abteil 244 wird bezüglich des Abteils 242 selektiv erhitzt und wirkt dadurch als Vorheizmodul vor der Behandlung der Substrate in den Behandlungskammern 130 des Deckmoduls 120. Das Heizabteil 244 hat vorzugsweise ein Heizelement, beispielsweise eine Heizlampe, einen Fluidwärmetauscher oder ein Widerstandsheizelement, um darin die Substrate einzeln zu erwärmen, oder kann alternativ ein Heizelement zum gleichzeitigen Erwärmen aller Substrate in dem Abteil 244 haben. Bei einer anderen Ausgestaltung der Ladeschleuse 124 können die Härtungsmodule 116 in dem Vorheizabteil 244 angeordnet werden, wodurch eine Härtung des abgeschiedenen Films oder eine Vorheizung des Substrats vor der Behandlung in dem Modul 120 bereitgestellt wird und wirksam Raum eingespart wird.
  • Die Abteile 242, 244 sind jeweils mit einem Hubschaft 224 verbunden, von denen jeder mit einem Motor verbunden ist, beispielsweise einem Schrittmotor oder dergleichen, um die Abteile nach oben oder nach unten in der Kühl-/Vorheiz-Ladeschleusenkammer 124 zu bewegen. Am Umfang ist in der Kühl-/Vorheiz-Ladeschleusenkammer 124 ein Dichtungsflansch 256 vorgesehen, um eine Dichtungsfläche für die Tragplattform 248 des Abteils 242 zu bilden. Ähnlich ist ein Dichtungsflansch 258 angeordnet, um eine Dichtungsfläche für die Tragplattform 250 des Abteils 244 zu bilden. Die Abteile 242, 244 sind voneinander durch die Dichtungsflansche 256, 258 getrennt, wodurch ein unabhängiges gestuftes Vakuum der Abteile 242, 244 in der Kühl-/Vorheiz-Ladeschleusenkammer 124 bereitgestellt wird.
  • In Räumen 260, 262 wird über eine darin angeordnete Vakuumöffnung ein Rückseitendruck aufrechterhalten. In den Räumen 260, 262 ist über Abführleitungen 264 eine Vakuumpumpe so verbunden, dass in den Räumen 260, 262 ein hohes Vakuum gebildet werden kann, um die Abdichtung der Plattformen 248, 250 an den Dichtungsflanschen 256, 258 zu unterstützen.
  • In Betrieb können die Abteile 242, 244 der in 4 gezeigten Position beladen oder entladen werden. Durch die Frontwand (nicht gezeigt) an den oberen und unteren Grenzen der Kühl-/Vorheiz-Ladeschleusenkammer 124, die mit den Abteilen 242, 244 übereinstimmen, sind Ladetore und Betätigungseinrichtungen (nicht gezeigt) vorgesehen. Der Druck in einem gewählten Abteil wird, nachdem die Substrate in das Abteil geladen worden sind, über Abführleitungen 287, 289 durch Abpumpen erreicht, und das gewählte Abteil wird in den Überführungsbereich 246 bewegt. Die Abteile 242, 244 bewegen sich unabhängig in den Überführungsbereich 246 durch den Schrittmotor. Der Vorteil, ein oberes und ein unteres Abteil 242 bzw. 244 zu haben, besteht darin, dass das Behandeln eines Satzes von Substraten erfolgen kann, während ein zweiter Satz von Substraten in das andere Abteil geladen wird, und dass das Abteil auf den geeigneten Druck abgepumpt wird, so dass das Abteil in den Überführungsbereich 246 bewegt und in Verbindung mit den Überführungskammern 108, 126 gebracht werden kann.
  • Überführungskammer-Substrathandhabungseinrichtung
  • 5 zeigt eine schematische Draufsicht auf eine Ausführungsform einer magnetisch gekoppelten Substrathandhabungseinrichtung 500 der vorliegenden Erfindung in einer zurückgezogenen Stellung für ein freies Drehen in der Überführungskammer 126 (und alternativ in der Überführungskammer 108, wie im Einzelnen oben beschrieben ist). Die Substrathandhabungseinrichtung mit Doppel-Substrathandhabungsblättern 520, 522 befindet sich in der Überführungskammer 126, um die Substrate 502 von einer Kammer zur anderen zu überführen. Eine Substrathandhabungseinrichtung mit "sehr hoher Produktivität" (VHP), die für die Vorteile der vorliegenden Erfindung modifiziert und verwendet werden kann, ist Gegenstand des US-Patents 5,469,035, ausgegeben am 21. November 1995 mit dem Titel "Zweiachsige magnetisch gekoppelte Substrathandhabungseinrichtung", und wird hier als Referenz eingeschlossen.
  • Die magnetisch gekoppelte Substrathandhabungseinrichtung 500 hat eine froschschenkelartige Anordnung, die zwischen zwei Vakuumseitennaben (auf die auch als Magnetklemmen Bezug genommen wird) und die Doppelsubstratblätter 520, 522 geschaltet sind, um innerhalb einer festgelegten Ebene sowohl eine Radial- als auch eine Drehbewegung der Substrathandhabungsblätter bereitzustellen. Die Radial- und Drehbewegung kann koordiniert oder kombiniert werden, um zwei Substrate aufzunehmen, zu überführen und von einer Stelle in dem System 100 zu einer anderen zu befördern, beispielsweise von einer Behandlungskammer 130 zu einer anderen Kammer, beispielsweise der Ladeschleuse 124. Bei der in 2B gezeigten Ausführungsform ist ein Einarm-Robot in den Überführungskammern 126A, 126B angeordnet.
  • Die Substrathandhabungseinrichtung hat eine erste Strebe 504, die starr an einer ersten Magnetklemme 524 an einer Stelle 525 befestigt ist, sowie eine zweite Strebe 506, die starr an einer zweiten Magnetklemme 526 (konzentrisch unter der ersten Magnetklemme 524 angeordnet) an einer Stelle 527 festgelegt ist. Durch einen Schwenkzapfen 510 ist eine dritte Strebe 508 an der Strebe 504 und durch einen Schwenkzapfen 512 an der Substratblattanordnung 540 befestigt. Durch einen Schwenkzapfen 516 ist eine vierte Strebe 514 an der Strebe 506 und durch einen Schwenkzapfen 518 an der Substratblattanordnung 540 befestigt. Der Aufbau der Streben 504, 508, 506, 514 und der Schwenkzapfen 510, 512, 516, 618 bilden eine "froschschenkel"-artige Verbindung zwischen der Substratblattanordnung 540 und den Magnetklemmen 524, 526.
  • Wenn sich die Magnetklammern 524, 526 in der gleichen Richtung mit der gleichen Winkelgeschwindigkeit drehen, dreht sich auch die Substrathandhabungseinrichtung 500 um eine Achse A in der gleichen Richtung mit der gleichen Geschwindigkeit. Wenn sich die Magnetklammern 524, 526 in entgegengesetzte Richtungen mit der gleichen absoluten Winkelgeschwindigkeit drehen, gibt es keine Drehung der Anordnung 500, jedoch stattdessen eine lineare Radialbewegung der Substratblattanordnung 540 in eine in 6 gezeigte Position.
  • Es sind zwei Substrate 502 auf die Substratblattanordnung 540 geladen gezeigt, um zu veranschaulichen, dass die einzelnen Substratblätter 520, 522 durch einzelne Substratdurchgänge 132 in der Seitenwand 133 der Überführungskammer 126 ausgefahren werden können, um die Substrate 502 in die Behandlungsbereiche 618, 620 der Kammer 130 oder aus ihnen heraus zu überführen. Die magnetisch gekoppelte Substrathandhabungseinrichtung 500 wird durch die relative Drehbewegung der Magnetklammern 524, 526 entsprechend der Relativgeschwindigkeit der beiden Motore gesteuert. Es ist ein erster Betriebsmodus vorgesehen, bei dem beide Motoren die Magnetklammern 524, 526 zum Drehen in der gleichen Richtung mit der gleichen Geschwindigkeit veranlassen. Da dieser Modus eine Relativbewegung der Magnetklammern herbeiführt, dreht sich die Substrathandhabungseinrichtung lediglich um eine zentrale Achse A, gewöhnlich aus einer Position, die für den Substrataustausch mit einem Paar von Behandlungsbereich 618, 620 geeignet ist, in eine Position, die für den Substrataustausch mit einem weiteren Paar von Behandlungsbereichen geeignet ist.
  • Wenn die voll eingezogene Substrathandhabungsvorrichtung um die zentrale Achse A gedreht wird, bilden außerdem die äußersten radialen Punkte 548 längs des Randes des Substrats einen minimalen Kreisbereich 550, der zum Drehen der Substrathandhabungseinrichtung erforderlich ist. Die magnetisch gekoppelte Substrathandhabungseinrichtung hat auch einen zweiten Modus, in welchem beide Motoren die Magnetklammern 524, 526 zum Drehen in entgegengesetzte Richtungen mit der gleichen Geschwindigkeit bringen. Dieser zweite Modus wird dazu verwendet, Substratblätter 520, 522 der Substratblattanordnung 540 durch die Durchgänge 132 und in die Behandlungsbereiche 618, 620 auszuführen oder umgekehrt die Blätter davon zurückzuziehen. Es können andere Kombinationen der Motordrehung benutzt werden, um ein gleichzeitiges Ausfahren oder Zurückziehen der Substratblattanordnung 540 bereitzustellen, wenn die Substrathandhabungseinrichtung 500 um die Achse A gedreht wird.
  • Um die Substratblätter 520, 522 der Substratblattanordnung 540 radial von der Drehachse A weggerichtet zu halten, wird zwischen den Schwingzapfen oder Nocken 512, 518 ein Verriegelungsmechanismus verwendet, um eine gleiche oder entgegengesetzte Winkeldrehung eines jeden Schwenkzapfens zu gewährleisten. Der Verriegelungsmechanismus kann viele Auslegungen haben, einschließlich ineinander greifende Zahnräder oder um die Schwenkzapfen achterförmig gezogene Bänder oder Äquivalente. Ein bevorzugter Arretiermechanismus ist ein Paar von Metallbändern 542 und 544, die mit den Schwenkzapfen 512, 518 der Substratblattanordnung 540 gekoppelt sind und sich zwischen sie erstrecken. Die Bänder 542, 544 verbinden die Schwenkzapfen 512, 518. Bevorzugt wird, dass die Bänder 542, 544 individuell einstellbar sind und eines über dem anderen angeordnet ist. In 5 und 6 sind die Bänder auch so gezeigt, dass sie um eine Stange 546 an der Basis des U-förmigen Doppelblatts herumgehen. Wenn eine Doppelblatt-Tandem-Substrathandhabungseinrichtung in der Überführungskammer 126 verwendet wird, wird vorzugsweise die oben beschriebene Handhabungseinrichtung verwendet.
  • 6 zeigt die Substrathandhabungseinrichtungsarme und die Blattanordnung von 5 in einer ausgefahrenen Stellung. Dieses Ausfahren wird durch gleichzeitiges und gleiches Drehen der Magnetklammer 526 im Uhrzeigersinn und der Magnetklammer 524 im Gegenuhrzeigersinn erreicht. Die einzelnen Blätter 520, 522 der Substratblattanordnung 540 sind ausreichend lang, dass sie sich durch die Durchgänge 132 erstrecken und die Substrate 502 über den Sockeln 628 (siehe 8) zentrieren. Wenn die Substrate 502 einmal von den Blättern durch ein Paar von Hubstiftanordnungen abgehoben worden sind, werden die Blätter zurückgezogen und die Durchgänge 132 durch ein Schlitzventil und eine Betätigungseinrichtung, wie oben beschrieben, geschlossen.
  • Austreibkammer
  • 7 ist eine Schnittansicht einer beispielsweisen Substrataustreibkammer nach der Erfindung. Insbesondere ist 7 eine schnelle Wärmbehandlungskammer, die in der Lage ist, sowohl ein nicht reaktives Gas wärmezubehandeln als auch ein oxidierendes Gas aus einem abgeschiedenen Film auszutreiben. Die Substrataustreibkammer oder die schnelle Glühbehandlungskammer 118 (RTA = Rapid Thermal Anneal) ist vorzugsweise mit der Überführungskammer 108 verbunden. Das Hochdruckabscheidungsmodul 101, wie es in 2 und 3 gezeigt ist, hat vorzugsweise zwei RTA-Kammern 118, die vorzugsweise auf gegenüberliegenden Seiten der Überführungskammer 108 von dem Deckmodul 120 aus angeordnet sind, wobei die Substrate in die RTA-Kammer 118 durch die Substrathandhabungseinrichtung 112 und aus ihr heraus überführt werden.
  • Glühbehandlungskammern sind insgesamt im Stand der Technik bekannt und werden gewöhnlich bei Substratbehandlungssystemen verwendet, um die Eigenschaften der abgeschiedenen Materialien zu modifizieren. Erfindungsgemäß werden die Glühbehandlungskammern 118 dazu verwendet, um ein Austreiben von Tensiden durch ein Hochtemperaturglühen bei Vorhandensein eines Reaktionsteilnehmergases oder eine Oxidation des freiliegenden Films zum Entfernen des Tensids auszuführen. Eine spezielle Glühbehandlungskammer, die für die vorliegende Erfindung geeignet ist, ist die WxZ-Kammer, die von Applied Materials, Inc., Santa Clara, Kalifornien, vertrieben wird. Obwohl die Erfindung unter Verwendung einer Glühbehandlungskammer mit einer heißen Platte beschrieben wird, lässt die Erfindung auch Verwendungen anderer Wärmbehandlungskammern zu, die zur Durchführung der Prozesse der Erfindung geeignet sind.
  • Die RTA-Kammer 118 hat insgesamt einen Mantel 902, eine Heizplatte 904, eine Heizeinrichtung 907 und eine Vielzahl von Substratträgerstiften 906. Der Mantel 902 hat eine Basis 908, eine Seitenwand 910 und eine Oberseite 912. Unter der Oberseite 912 des Mantels ist vorzugsweise eine kalte Platte 913 angeordnet. Alternativ ist die kalte Platte in einem Stück als Teil der Oberseite 912 des Mantels ausgeführt. Vorzugsweise ist in dem Mantel 902 auf der Basis 908 eine Reflektor-Isolator-Scheibe 913 angeordnet. Die Reflektor-Isolator-Scheibe 914 ist gewöhnlich aus einem Material, wie Quarz, Aluminiumoxid oder einem anderen Material hergestellt, das hohe Temperaturen (d.h. mehr als etwa 500°C) aushält und als Wärmeisolator zwischen der Heizeinrichtung 907 und dem Mantel 902 wirkt. Die Scheibe 914 kann auch mit einem reflektierenden Material, wie Gold, beschichtet sein, um Wärme zurück zur Heizplatte 906 zu leiten.
  • Die Heizplatte 904 hat vorzugsweise eine große Masse verglichen mit dem in dem System zu behandelnden Substrat und ist vorzugsweise aus einem Material, wie Siliciumcarbid, Quarz oder anderen Materialien hergestellt, die mit Umgebungsgasen in der RTA-Kammer 118 oder mit dem Substratmaterial nicht reagieren. Die Heizeinrichtung 907 weist gewöhnlich ein Widerstandsheizelement oder eine leitende/strahlende Wärmequelle auf und ist zwischen der Heizplatte 906 und der Reflektor-Isolator-Scheibe 914 angeordnet. Die Heizeinrichtung 907 ist mit einer Leistungsquelle 916 verbunden, die die Energie einspeist, die benötigt wird, um die Heizeinrichtung 907 zu erhitzen. Vorzugsweise ist in einer Leitung 922, die durch die Basis 908 und die Scheibe 914 hindurchgehend angeordnet ist und sich in die Heizplatte 904 erstreckt, ein Thermoelement 920 angeordnet. Das Thermoelement 920 ist mit einer Steuerung 921 verbunden und liefert der Steuerung 921 Temperaturmessungen. Die Steuerung 921 erhöht oder verringert dann die Wärme, die der Heizeinrichtung 907 zugeführt wird, entsprechend den Temperaturmessungen und der gewünschten Wärmebehandlungstemperatur.
  • Der Mantel 902 hat vorzugsweise ein Kühlelement 918, das außerhalb des Mantels 902 in thermischem Kontakt mit der Seitenwand 910 angeordnet ist, um den Mantel 902 zu kühlen. Alternativ werden ein oder mehrere Kühlkanäle (nicht gezeigt) in der Seitenwand 910 zur Steuerung der Temperatur des Mantels 902 ausgebildet. Die auf der Innenfläche der Oberseite 912 angeordnete kalte Platte 913 kühlt ein Substrat, das in unmittelbarer Nähe zu der kalten Platte 913 angeordnet ist.
  • Die RTA-Kammer 118 hat ein Schlitzventil 992, das an der Seitenwand 910 des Mantels 902 angeordnet ist, um die Überführungen von Substraten in die RTA-Kammer 118 und aus ihr heraus zu erleichtern. Das Schlitzventil 922 dichtet eine Öffnung 924 an der Seiten wand 910 des Mantels selektiv ab, die mit der Überführungskammer 108 in Verbindung steht. Die Substrathandhabungseinrichtung 112 überführt Substrate durch die Öffnung 924 in die RTA-Kammer und aus ihr heraus.
  • Die Substratträgerstifte 906 haben vorzugsweise distal verjüngte Elemente, die aus Quarz, Aluminiumoxid, Siliciumcarbid oder aus anderen temperaturfesten Materialien hergestellt sind. Jeder Substratträgerstift 906 ist in einer rohrförmigen Leitung 926 angeordnet, die vorzugsweise aus einem wärme- und oxidationsbeständigen Material hergestellt ist und sich durch die Heizplatte 904 erstreckt. Die Substratträgerstifte 906 sind mit einer Hubplatte 928 zum Bewegen der Substratträgerstifte 906 auf gleichförmige Weise verbunden. Die Hubplatte 928 ist an einer Betätigungseinrichtung 930, beispielsweise einen Schrittmotor, über einen Hubschaft 932 befestigt, der die Hubplatte 928 bewegt, um die Positionierung eines Substrats auf verschiedenen vertikalen Positionen innerhalb der RTA-Kammer zu erleichtern. Der Hubschaft 932 erstreckt sich durch die Basis 908 des Mantels 902 und wird durch einen Dichtungsflansch 934 abgedichtet, der um den Schaft herum angeordnet ist.
  • Für eine Überführung eines Substrats in die RTA-Kammer 118 wird das Schlitzventil 922 geöffnet und die Ladestation-Überführungs-Substrathandhabungseinrichtung 228 fährt ihr Substrathandhabungsblatt mit einem darauf positionierten Substrat durch die Öffnung 924 in die RTA-Kammer aus. Das Substrathandhabungsblatt der Ladestations-Überführungs-Substrathandhabungseinrichtung 228 positioniert das Substrat in der RTA-Kammer über der Heizplatte 904, und die Substratträgerstifte 906 werden nach oben ausgefahren, um das Substrat über das Substrathandhabungseinrichtungsblatt anzuheben. Das Substrathandhabungseinrichtungsblatt zieht sich dann aus der RTA-Kammer zurück, und das Schlitzventil 922 verschließt die Öffnung. Die Substratträgerstifte 906 werden dann zurückgezogen, um das Substrat auf eine gewünschte Entfernung von der Heizplatte 904 abzusenken. Fakultativ können die Substratträgerstifte 906 sich voll zurückziehen, um das Substrat in direktem Kontakt mit der Heizplatte anzuordnen.
  • Vorzugsweise ist ein Gaseinlass 936 durch die Seitenwand 910 des Mantels 902 hindurch angeordnet, um den Strom eines ausgewählten Gases in die RTA-Kammer 118 während des Wärmebehandlungsprozesses zu ermöglichen. Der Gaseinlass 936 ist mit einer Gasquelle 938 über ein Ventil 940 zum Steuern des Gasstroms in die RTA-Kammer 118 verbunden. Die Gasquelle 931 kann ein nicht reaktives Gas für die Hochtemperatur-Wärmebehandlung bereitstellen oder kann eine entfernt liegende Einheit sein, die ein oxidierendes Gas, vorzugsweise ein Ozonplasma, für die Glühbehandlungskammer 118 zur Oxidation eines freiliegenden Substratfilms bereitstellt. Vorzugsweise ist an einem unteren Ab schnitt der Seitenwand 910 des Mantels 902 ein Gasauslass 942 zum Abführen von Gasen in der RTA-Kammer angeordnet und vorzugsweise mit einem Sicherheits-/Rückschlagventil 944 verbunden, um ein Zurückströmen der Atmosphäre von außerhalb der Kammer zu verhindern. Fakultativ ist der Gasauslass 942 mit einer Vakuumpumpe (nicht gezeigt) verbunden, um die RTA-Kammer auf einen gewünschten Vakuumwert während einer Wärmbehandlung zu leeren.
  • Erfindungsgemäß wird ein Substrat in der RTA-Kammer 118 nach dem Abscheiden eines Oxidfilms wärmebehandelt. Vorzugsweise wird für eine Glühbehandlung bei hoher Temperatur und mit einem nicht reaktiven Gas die RTA-Kammer 118 auf etwa Atmosphärendruck gehalten, und der Sauerstoffgehalt innerhalb der RTA-Kammer 118 wird so gesteuert, dass er weniger als etwa 100 ppm während des Glühbehandlungsprozesses beträgt. Vorzugsweise weist die Umgebung in der RTA-Kammer 118 Stickstoff (N2) oder eine Kombination von Stickstoff (N2) und weniger als etwa 4% Wasserstoff (N2) auf, während der Umgebungsgasstrom in die RTA-Kammer 118 bei mehr als 20 l/min gehalten wird, um den Sauerstoffgehalt auf weniger als 100 ppm einzustellen. Das Substrat wird bei einer Temperatur zwischen etwa 200°C und etwa 450°C für zwischen etwa 30 s und 30 min, und vorzugsweise zwischen etwa 400°C und etwa 450°C zwischen etwa 30 s und 5 min glühbehandelt. Die schnelle Glühbehandlung erfordert gewöhnlich einen Temperaturanstieg von wenigstens 50°C pro Sekunde. Um die gewünschte Geschwindigkeit des Temperaturanstiegs für das Substrat während der Glühbehandlung bereitzustellen, wird die Heizplatte vorzugsweise auf zwischen etwa 350°C und etwa 450°C gehalten, während das Substrat vorzugsweise zwischen etwa 0 mm (d.h. im Kontakt mit der Heizplatte) und bei etwa 20 mm von der Heizplatte weg für die Dauer des Glühbehandlungsprozesses positioniert wird.
  • Für eine Oxidationsaustreibung des Substrat wird die RTA-Kammer 118 auf einen Druck von etwa 1 Torr bis etwa 10 Torr gehalten, wobei sich die Oxidationsgase aus Sauerstoff oder Ozon bei hohen Temperaturen oder aus einem Sauerstoff enthaltenden Plasma zusammensetzen. Vorzugsweise wird die Oxidation an Substratflächen ausgeführt, die Materialien enthalten, die nicht empfindlich gegenüber Sauerstoff sind oder mit Sauerstoff reagieren. Vorzugsweise wird der oxidierende Gasstrom in die RTA-Kammer 118 auf einem hohen Mengenstrom gehalten, beispielsweise auf mehr als 20 l/min, um für ein inniges Sauerstoffaustreiben aus dem freiliegenden Film des Substrats zu sorgen. Während des Sauerstoffaustreibprozesses wird das Substrat auf eine Temperatur zwischen etwa 200°C und etwa 450°C zwischen etwa 30 s und 30 min, und vorzugsweise zwischen etwa 350°C und etwa 400°C zwischen etwa 30 s und 5 min erhitzt. Das oxidierende Gas wird aus einer Sauerstoffquelle (nicht gezeigt) erhalten, die auch das Gas zur Bereitstellung einer Sauerstoffspezies aus einem entfernt liegenden Plasmagenerator RF oder aus einem entfernt liegenden Mikrowellengenerator (nicht gezeigt) behandeln kann.
  • Wenn der Austreibprozess abgeschlossen ist, heben die Substratträgerstifte 906 das Substrat in eine Position für das Abführen aus der RTA-Kammer 118. Das Schlitzventil 922 öffnet, und die Substrathandhabungseinrichtung 112 der Überführungskammer 108 fährt in die RTA-Kammer aus und positioniert sich unter dem Substrat. Die Substratträgerstifte 906 ziehen sich zurück, um das Substrat auf das Substrathandhabungseinrichtungsblatt abzusenken, und das Substrathandhabungseinrichtungsblatt zieht sich dann aus der RTA-Kammer zurück.
  • Behandlungskammern
  • 8 zeigt eine perspektivische Ansicht einer Ausführungsform einer Tandem-Behandlungskammer 139. Das Kammergehäuse 602 ist an der Überführungskammer 126 angebracht oder auf andere Weise mit ihr verbunden und hat zwei Behandlungsbereiche, in denen einzelne Substrate gleichzeitig behandelt werden. Das Kammergehäuse 602 trägt einen Deckel 604, der mit einem Scharnier an dem Kammergehäuse 602 befestigt ist und ein oder mehrere Gasverteilungssysteme 608 aufweist, die durch ihn hindurchgehend für die Förderung von Reaktionsteilnehmergasen und Reinigungsgasen in die Mehrfachbehandlungsbereiche angeordnet sind.
  • 9 zeigt eine schematische Schnittansicht der Kammer 126, die zwei Behandlungsbereiche 618, 620 bildet. Das Kammergehäuse 602 hat eine Seitenwand 612, einen Innenwand 614 und eine Bodenwand 616, die die beiden Behandlungsbereiche 618, 620 bilden. Die Bodenwand 616 in jedem Behandlungsbereich 618, 620 bildet wenigstens zwei Durchlässe 622, 624, durch welche ein Schaft 626 einer Sockelheizeinrichtung 628 und eine Stange 630 einer Substrathubstiftanordnung jeweils hindurchgehend angeordnet sind. Eine Sockelhubanordnung und der Substrathub werden nachstehend im Einzelnen beschrieben.
  • Die Seitenwand 612 und die Innenwand 614 bilden zwei zylindrische ringförmige Behandlungsbereiche 618, 620. In den Kammerwänden ist ein Umfangspumpkanal 625 ausgebildet, der die zylindrischen Behandlungsbereiche 618, 620 zum Abführen von Gasen aus den Behandlungsbereichen 618, 620 und zum Steuern des Drucks in jedem Bereich 618, 620 bildet. In jedem Behandlungsbereich 618, 620 ist eine Kammerauskleidung oder eine Kammereinlage 627, vorzugsweise aus Keramik oder dergleichen, angeordnet und bildet die seitliche Grenze jedes Behandlungsbereiches und schützt die Kammerwände 612, 614 vor der korrosiven Behandlungsumgebung und hält zwischen den Elektroden eine elektrisch isolierte Plasmaumgebung aufrecht. Die Auskleidung 627 wird in der Kammer an einer Leiste 629 gehalten, die in den Wänden 612, 614 eines jeden Behandlungsbereiches 618, 620 gebildet ist. Die Auskleidung hat eine Vielzahl von Abführöffnungen 631 oder Umfangschlitzen, die durchgehend und in Verbindung mit dem in den Kammerwänden ausgebildeten Pumpkanal 625 angeordnet sind. Vorzugsweise gibt es etwa vierundzwanzig Öffnungen 631, die durch jede Auskleidung 627 hindurchgehend in einem Abstand von etwa 15° und um den Umfang der Behandlungsbereiche 618, 620 herum angeordnet sind. Obwohl vierundzwanzig Öffnungen bevorzugt werden, kann jede Anzahl zum Erzielen der gewünschten Pumpgeschwindigkeit und Pumpgleichförmigkeit verwendet werden. Zusätzlich zu der Anzahl der Öffnungen wird die Höhe der Öffnungen bezüglich der Stirnplatte des Gasverteilungssystems gesteuert, um ein optimales Gasstrommuster über dem Substrat während der Behandlung bereitzustellen.
  • 11 zeigt eine Schnittansicht der Kammer, die das Abführsystem nach der vorliegenden Erfindung veranschaulicht. Die Pumpkanäle 625 jedes Behandlungsbereichs 618, 620 sind vorzugsweise mit einer gemeinsamen Abführpumpe über einen gemeinsamen Abführkanal 619 verbunden. Der Abführkanal 619 ist mit dem Pumpkanal 625 in jedem Bereich 618, 620 durch Abführleitungen 621 verbunden. Der Abführkanal 619 ist mit einer Abführpumpe (nicht gezeigt) über eine Abführleitung (nicht gezeigt) verbunden. Jeder Bereich wird vorzugsweise auf einen ausgewählten Druck durch die Pumpe abgepumpt, und das damit verbundene Abführsystem ermöglicht einen Ausgleich des Drucks in jedem Bereich. Die Pumpe ist vorzugsweise eine Hochvakuum-Turbopumpe, die in der Lage ist, Drucke von Millitorr bei sehr niedriger Vibration zu erzeugen. Eine vorteilhafterweise verwendete Vakuumquelle ist von Edward High Vacuum verfügbar.
  • Gemäß 9 hat jeder der Behandlungsbereich 618, 620 auch vorzugsweise eine Gasverteilungsanordnung 608, die durch den Kammerdeckel 604 hindurchgehend angeordnet ist, um Gase in die Behandlungsbereiche 618, 620 vorzugsweise von der gleichen Gasquelle aus zu fördern. Das Gasverteilungssystem 608 eines jeden Behandlungsbereiches hat einen Gaseinlassdurchgang 640, der Gas in eine Duschkopfanordnung 642 fördert. Die Duschkopfanordnung 642 besteht aus einer ringförmigen Basisplatte 648 mit einer Sperrplatte 644, die zwischen einer Stirnplatte 646 angeordnet ist. Eine RF-Durchführung stellt ein Vorspannpotenzial für die Duschkopfanordnung bereit, um die Erzeugung eines Plasmas zwischen der Stirnplatte 646 der Duschkopfanordnung und dem Heizsockel 628 zu erleichtern. In einer Basisplatte 648 eines jeden Gasverteilungssystems 608 ist ein Kühlkanal 652 ausgebildet, um die Platte während des Betriebs zu kühlen. Ein Einlass 655 fördert ein Kühlfluid, beispielsweise Wasser oder dergleichen, in die Kanäle 652, die miteinander durch eine Kühlleitung 657 verbunden sind. Das Kühlfluid tritt aus dem Kanal durch einen Kühlmittelauslass 659 aus. Alternativ kann das Kühlfluid durch den Verteiler umgewälzt werden.
  • Das Kammergehäuse 602 bildet eine Vielzahl von vertikalen Gasdurchlässen für jedes für den ausgewählten Prozess geeignete Reaktionsteilnehmergas und Reinigungsgas und zur Förderung in die Kammer durch das Gasverteilungssystem. Am Boden der Kammer 126 sind Gaseinlassanschlüsse 641 angeordnet, um die Gasdurchlässe, die in der Kammerwand ausgebildet sind, mit Gaseinlassleitungen 639 zu verbinden. Um jeden Gasdurchlass, der durch die Kammerwand hindurch ausgebildet ist, ist ein O-Ring an der oberen Fläche der Kammerwand ausgebildet, um eine abdichtende Verbindung mit dem Deckel bereitzustellen, wie es in 11 gezeigt ist. Der Deckel hat passende Durchgänge zur Förderung des Gases aus dem unteren Teil der Kammerwand in den Gaseinlassverteiler 670, der auf der Oberseite des Kammerdeckels angeordnet ist, wie es in 10 gezeigt ist. Die Reaktionsteilnehmergase werden durch eine Durchführung 672 mit Spannungsgradienten in einen Gasauslasssammler 674 gefördert, der mit einer Gasverteilungsanordnung verbunden ist.
  • Der Gaseinlasssammler 670 leitet Prozessgase aus den Kammergaszuführungen in die Gaszuführungen mit konstanten Spannungsgradienten, die geerdet sind. Gaszuführungsrohre (nicht gezeigt) fördern oder leiten die Prozessgase durch die Gaszuführungen 672 mit Spannungsgradient und in den Auslasssammler 674. Widerstandshülsen umgeben die Gaszuführungsrohre und führen zu einem linearen Spannungsabfall über der Zuführung und verhindern, dass ein Plasma in der Kammer sich in den Gaszuführungsrohren nach oben bewegt. Die Gaszuführungsrohre sind vorzugsweise aus Quarz hergestellt, während die Hülsen vorzugsweise aus einer Verbundkeramik besteht. Die Gaszuführungsrohre sind in einem Isolierblock angeordnet, der Kühlmittelkanäle enthält, um die Temperatur zu steuern und um eine Wärmestrahlung sowie eine Verflüssigung der Prozessgase zu verhindern. Vorzugsweise besteht der Isolierblock aus DelrinTM-Acetalharz. Quarzzuführungsrohre liefern Gas in einen Gasauslasssammler 674, der die Prozessgase zu der Sperrplatte 644 und in die Gasverteilerplatte 646 leitet.
  • Der Gaseinlasssammler 670 (siehe 10) bildet auch einen Durchgang, der Reinigungsgase aus einer Kammergasdurchführung in die entfernt liegende Plasmaquelle (nicht gezeigt) fördert. Diese Gase sind an den Zuführungen mit Spannungsgradienten vorbeigeführt und werden in eine entfernt liegende Plasmaquelle transportiert, wo die Gase zu verschiedenen erregten Spezies aktiviert werden. Die erregten Spezies werden dann zu der Gasverteilerplatte an einer Stelle gerade unter der Blockierplatte durch eine Leitung gefördert, die in einem Gaseinlassdurchlass 640 angeordnet ist.
  • Die Gasleitungen 639, die Gas in die Verteilungssysteme jedes Behandlungsbereichs führen, sind vorzugsweise mit einer einzigen Gasquellenleitung verbunden und werden deshalb geteilt oder gemeinsam für die Förderung von Gas zu jedem Behandlungsbereich 618, 620 gesteuert. Die Gasleitung(en), die die Prozessgase zu der Mehrfachzonenkammer zuführt (zuführen), ist (sind) für die Beschickung der Mehrfachprozessbereiche durch eine Kupplung in T-Bauweise geteilt. Um die Strömung in die einzelnen Leitungen, die jeden Behandlungsbereich beschicken, zu erleichtern, ist ein Filter, beispielsweise ein gesinterter Nickelfilter, in der Gasleitung stromauf von dem Teiler angeordnet. Der Filter verstärkt die gleichmäßige Verteilung und den Strom der Gase in die gesonderten Gaszuführleitungen.
  • Das Gasverteilungssystem hat eine Basisplatte 648 mit einer Blockierplatte 644, die angrenzend an ihre untere Fläche angeordnet ist. Unter der Blockierplatte 644 ist eine Stirnplatte 646 angeordnet, um Gase in die Behandlungsbereiche 618, 620 zu fördern. Bei einer Ausführungsform begrenzt die Basisplatte 648 eine durch sie hindurchgehende Gaszuführung, um Prozessgase zu einem Bereich gerade über der Blockierplatte 644 zu fördern. Die Blockierplatte 644 verteilt die Prozessgase über ihre obere Fläche und fördert die Gase über die Stirnplatte 646. Die Löcher in der Blockierplatte 644 können so bemessen und positioniert werden, dass das Vermischen der Prozessgase und die Verteilung über der Stirnplatte 646 verstärkt werden. Die zur Stirnplatte 646 geförderten Gase werden dann in die Behandlungsbereiche 618, 620 auf gleichmäßige Weise über ein Substrat abgegeben, das für die Behandlung angeordnet ist.
  • In dem Gasdurchgang ist ein Gasbeschickungsrohr (nicht gezeigt) angeordnet und mit einem Ende mit einer Auslassleitung aus einer entfernt liegenden Plasmaquelle verbunden. Ein Ende des Gaszuführrohrs erstreckt sich durch den Gasauslasssammler zum Fördern von Gasen aus der entfernt liegenden Plasmaquelle. Das andere Ende des Gaszuführrohrs ist durch die Blockierplatte 644 hindurch angeordnet, um Gase über die Blockierplatte 644 hinaus in den Bereich gerade über der Stirnplatte 646 zu fördern. Die Stirnplatte 646 verteilt die durch das Gaszuführrohr geförderten Gase und gibt die Gase in die Behandlungsbereiche dann ab.
  • Obwohl dies ein bevorzugtes Gasverteilungssystem ist, können die Gase aus der entfernt liegenden Plasmaquelle in die Behandlungsbereiche 618, 620 durch eine Öffnung (nicht gezeigt) eingeführt werden, die durch die Kammerwand hindurch vorgesehen ist. Zu sätzlich können die Prozessgase durch irgendein Gasverteilungssystem gefördert werden, das gegenwärtig zur Verfügung steht, beispielsweise das Gasverteilungssystem von Applied Materials, Inc., Santa Clara, Kalifornien.
  • Heizsockel
  • 9 zeigt einen Heizsockel 628, der in jedem Behandlungsbereich 618, 620 durch einen Schaft 626 beweglich angeordnet ist, der mit der Unterseite einer Trägerplatte verbunden ist und sich durch den Boden des Kammergehäuses 602 erstreckt, wo er mit einem Antriebssystem 603 verbunden ist. Der Schaft 626 ist vorzugsweise ein kreisförmiges, rohrförmiges Aluminiumelement mit einem oberen Ende, das in einem Trägerkontakt mit der Unterseite des Heizsockels 628 angeordnet ist, und einem unteren Ende, das mit einer Abdeckplatte abgeschlossen ist. Das untere Ende des Schafts ist in einer becherförmigen Hülse aufgenommen, die die Verbindung des Schafts mit dem Antriebssystem bildet. Der Schaft 626 positioniert den Heizsockel 628 mechanisch in dem Behandlungsbereich und bildet auch einen Umgebungsdurchgang, durch den sich eine Vielzahl von Heizplattenverbindungen erstrecken können. Jeder Heizsockel 628 kann Heizelemente aufweisen, um ein auf ihm angeordnetes Substrat auf eine gewünschte Prozesstemperatur zu erhitzen. Die Heizelemente können beispielsweise ein Widerstandsheizelement aufweisen. Alternativ kann der Heizsockel durch ein äußeres Heizelement, beispielsweise eine Lampe, erhitzt werden. Ein bei der vorliegenden Erfindung vorteilhafterweise verwendeter Sockel ist durch Applied Materials, Inc., Santa Clara, Kalifornien, verfügbar. Der Sockel kann auch einen elektrostatischen Halter, einen Vakuumhalter oder eine andere Haltevorrichtung aufweisen, um ein Substrat auf ihr während der Behandlung festzulegen.
  • Der Heizsockel 628 wird angehoben und abgesenkt, indem das Überführungsgehäuse nach oben und nach unten in eine Behandlungs-, Reinigungs-, Hub- und Freigabeposition durch ein Antriebssystem 603 bewegt wird, das lineare elektrische Betätigungseinrichtungen (nicht gezeigt) aufweist. Das Überführungsgehäuse ist mit der Betätigungseinrichtung auf einer Seite und mit einem linearen Schlitten (nicht gezeigt) auf der anderen über eine Schlittenplatte (nicht gezeigt) verbunden. Die Verbindung zwischen der Betätigungseinrichtung und dem Schlitten erfolgt durch eine flexible (Kugelgelenk-)Verbindung (nicht gezeigt), um irgendeine Fehlausrichtung zu ermöglichen. Der lineare Schlitten und die Schlittenplatte sind gegeneinander vorgespannt, um eine Drehung und Biegung zu unterbinden. Den Schaft 626 des Heizsockels 628 umgibt ein Balg, der an einem Ende an den Kammerboden 616 und am anderen Ende an das Überführungsgehäuse anschließt. In einer Nut 630 in dem Schaft 626 ist ein Dichtungsring (nicht gezeigt) vorgesehen, um die Außenfläche des unteren Endes des Schaftes in der Hülse 624 abzudichten. Eine Nivellierung des Heizsockels 628 bezüglich der Stirnplatte 646 wird durch Verwendung von drei Schrauben erreicht.
  • Alternativ hat das Antriebssystem 603 eine Motor- und Untersetzungsgetriebeanordnung (nicht gezeigt), die unter der Kammer 130 aufgehängt und mit einem Antriebsriemen für eine bequeme Koppelung verbunden ist, sowie eine Leitspindelanordnung. Das Überführungsgehäuse ist auf der Leitspindelanordnung aufgenommen, die nach oben und unten geführt und gegen Drehung durch ein lineares Gleitstück gesichert ist. Der Heizeinrichtungs-Hubmechanismus wird an der Kammer 130 durch den Antriebsbund erhalten. Der Heizsockel 628 wird durch eine Leitspindel angehoben und abgesenkt, die von einem Schrittmotor angetrieben wird. Der Schrittmotor ist an der Heizeinrichtungs-Hubanordnung durch eine Motorhalterung angebracht. Der Schrittmotor treibt die Leitspindel in einem Balg. Der Balg dreht die Leitspindel zum Anheben und Absenken der Heizeinrichtungsanordnung in die Behandlungs-, Hub- und Freigabestellungen. In einer Nut in dem Schaft 626 ist ein Dichtungsring vorgesehen, um die Außenfläche des unteren Endes des Schafts 626 in der Hülse abzudichten.
  • Substratpositionieranordnung
  • Gemäß 8 und 9 bewegt sich der Schaft 626 in der Kammer nach oben und nach unten, um den Heizsockel 628 zu bewegen, damit ein Substrat darauf für eine Behandlung positioniert oder davon entfernt wird. Eine Substratpositionieranordnung hat eine Vielzahl von Haltestiften 651, die sich vertikal bezüglich des Heizsockels 628 bewegen und in Bohrungen 653 aufgenommen sind, die vertikal durch den Sockel angeordnet sind. Jeder Stift 651 hat einen zylindrischen Schaft 659, der in einem unteren kugeligen Abschnitt 661 endet, sowie einen oberen kegelstumpfförmigen Kopf 663, der als Auswärtserstreckung des Schaftes ausgebildet ist. Die Bohrungen 653 in dem Heizsockel 628 haben einen oberen Sackbohrungsabschnitt, der für eine solche Aufnahme des konischen Kopfes 663 bemessen ist, dass, wenn der Stift 651 voll in dem Heizsockel 628 aufgenommen ist, sich der Kopf nicht über die Oberfläche des Heizsockels hinaus erstreckt.
  • Die Hubstifte 651 bewegen sich teilweise in Verbindung mit und teilweise unabhängig von dem Heizsockel 628, wenn sich der Sockel in den Behandlungsbereich bewegt. Die Hubstifte können sich über den Sockel 628 hinaus erstrecken, damit das Substrathandhabungseinrichtungsblatt das Substrat aus dem Prozessbereich entfernen kann, müssen jedoch auch in den Sockel versinken, um das Substrat auf der oberen Fläche des Sockels für die Behandlung anzuordnen. Um die Stifte 651 zu bewegen, hat die Substratpositionieran ordnung einen ringförmigen Stiftträger 655, der so gestaltet ist, dass er an den unteren kugeligen Abschnitten 661 der Hufstifte 651 angreift, sowie ein Antriebselement, welches den Stiftträger 655 für ein selektives Angreifen an den Hubstiften 651 abhängig von der Position des Heizsockels 628 in den Behandlungsbereich positioniert. Der Stiftträger 655, der vorzugsweise aus Keramik besteht, erstreckt sich um den Schaft 626 unter dem Heizsockel 628 für einen selektiven Eingriff mit den unteren kugeligen Abschnitten der Haltestifte.
  • Eine Antriebsanordnung hebt und senkt den Schaft 630 und den damit verbundenen Stiftträger 655, um die Stifte 651 nach oben und nach unten in jedem Behandlungsbereich 618, 620 zu bewegen. Das Stiftantriebselement befindet sich vorzugsweise am Boden der Kammer 130 zur Steuerung der Bewegung der Stiftträgerplattform 655 bezüglich des Heizsockels 628.
  • Gasbehälter und Zuführung
  • Gemäß 2 und 3 befindet sich auf der Außenseite der Kammer am rückwärtigen Ende des Systems eine Gaszuführkonsole 219, die die Gase enthält, die während des Abscheidens und Reinigens verwendet werden. Die speziellen Gase, die verwendet werden, hängen von den Materialien ab, die auf dem Substrat abgeschieden oder aus der Kammer 130 entfernt werden sollen. Die Prozessgase strömen durch eine Einlassöffnung in den Gassammler und dann in die Kammer durch ein Gasverteilungssystem in Duschkopfbauweise. Ein elektronisch betriebenes Ventil und ein Strömungssteuermechanismus steuern die Gasströme von der Gasversorgung in die Kammer.
  • Bei einer Ausführungsform der Erfindung werden die Präkursor-Gase aus dem Gasbehälter 219 zur Kammer 230 geliefert, wo sich die Gasleitung in zwei gesonderte Gasleitungen T-förmig aufzweigt, welche die Gase durch das Kammergehäuse, wie oben beschrieben, zuführen. Abhängig von dem Prozess kann jede beliebige Anzahl von Gasen auf diese Weise zugeführt und entweder bevor sie zum Boden der Kammer befördert werden, oder wenn sie in die Gasverteilungsplatte einmal eingetreten sind, gemischt werden.
  • Leistungseinspeisungen
  • Gemäß 2 und 3 wird ein fortgeschrittenes kompaktes RF-("CFR"-) Leistungszuführsystem 136 für jeden Behandlungsbereich 618, 620 verwendet, wobei ein System mit jedem Gasverteilungssystem 219 verbunden ist. Am rückwärtigen Ende des Systems ist für jede Kammer ein 13,56 MHz-HF-Generator der Genesis-Serie, hergestellt von ENI, ange bracht. Dieser Hochfrequenzgenerator ist für die Verwendung mit einer Festanpassung ausgelegt und reguliert die der Last zugeführte Leistung und beseitigt Bedenken hinsichtlich Durchlass- und reflektierter Leistung. Um einen Hochfrequenz-HF-Generator und einen Niederfrequenz-HF-Generator an eine Behandlungskammer anzuschließen, ist in dem Festanpassungsgehäuse ein Tiefpassfilter gebaut.
  • Ein 350 kHz-HF-Generator, hergestellt von ENI, befindet sich in einem HF-Generatoreinschub an dem rückwärtigen Ende des Systems und ist mit der HF-Festanpassung durch ein Koaxialkabel verbunden. Der Niederfrequenz-HF-Generator hat sowohl Niederfrequenzerzeugungs- und Festanpassungselemente in einem kompakten Gehäuse. Der Niederfrequenz-HF-Generator reguliert die Leistung, die der Last zugeführt wird, wobei die Bedenken hinsichtlich Durchlassleistung und reflektierter Leistung reduziert sind.
  • Programmierung
  • Die in 2 und 3 gezeigte Systemsteuerung 138 arbeitet unter der Steuerung eines Rechnerprogramms, das auf dem Festplattenspeicher eines Rechners gespeichert ist. Das Rechnerprogramm bestimmt die Prozesssequenz und -zeitsteuerung, das Mischen von Gasen, die Kammerdrucke, die HF-Leistungspegel, die Suszeptorpositionierung, das Öffnen und Schließen der Schlitzventile, die Substraterhitzung sowie andere Parameter eines speziellen Prozesses. Die Schnittstelle zwischen einem Benutzer und der Systemsteuerung ist vorzugsweise über einen CRT-Monitor und einen Lichtschreiber (nicht gezeigt). Bei einer bevorzugten Ausführung werden zwei Monitore verwendet, wobei der eine Monitor in der Reinraumwand für die Bedienungspersonen und der andere Monitor hinter der Wand für die Service-Techniker angeordnet ist. Beide Monitore zeigen gleichzeitig die gleiche Information an, es wird jedoch nur ein Lichtschreiber aktiviert. Der Lichtschreiber erfasst von der CRT-Anzeige emittiertes Licht mit einem Lichtsensor in der Spitze des Schreibers. Um einen speziellen Schirm oder eine spezielle Funktion auszuwählen, berührt die Bedienungsperson einen designierten Bereich des Anzeigeschirms und drückt den Knopf an dem Schreiber. Der Anzeigeschirm bestätigt insgesamt die Verbindung zwischen dem Lichtschreiber und dem berührten Bereich durch Ändern seines Aussehens, d.h. durch Erhellen oder Farbe, oder durch Anzeigen eines neuen Menüs oder Schirms.
  • Durch Verwendung eines Computerprogrammprodukts, das beispielsweise auf der Systemsteuerung 138 läuft, kann eine Vielzahl von Prozessen ausgeführt werden. Der Rechnerprogrammcode kann in jeder herkömmlichen rechnerlesbaren Programmiersprache geschrieben sein, beispielsweise 68000 Assembler-Sprache, C, C++ oder Pascal. Unter Ver wendung eines herkömmlichen Textaufbereitungsprogramms wird ein geeigneter Programmcode in eine Einzeldatei oder in Mehrfachdateien eingegeben und in einem rechnernutzbaren Medium, beispielsweise einem Speichersystem des Rechners, gespeichert oder zusammengefasst. Wenn der eingegebene Codetext eine höhere Programmsprache ist, wird der Code übersetzt, und der erhaltene Compiler-Code wird dann mit einem Maschinenprogrammcode von vorübersetzten Bibliotheksroutinen verkettet. Zur Ausführung des verketteten übersetzten Maschinenprogrammcodes ruft der Systembenutzer den Maschinenprogrammcode auf und veranlasst das Rechnersystem, den Code in den Speicher zu laden, von dem die CPU ausliest und den Code ausführt, um die in dem Programm angegebenen Aufgaben zu erfüllen.
  • 12 zeigt zur Veranschaulichung ein Ablaufdiagramm einer bevorzugten hierarchischen Steuerstruktur des Rechnerprogramms 1410. Der Benutzer gibt in eine Prozessselektor-Subroutine 1420 ansprechend auf an dem CRT-Monitor angezeigten Menüs oder Schirmen unter Verwendung der Lichtschreiberschnittstelle eine Prozessdefinitionszahl und eine Prozesskammerzahl ein. Die Prozessdefinitionen stellen vorgegebene Bestimmungen von Prozessparametern bereit, die erforderlich sind, um spezifizierte Prozesse auszuführen, und werden durch vorgegebene Definitionsnummern identifiziert. Die Prozessselektor-Subroutine 1420 identifiziert (i) die gewünschte Prozesskammer und (ii) die gewünschte Bestimmung der Prozessparameter, die erforderlich sind, um die Prozesskammer für die Ausführung des gewünschten Prozesses arbeiten zu lassen. Die Prozessparameter zur Ausführung eines spezifischen Prozesses beziehen sich auf Prozessbedingungen, wie beispielsweise Prozessgaszusammensetzung und Durchsätze, Temperatur, Druck, Plasmazustände, beispielsweise HF-Vorspannleistungswerte und Magnetfeldleistungswerte, Kühlgasdruck sowie Kammerwandtemperatur, und werden dem Benutzer in Form eines Rezepts bereitgestellt. Die von dem Rezept spezifizierten Parameter werden in irgendeiner herkömmlichen Weise eingegeben, besonders bevorzugt jedoch durch Verwendung der Lichtschreiber/CRT-Monitor-Schnittstelle.
  • Für den Rechner werden über die analogen Eingangsplatten und über die digitalen Eingangsplatten der Systemsteuerung elektronische Signale bereitgestellt, die von verschiedenen Geräten und Vorrichtungen zum Überwachen des Prozesses geliefert werden. Es kann jedes herkömmliche Verfahren zum Überwachen der Prozesskammern verwendet werden, beispielsweise der Sendeaufruf. Außerdem werden elektronische Signale zur Betätigung verschiedener Prozesssteuerungen oder -vorrichtungen durch die analogen und digitalen Ausgabeplatten der Systemsteuerung abgegeben. Die Menge, Art und Installierung dieser Überwachungs- und Steuervorrichtungen kann sich von einem System zum nächsten entsprechend der speziellen Endnutzung des Systems und dem Grad der gewünschten Prozesssteuerung ändern. Die Spezifikation oder Auswahl spezieller Vorrichtungen, wie die optimale Bauweise eines Thermoelements für eine spezielle Anwendung, ist dem Fachmann bekannt.
  • Eine Prozess-Sequencer-Subroutine 1430 weist einen Programmcode für die Annahme der identifizierten Prozesskammerzahl und Definition der Prozessparameter von der Prozessselektor-Subroutine 1420 und zum Steuern der Funktion der verschiedenen Prozesskammern auf. Mehrfachnutzer können Prozessdefinitionszahlen und Prozesskammerzahlen eingeben, oder ein Nutzer kann Mehrfachprozesskammerzahlen eingeben, so dass die Sequencer-Subroutine 1430 so arbeitet, dass sie die ausgewählten Prozesse in der gewünschten Folge festlegt. Vorzugsweise hat die Prozess-Sequencer-Subroutine 1430 einen Programmcode zur Ausführung der Schritte (i) Überwachen der Funktion der Prozesskammern, um zu bestimmen, ob die Kammern benutzt werden sollen, (ii) Bestimmen, welche Prozesse in den zu benutzenden Kammern auszuführen sind, und (iii) Ausführen des gewünschten Prozesses basierend auf der Verfügbarkeit einer Prozesskammer und der Art des auszuführenden Prozesses. Bei der Festlegung, welcher Prozess auszuführen ist, kann die Sequencer-Subroutine 1430 so ausgelegt sein, dass sie den vorliegenden Zustand der zu nutzenden Prozesskammer im Vergleich mit den gewünschten Prozessbedingungen für einen ausgewählten Prozess oder das "Alter" jeder speziellen, vom Nutzer eingegebenen Anforderung oder jeden anderen relevanten Faktor, den ein Systemprogrammierer für die Bestimmung der Planungsprioritäten einzuschließen wünscht, in Betracht zieht.
  • Wenn die Sequencer-Subroutine 1430 einmal bestimmt, welche Prozesskammer- und Prozessdefinitionskombination als nächste auszuführen ist, veranlasst sie die Ausführung der Prozessdefinition, indem die speziellen Prozessdefinitionsparameter einer Kammerleiter-Subroutine 1440a–c zugeführt werden, die Mehrfachprozessaufgaben in einer Prozesskammer 130 entsprechend der Prozessdefinition steuert, die von der Sequencer-Subroutine 1430 bestimmt ist. Beispielsweise hat die Kammerleiter-Subroutine 1440a einen Programmcode zum Steuern der Zerstäubungs- und CVD-Prozessfunktionen in der Prozesskammer 130. Die Kammerleiter-Subroutine 1440 steuert auch die Ausführung verschiedener Kammerkomponenten-Subroutinen, die die Funktion der Kammerkomponente steuern, die erforderlich ist, um die ausgewählte Prozessdefinition auszuführen. Beispiele für Kammerkomponenten für Subroutinen sind eine Substratpositionier-Subroutine 1450, eine Prozessgassteuer-Subroutine 1460, eine Drucksteuer-Subroutine 1470, eine Heizeinrichtungssteuer-Subroutine 1480 und eine Plasmasteuer-Subroutine 1490. Der Fachmann erkennt, dass auch andere Kammersteuer-Subroutinen abhängig davon eingeschlossen werden können, welche Prozesse der Prozesskammer 130 ausgeführt werden sollen. In Betrieb bestimmt oder ruft die Kammerleiter-Subroutine 1440a selektiv die Prozesskomponenten-Subroutinen entsprechend der auszuführenden speziellen Prozessdefinitionen. Die Kammerleiter-Subroutine 1440a bestimmt die Prozesskomponenten-Subroutinen auf ähnliche Weise, wie die Sequencer-Subroutine 1430 plant, welche Prozesskammer 130 und Prozessdefinition als nächstes auszuführen ist. Gewöhnlich schließt die Kammerleiter-Subroutine 1440a die Schritte ein, die verschiedenen Kammerkomponenten zu überwachen, zu bestimmen, welche Komponenten basierend auf den Prozessparametern für die auszuführende Prozessdefinition betätigt werden müssen, und das Veranlassen der Ausführung einer Kammerkomponenten-Subroutine ansprechend auf die Überwachungs- und Bestimmungsschritte.
  • Die Funktion der speziellen Kammerkomponenten-Subroutinen wird nun unter Bezug auf 12 beschrieben. Die Substratpositionier-Subroutine 1450 hat einen Programmcode zum Steuern der Kammerkomponenten, die benutzt werden, um das Substrat auf den Sockel 628 zu laden, und fakultativ das Substrat auf eine gewünschte Höhe in der Kammer 130 zur Einstellung des Abstands zwischen dem Substrat und dem Duschkopf 642 anzuheben. Wenn Substrate in die Kammer 130 geladen werden, wird der Sockel 628 abgesenkt und die Hubstiftanordnung angehoben, um das Substrat aufzunehmen, wonach der Sockel 628 auf die gewünschte Höhe in der Kammer angehoben wird, beispielsweise um das Substrat auf einer ersten Entfernung oder in einem ersten Abstand von dem Gasverteilungssammler während des CVD-Prozesses zu halten. In Betrieb steuert die Substratpositionier-Subroutine 1450 die Bewegung der Hubanordnung und des Sockels 628 ansprechend auf die Prozessdefinitionsparameter in Bezug auf die Traghöhe, die von der Kammerleiter-Subroutine 1440a übertragen werden.
  • Die Prozessgassteuer-Subroutine 1460 hat einen Programmcode zum Steuern der Prozessgaszusammensetzung und der Durchsätze. Die Prozessgassteuer-Subroutine 1460 steuert die Offen/Schließ-Stellung der Sicherheitsabsperrventile und verstellt die Durchsatzsteuerungen nach oben/nach unten, um einen gewünschten Gasdurchsatz zu erhalten. Die Prozessgassteuer-Subroutine 1460 wird von der Kammerleiter-Subroutine 1440a aufgerufen, wie dies für alle Kammerkomponenten-Subroutinen gilt, und erhält von der Kammerleiter-Subroutine Prozessparameter bezogen auf den gewünschten Gasdurchsatz. Gewöhnlich arbeitet die Prozessgassteuer-Subroutine 1460 so, dass ein einziges Steuerventil zwischen der Gasquelle und der Gaszuführleitungen für die Kammer 130 geöffnet wird, und wiederholt (i) der Massenstrom gemessen wird, (ii) der tatsächliche Durchsatz zu dem gewünschten Durchsatz erhalten aus der Kammerleiter-Subroutine 1440a verglichen wird, und (iii) Einstellen des Durchsatzes der Hauptgasversorgungsleitung, wenn erforderlich. Weiterhin schließt die Prozessgassteuer-Subroutine 1460 die Schritte zum Überwachen des Gasdurchsatzes für einen unsicheren Durchsatz und die Aktivierung eines Sicherheitsabsperrventils ein, wenn ein unsicherer Zustand festgestellt wird.
  • Bei manchen Prozessen wird ein Inertgas, wie Argon, in die Kammer 130 gefördert, um den Druck in der Kammer zu stabilisieren, bevor reaktive Prozessgase in die Kammer eingeführt werden. Für diese Prozesse wird die Prozessgassteuer-Subroutine 1460 so programmiert, dass sie die Schritte einschließt, dass das Inertgas in die Kammer 130 während eines Zeitraums strömt, der erforderlich ist, um den Druck in der Kammer zu stabilisieren, und dass dann die oben beschriebenen Schritte ausgeführt werden. Wenn ein Prozessgas aus einem flüssigen Präkursor, beispielsweise Tetraethylorthosilan (TEOS) verdampft werden soll, würde zusätzlich die Prozesssteuer-Subroutine 1460 so geschrieben, dass sie die Schritte einschließt, ein Fördergas, wie Helium, durch den flüssigen Präkursor in einer Blasenbildungsanordnung in Form von Blasen durchfließen zu lassen. Für diese Prozessart reguliert die Prozessgassteuer-Subroutine 1460 die Strömung des Fördergases, den Druck der Blasenbildungseinrichtung sowie die Blasenbildungseinrichtungstemperatur, um die gewünschten Prozessgasdurchsätze zu erhalten. Wie oben erwähnt, werden die gewünschten Prozessgasdurchsätze zur Prozessgassteuer-Subroutine 1460 als Prozessparameter überführt. Außerdem umfasst die Prozesssteuer-Subroutine 1460 die Schritte, den erforderlichen Fördergasdurchsatz, Blasenbildungsdruck und Blasenbildungstemperatur für den gewünschten Prozessgasdurchsatz über einen Zugang zu einer gespeicherten Datentabelle zu erhalten, die die erforderlichen Werte für einen gegebenen Prozessgasdurchsatz enthält. Wenn die erforderlichen Werte einmal erhalten werden, werden der Fördergasdurchsatz, der Blasenbildungsdruck und die Blasenbildungstemperatur überwacht, mit den erforderlichen Werten verglichen und entsprechend eingestellt.
  • Die Drucksteuer-Subroutine 1470 weist einen Programmcode zum Steuern des Drucks in der Kammer 130 auf, indem die Größe der Öffnung des Drosselventils in dem Auslasssystem der Kammer reguliert wird. Die Größe der Öffnung des Drosselventils wird variiert, um den Kammerdruck auf ein gewünschtes Niveau bezogen auf den gesamten Prozessgasstrom, das Gasvolumen, das in der Prozesskammer enthalten ist, und den Pumpendefinitionspunktdruck für das Abführsystem zu steuern. Wenn die Drucksteuerungs-Subroutine 1470 aufgerufen ist, wird der gewünschte Definitionspunktdruckpegel als Parameter von der Kammerleiter-Subroutine 1440a erhalten. Die Drucksteuer-Subroutine 1470 arbeitet so, dass der Druck in der Kammer 130 unter Verwendung von einem oder mehreren herkömmlichen Druckmanometern gemessen wird, die mit der Kammer verbunden sind, dass der gemessene Wert/die gemessenen Werte mit dem Definitionspunktdruck verglichen wird/werden, dass PID (proportional, integral und differential)-Steuerparameter von einer gespeicherten Drucktabelle erhalten werden, die dem Definitionspunktdruck entsprechen, und dass das Drosselventil entsprechend den PID-Werten eingestellt wird, die aus der Drucktabelle erhalten werden. Alternativ kann die Drucksteuer-Subroutine 1470 so geschrieben wird, dass sie das Drosselventil auf eine spezielle Öffnungsgröße öffnet oder schließt, um die Kammer 130 auf den gewünschten Druck einzuregulieren.
  • Die Heizeinrichtungssteuer-Subroutine 1480 hat einen Programmcode zum Steuern der Temperatur der Lampe oder des Heizmoduls, das zum Erhitzen des Substrats verwendet wird. Die Heizeinrichtungssteuer-Subroutine 1480 wird auch von der Kammerleiter-Subroutine 1440a aufgerufen und erhält einen gewünschten, oder Definitionspunkt-, Temperaturparameter. Die Heizeinrichtungssteuer-Subroutine 1480 bestimmt die Temperatur durch Messen des Spannungsausgangs eines Thermoelements, das in dem Sockel 628 angeordnet ist, durch Vergleich der gemessenen Temperatur mit der Definitionspunkttemperatur und durch Erhöhen oder Verringern des der Heizeinrichtung zugeführten Stroms, um die Definitionspunkttemperatur zu erhalten. Die Temperatur wird aus der gemessenen Spannung dadurch erhalten, dass die entsprechende Temperatur in einer gespeicherten Umwandlungstabelle aufgesucht oder die Temperatur unter Verwendung eines Polynoms vierter Ordnung berechnet wird. Wenn Strahlungslampen zur Erhitzen des Sockels 628 verwendet werden, steuert die Heizeinrichtungssteuer-Subroutine 1480 eine Erhöhung oder Erniedrigung eines der Lampe zugeführten Stroms graduell. Die graduelle Steigerung/Verringerung erhöht die Lebensdauer und Betriebssicherheit der Lampe. Zusätzlich kann ein Einbaubetriebssicherheitsmodus vorgesehen werden, um eine Betriebssicherheitserfüllung zu erfassen, wobei dieser Modus den Betrieb der Lampe oder des Heizeinrichtungsmoduls abschalten kann, wenn die Prozesskammer 130 nicht richtig versorgt wird.
  • Die Plasmasteuer-Subroutine 1490 hat einen Programmcode zum Einstellen des HF-Vorspannungsleistungswerts, der an die Prozesselektroden in der Kammer 130 angelegt wird, und fakultativ zur Einstellung des Werts des in der Kammer erzeugten Magnetfelds. Ähnlich wie bei den vorstehend beschriebenen Kammerkomponenten-Subroutinen wird die Plasmasteuer-Subroutine 1490 durch die Kammerleiter-Subroutine 1440a aufgerufen.
  • Obwohl das System der vorliegenden Erfindung vorstehend unter Bezug auf eine plasmaverstärkte CVD-Anwendung beschrieben wurde, kann die Erfindung natürlich auch die Verwendung von hochdichten (HDP-)CVD- und PVD-Kammern sowie Ätzkammern umfassen. Beispielsweise kann das System der vorliegenden Erfindung so angepasst werden, dass es Tandem-HDP-CVD-Kammern für die Plasmabehandlung einschließt. Bei einer alter nativen Ausgestaltung kann die Gasverteilungs-/Deckelanordnung durch einen dielektrischen Dom ersetzt werden, bei dem eine induktive Spule um den Dom herum angeordnet und eine HF-Leistungszuführung an die Spule angeschlossen ist, um eine induktive Koppelung eines hochdichten Plasmas mit der Kammer zu ermöglichen. In gleicher Weise können Tandem-PVD-Kammern mit einer Target-Anordnung ausgestaltet werden, die daran für eine Abscheidungsmaterialquelle angeordnet ist. An die Target-Anordnungen können zur Bereitstellung der Zerstäubungsleistung Gleichstromleistungseinspeisungen angeschlossen werden.
  • Phosphorgedopte mesoporöse Oxidfilme
  • 13 illustriert ein Verfahren zur Bildung eines Dielektrikums auf der Basis eines phosphorgedopten mesoporösen Oxids auf einem Substrat. Das Verfahren umfasst die Abscheidung einer ein Tensid enthaltenden phosphorgedopten Sol-Gel-Präkursorlösung auf ein Substrat, die Härtung des abgeschiedenen Sol-Gels unter Bildung eines Oxidfilms und das Einwirkenlassen eines oxidierenden Mediums wie Sauerstoff oder Ozon auf den Film oder das inerte Glühen zur Entfernung des Tensids unter Bildung eines phosphorgedopten mesoporösen Films als Dielektrikum. Vorzugsweise wird der Phosphor in den Oxidfilm durch Bildung einer Phosphorverbindung wie Phosphorpentoxid aus dem in der Sol-Gel-Präkursorlösung enthaltenen Phosphor eingeführt. Die Sol-Gel-Präkursorlösung wird vorzugsweise unter Verwendung eines Säurekatalysators auf Phosphorbasis gebildet, kann jedoch auch mit einer einen Phosphorsäureliganden aufweisenden Silicium-Sauerstoff-Verbindung oder einem durch eine Komponente auf Phosphorbasis modifizierten Tensid gebildet werden oder diese Verbindung bzw. dieses Tensid umfassen. Weitere Stoffe auf Phosphorbasis können auf mehreren Verfahrensstufen eingesetzt werden, um unterschiedliche Wirkungen zu erzielen, und die Verfahrensparameter wie Zeit, Temperatur, Druck und die relativen Stoffkonzentrationen können innerhalb eines weiten Bereichs variiert werden.
  • Das Verfahren beginnt in einem Abscheidungsmodul unter hohem Druck durch die Bildung eines Sol-Gel-Präkursors. Die zur Abscheidung der phosphorgedopten Filme verwendeten Sol-Gel-Präkursorverbindungen werden durch Mischen einer Silicium-Sauerstoff-Verbindung mit einer Phosphor enthaltenden Säure und einem Tensid in einem organischen Lösungsmittel gebildet. Der Sol-Gel-Präkursor wird dann durch Rotations- oder Sprühbeschichtung, vorzugsweise jedoch durch Rotationsbeschichtung auf das Substrat aufgebracht. Während der Rotationsbeschichtung ermöglicht es die zentrifugale Trocknung, dass der Film praktisch vollständig das Substrat in Form einer dünnen Schicht des Sol-Gel-Präkursors überzieht. Nach der Beschichtung wird der Sol-Gel-Präkursor auf das Substrat bei allmählich ansteigendem Temperaturprofil von ca. 80E bis ca. 140EC wärmebehandelt, um die hydrolytische Kondensation zu Ende zu führen und das Lösungsmittel sowie das Wasser aus dem Sol-Gel zu entfernen, wodurch miteinander in Verbindung stehende Poren von gleichem Durchmesser, vorzugsweise in einem in der kubischen Phase strukturierten Film, gebildet werden.
  • Danach wird der Film geglüht, indem man ihn einem das Tensid entfernenden Medium, wie z.B. einem Stickstoffglühen oder einer oxidierenden Atmosphäre aussetzt, die vorzugsweise bei einer Temperatur von ca. 350 bis ca. 400EC gehalten wird, wobei das Tensid aus dem Film entfernt wird und dieser zu einem phosphorgedopten mesoporösen Oxidfilm wird. Ein bevorzugtes Verhältnis von Phosphor zu Silicium in einem abgeschiedenen Film liegt vorzugsweise zwischen ca. 1:60 und ca. 1:8, insbesondere jedoch zwischen 1:30 und 1:20. Dies entspricht einem Gewichtsprozentanteil einer Phosphorverbindung wie Phosphorpentoxid (P2O5) im Siliciumoxidfilm von ca. 2 bis ca. 8 Gew.-% und insbesondere von ca. 4 Gew.-%. Es wird angenommen, dass die bevorzugten Konzentrationen an Phosphor (Phosphoroxid) im Film eine wirksame Immobilisierung bzw. Getterung geringer Konzentrationen geladener mobiler Atome bewirken, wodurch der mobile Ionentransport durch den dielektrischen Film behindert wird. Man nimmt an, dass das Dopen mit Phosphor in vorteilhafter Weise die Kombination der Vorteile wie Ionenmobilisierung, Beschleunigung des Abschlusses der Hydrolyse sowie der nachfolgenden Kondensation während der Abscheidung sowie verbesserte Filmfestigkeit bewirkt.
  • Die Silicium-Sauerstoff-Verbindungen des Sol-Gel-Präkursors entsprechen denen, wie sie gewöhnlich zur Abscheidung von siliciumhaltigen Schichten bei der Herstellung von Halbleitern verwendet werden, wobei besonders bevorzugt Si-Sole verwendet werden. Die Silicium-Sauerstoff-Präkursorverbindungen Tetraethoxysilan (TEOS), Phenyltriethoxysilan, p-Bis-(triethoxysilyl)benzol, Bis(triethoxysilyl)methan, Methyltriethoxysilan, Hexaethoxydisilan und Gemische daraus sind besonders bevorzugt. Eine Säure auf Phosphorbasis kann mit der Silicium-Sauerstoff-Verbindung vor der Bildung des Sol-Gel-Präkursors unter Bildung einer phosphorgedopten Silicium-Oxid-Verbindung chemisch umgesetzt werden. Vorzugsweise wird ein Phosphorsäureligand, -PO(OH)2, mit Silicium-Sauerstoff-Verbindungen wie einer Triethoxysilangruppe (CH3CH2O)3Si- zu o-Phosphotriethoxysilan, (CH3CH2O)3SiOPO(OH)2 kombiniert werden. Weitere bevorzugte phosphorgedopte Silicium-Oxid-Verbindungen, die mit Liganden vom Phosphorsäuretyp gebildet werden können, sind:
    o-Phosphoethylentriethoxysilan (CH3CH2O)3SiCH2CH2OPO(OH)2,
    Phosphorylethylentriethoxysilan (CH3CH2O)3SiCH2CH2PO(OH)2,
    p-Phosphorylphenylentriethoxysilan (CH3CH2O)3Si(C6H4)PO(OH)2,
    Phosphonotriethoxysilylketon (CH3CH2O)3SiC(O)PO(OH)2 und
    Phosphonotriethoxysilan (CH3CH2O)3SiPO(OH)2.
  • Der phosphorgedopte mesoporöse Oxidfilm wird vorwiegend durch die Verwendung einer Säure auf Phosphorbasis oder eines Säurederivats gedopt. Es wird angenommen, dass der Säureligand auf Phosphorbasis als Katalysator für die Hydrolyse des Silicium-Oxid-Präkursors bzw. die Polymerisation wirkt, gefolgt vom Abschluss der hydrolytischen Kondensation bei erhöhter Temperatur unter Bildung von Oxysilanolen, Kieselsäure und Siloxankondensaten, welche die amphiphilen Gruppen im Sol-Gel-Prozess überziehen. Der Katalysator beschleunigt die Hydrolyse durch Verminderung der Energie für die Hydrolyseaktivierung und die Zunahme der Geschwindigkeit der nachfolgenden Kondensation, wodurch die Dauer der Filmbehandlung abnimmt. Ferner wird der Phosphor in diese Verbindungen, die sich über den amphiphilen Gruppen während des Trocknungsprozesses bilden, aufgenommen und dopt nachfolgend den entstehenden Film während der Nachbehandlung des abgeschiedenen Sol-Gels zur Entfernung der amphiphilen Template aus dem Film. Bevorzugte Phosphorverbindungen enthaltende Säuren sind Orthophosphorsäure (H3PO4), Ammoniumdihydrogenphosphat, Tetramethylammoniumdihydrogenphosphat, Phosphatester langkettiger Alkohole, Alkoxysilylphosphonate, substituierte Derivate davon und Gemische daraus.
  • Die Säure auf Phosphorbasis kann den Säurekatalysator umfassen, kann aber auch eine Fraktion des Säurekatalysators ausmachen. Wird die Säure auf Phosphorbasis zusammen mit zusätzlichen Säuren verwendet, werden vorzugsweise organische Säuren, ausgewählt aus der Gruppe Oxalsäure, Glyoxylsäure und Gemische davon und/oder flüchtige anorganische Säuren, ausgewählt aus der Gruppe Salpeter-, Salz-, Perchlorsäure und Gemische davon verwendet. Von besonderem Interesse für die Bildung mesoporöser Oxidfilme ist der Einsatz hochflüchtiger anorganischer Säuren. Da die säurekatalysierten Hydrolysereaktionsgeschwindigkeiten bei einem maximalen pH-Wert von ca. 2 liegen und die Kondensationsgeschwindigkeiten bei einem maximalen pH-Wert von ungefähr 6 bis ca. 7, kann ein Gemisch aus einer Säure auf Phosphorbasis, gewöhnlich einer schwachen Säure von geringer Flüchtigkeit, mit einer anorganischen Säure, gewöhnlich einer starken Säure von hoher Flüchtigkeit, verwendet werden, um die katalytische Reaktion zu maximieren.
  • Insbesondere wird angenommen, dass die Hydrolysereaktion sowohl durch die Säure auf Phosphorbasis als auch durch die anorganische Säure, vorzugsweise Salpetersäure, bei niedrigem pH katalysiert wird, wonach dann das Einwirken einer erhöhten Temperatur, wie z.B. bei der Härtung, die Salpetersäurekomponente verflüchtigt und einen Gehalt an Säure auf Phosphorbasis erzielen lässt, um nachfolgend die Kondensation zu katalysieren und den mesoporösen Oxidfilm mit Phosphor zu dopen. Durch Einstellung der Konzentrationen der Säuren zueinander im Säuregemisch kann eine bevorzugte, für das Dopen des Films erforderliche Menge erreicht werden.
  • Für die Sol-Gel-Präkursorverbindungen werden Tenside verwendet, um eine wirksame Verteilung der Silicium-Sauerstoff-Verbindungen in der Lösung für eine gleichmäßige Filmabscheidung auf dem Substrat zu gewährleisten. Die Tenside können anionisch, kationisch oder nichtionisch sein. Die Tenside enthalten hydrophile Bindungsgruppen, wodurch eine sorgfältige Dispergierung in einem Wasser enthaltenden Lösungsmittel gewährleistet wird. Nichtionische Tenside weisen chemische Bindungsgruppen auf, die ungeladene oder neutrale hydrophile Gruppen darstellen, während anionische und kationische Tenside Bindungsgruppen aufweisen, die negativ bzw. positiv geladen sind. Zur Bildung der miteinander in Verbindung stehenden Poren von gleichem Durchmesser wird vorzugsweise ein in der erfindungsgemäßen kubischen Struktur vorliegendes nichtionisches Tensid verwendet, vorzugsweise ausgewählt aus der Gruppe p-(CH3)3C-CH2-C6H4-CH2-(OCH2CH2)8OH, p-(CH3)3COC6H4-CH2-(OCH2CH2)8-OH und andere Polyethylenoxid-Copolymerderivate, Polyethlyenoxid-Polypropylenoxid-Polyethylenoxid-Triblockcopolymerderivate und Gemische davon.
  • Mit dem Tensid kann chemisch ein Phosphorsäureligand verbunden sein. So z.B. können aus Tensiden mit endständigem Alkohol, die langkettige hydrophile Kettenstrukturen umfassen, vorzugsweise ausgewählt aus der Gruppe p-(CH3)3CCH2C6H4CH2(OCH2CH2)N-OH, p-(CH3)3COC6H4CH2(OCH2CH2)N-OH, CH3(CH2)K-OH, TritonTM-Tenside, wie z.B. (CH3)3CCH2C(CH3)2C6H4(OCH2CH2)N-OH, CH3(CH2)K-OH, HO(CH2CH2O)M(CH2C(CH3)HO)L(CH2CH2O)MH und CH3(CH2)I(CH2CH2O)J-OH, und fluorierten Derivaten davon sowie Gemischen dieser Verbindungen Phosphatester gebildet werden. Dabei bedeuten N vorzugsweise eine ganze Zahl von 6–12, insbesondere 8, K eine ganze Zahl von 13 bis 17, I eine ganze Zahl von 6 bis 15, J eine ganze Zahl von 20 bis 106 und L eine ganze Zahl von 20 bis 80. Beispiele für diese Verbindungen sind Tetradecylphosphat und Hexadecyl(cetyl)phosphat.
  • In der Lösung wird ein organisches Lösungsmittel verwendet, um die Dispergierung der Silicium-Sauerstoff-Verbindung im Sol-Gel zu unterstützen und das Aufsprühen bzw. Abscheiden des Sol-Gels auf das Substrat in der Aufschleuderkammer zu erleichtern. Erfindungsgemäß werden organische Lösungsmittel, vorzugsweise Alkohole verwendet, ausgewählt aus der Gruppe Ethanol, n-Propanol, Isopropanol, n-Butanol, sec.-Butanol, tert.-Butanol, Ethylenglycol oder Gemische davon, vorzugsweise werden jedoch 1-Propanol, Isopropanol und 2-Butanol verwendet. Das organische Lösungsmittel im abgeschiedenen Sol-Gel wird gewöhnlich durch Wärmebehandlung oder Härtung entfernt, wobei dieser Prozess eine oder mehrere Stufen zwischen ca. 50 und ca. 450EC umfasst, um ein Medium für die Hydrolyse und Kondensation bei der Bildung des Films zu gewährleisten. Der Härtungsprozess wird vorzugsweise während ca. 1 Minute bis ca. 10 Minuten in einer Härtungs- bzw. Sinterkammer durchgeführt.
  • Während der Härtungsstufe führt die bevorzugte Verdampfung des organischen Lösungsmittels und eine gewisse Entfernung der Feuchtigkeit im Film zu einer Zunahme der Konzentration an nichtflüchtigem Tensid und an Silicium-Sauerstoff-Verbindungen wie Kieselerde. Da die Tensidkonzentration ansteigt, bilden das Tensid, die Säure auf Phosphorbasis und die Silicium-Sauerstoff-Verbindung bei zunehmender Verdünnung des Films Molekülaggregate. Fortgesetzte Trocknung führt zu einer Verfestigung und zum Dopen des Films mit Phosphor, wodurch die Mikrostruktur des Films, die erfindungsgemäß eine kubische Struktur der miteinander in Verbindung stehenden Poren von gleichem Durchmesser darstellt, wie 13 zeigt, mit Gräben durchzogen wird.
  • Vorzugsweise kann der phosphorgedopte mesoporöse Oxidfilm dadurch gebildet werden, dass das Tensid durch Hochtemperaturglühen bei Temperaturen zwischen ca. 350 und ca. 400EC entfernt wird. Der Glühprozess kann bei Drücken durchgeführt werden, die in einem Bereich von nahe an Vakuum heranreichenden Drücken bis zu atmosphärischen Drücken durchgeführt werden. Nach Entfernung der Tenside aus dem Film bilden sich die Poren, da die Silicium-Sauerstoff-Komponente der Aggregate die Form des Oxidfilms beibehält, weisen vorzugsweise kubische Struktur auf und härten unter Bildung eines mesoporösen Films. Die Poren sind gewöhnlich miteinander verbunden, viele weisen jedoch endständige Verzweigungen auf oder können amorphe Schichten bilden. Die selektive Bildung der mesoporösen Filme führt zu einem hochporösen Film, wobei mehr als ca. 50% eine Dielektrizitätskonstante von unter ca. 2,5 und vorzugsweise von ca. 2,2 bis ca. 1,6 aufweisen.
  • Die Glühstufe wird unter ähnlichem Druck bis hin zum Druck der Abscheidungsstufe, d.h. unter einem Druck von über ca. 300 Torr durchgeführt. Insbesondere wird die Glühstufe unter einem Druck zwischen ca. 300 und ca. 760 Torr und ganz besonders zwischen ca. 500 und ca. 700 Torr durchgeführt. Vorzugsweise wird der Film in einer nichtreaktionsfähigen Atmosphäre geglüht, wobei als nichtreaktionsfähige Gase bevorzugt Stickstoff, Inertgase wie Argon und Helium oder Gemische daraus verwendet werden.
  • Der Oxidfilm wird vorzugsweise geglüht, wenn die Präkursorverbindungen Methyl- oder Phenylgruppen, wie z.B. im Fall von Phenyltriethoxysilan, p-Bis(triethoxysilyl)benzol, Bis-(triethoxysilyl)methan und Methyltriethoxysilan enthalten. Das Glühen des aus der die Methyl- oder Phenylgruppen enthaltenden Präkursorverbindung abgeschiedenen Films in nichtreaktionsfähiger Atmosphäre verhindert die Oxidation und die Abscheidung der Methyl- und Phenylverbindungen. Unter Beibehaltung der Methyl- und Phenylgruppen zeigt der Film einen höheren C-Gehalt, was vermutlich einen Film mit geringer Dielektrizitätskonstante und höherer Hydrophobizität gewährleistet. Die Glühstufe erzeugt außerdem einen hochporösen Film, wobei über ca. 50% eine Dielektrizitätskonstante von weniger als ca. 2,5 und vorzugsweise zwischen ca. 2,2 und ca. 1,6 aufweisen.
  • Der abgeschiedene Film kann auch einer oxidierenden Atmosphäre bei erhöhter Temperatur ausgesetzt werden. Die Temperatur der oxidierenden Atmosphäre liegt vorzugsweise in einem Bereich von ca. 200 bis ca. 400EC. Die oxidierende Atmosphäre umfasst vorzugsweise Sauerstoff, Ozon oder ein Sauerstoffplasma unter Bildung einer reaktionsfähigen Sauerstoffverbindung, wobei insbesondere in der Kammer ein Ozonplasma gebildet wird. Die Bildung des Plasmas erfolgt bei einem Druck von ca. 0,5 bis ca. 10 Torr. Die Sauerstoffverbindung bombardiert den Film und reagiert mit dem Tensid, der Restfeuchte und mit dem Lösungsmittel, wodurch diese Agenzien aus dem Film entfernt werden. Die Ionen sind hochreaktiv und erfordern nur eine kurze Einwirkdauer von ca. 0,5 bis ca. 5 Minuten für die Entfernung des Tensids.
  • Gemäß einem bevorzugten fakultativen Verfahren wird ein Säure-Sol-Gel-Präkursor auf Phosphorbasis im Gemisch mit einem aus einem organischen Lösungsmittel zusammengesetzten Sol-Gel-Präkursor verwendet. Eine vorgemischte primäre chemische Lösungskomponente kann aus Tetraorthosilicat (TEOS), einem Alkohol wie Ethylalkohol, Wasser und einer geeigneten organischen Säure wie Oxal- oder Glyoxylsäure in teilweise hydrolysiertem Zustand gebildet werden. Vor der Aufbringung auf das Substrat kann die primäre chemische Lösung mit einem Alkohol wie Isopropanol, 1-Propanol oder 2-Butanol, einem geeigneten langkettigen Tensid, das teilweise mit einer Säure auf Phosphorbasis und Wasser verestert sein kann, und einer Säure auf Phosphorbais zusätzlich zu einem ergänzenden hydrolysierbaren Si-haltigen Präkursor wie Methyltriethoxysilan, p-Bis(triethoxysilyl)benzol, Bis(triethoxysilyl)methan oder Phenyltriethoxysilan in entsprechenden Verhältnissen gemischt werden, so dass die Tensidkonzentration die kritische Mizellenkonzentration übersteigt, und es zur Bildung von Ansammlungen, vorzugsweise eines in kubischer Phase vorliegenden dreidimensionalen Netzwerks kommt. Die Phosphorsäure kann zusätzlich oder anstelle des langkettigen Tensidphosphats in Form von Orthophosphorsäure, substituierter Orthophosphorsäure, Alkoxysilylphosphonaten oder von chemischen Varianten von Alkoxysilylphosphonaten und Gemischen daraus vorliegen. Der Sol-Gel-Präkursor auf der Basis einer Säure auf Phosphorbasis und der aus einem organischen Lösungsmittel zusammengesetzte Sol-Gel-Präkursor werden vorzugsweise in einem Verhältnis von ca. 1:1 bis 10:1 gemischt.
  • Die phosphorgedopten mesoporösen Oxidfilme sind hydrophil und empfindlich gegenüber Verunreinigungen durch Feuchtigkeit, wobei diese (Dielektrizitätskonstante (k) > 78) eine negative Wirkung auf die Gesamtdielektrizitätskonstante der Filme haben kann. Dieser wird gewöhnlich durch Silylierung und/oder Abdeckung mit einer Deckschicht nachbehandelt.
  • Durch die Silylierung wird Silicium in die Außenfläche eines abgeschiedenen Films aufgenommen. Bei der chemischen Umsetzung kommt es zur Diffusion eines reaktiven Organosilans in der Flüssig- oder Dampfphase in einer Reaktionskammer, wodurch der Wasserstoff der Hydroxylgruppen in der Außenfläche des Films durch eine organische Si-Gruppe, meist eine Trimethylsilylgruppe ersetzt wird. Ein Beispiel für eine solche chemische Reaktion ist die Aufnahme von Hexamethyldisilazan (HMDS) in eine dielektrische Schicht auf dem Tensid unter Bildung eines Silylethers. Der Silylierungsprozess erfolgt durch Diffusion eines Silylierungsmittels bei einer Temperatur von ca. 25 bis ca. 200EC, wodurch der dieser Behandlung ausgesetzte phosphorgedopte mesoporöse Oxidfilm hydrophob wird. Erfindungsgemäß bevorzugte Silylierungsmittel sind Tetramethyldisilazan (TMDS), Hexamethyldisilazan (HMDS) und Dimethylaminotrimethylsilan oder Gemische daraus.
  • Eine auf die phosphorgedopte mesoporöse Oxidschicht abgeschiedene Deckschicht kann ein beliebiges Material sein, das eine Sperrschicht gegenüber der Diffusion von Stoffen wie Feuchtigkeit darstellt uns als Ätztrennwand bzw. als Hartmaske dient. Vorzugsweise ist die Deckschicht ein Film von niederer Dielektrizitätskonstante, der durch eine Kammer für eine plasmaverstärkte chemische Gasphasenabscheidung (PECVD) bei Kammerdrücken von ca. 0,5 bis ca. 10 Torr abgeschieden wird. Beispiele für geeignete Stoffe sind Siliciumdioxid, Siliciumnitrid, Siliciumoxynitrid und amorphes Siliciumcarbid. Ein beispielhaftes Material für die Verwendung als Einlageschicht ist eine Schicht aus amorphem Siliciumcarbid (BLOkTM), die in der US-Patentanmeldung Nr. 09/165 248 unter dem Titel "A Silicon Carbide Deposition For Use As A Barrier Layer And An Etch Stop", eingereicht am 1. Oktober 1998, auf die hier Bezug genommen wird, beschrieben wird.
  • Abscheidung eines dualen Damascenastruktur
  • Eine bevorzugte duale Damascenastruktur 1500, die erfindungsgemäß hergestellt wurde, wird in 14 dargestellt, und das Verfahren zur Herstellung dieser Struktur wird schematisch in den 15A15H gezeigt, die einen Querschnitt durch ein Substrat mit den darauf durchgeführten erfindungsgemäßen Stufen darstellen.
  • Eine duale Damascenastruktur 1500, die eine phosphorgedopte mesoporöse intermetallische dielektrische Schicht 1510 umfasst, ist in 14 dargestellt. Die intermetallischen dielektrischen Schichten 1510 und 1514, die erfindungsgemäß abgeschieden wurden, zeigen extrem niedrige Dielektrizitätskonstanten (unter 3) und werden häufig als dielektrische Schichten mit extremem k-Wert (Elk) bezeichnet. Eine erste dielektrische Schicht 1510, vorzugsweise die erfindungsgemäße phosphorgedopte mesoporöse Siliciumoxidschicht, wird auf ein Substrat 1502 abgeschieden. Das strukturierte Leiter 1506 umfassende Substrat wird in einem Kontaktsubstratmaterial 1504 mit einer ersten (Substrat-) Ätztrennwand 1508 aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder amorphem hydriertem Siliciumcarbid (BLOkTM), vorzugsweise Siliciumnitrid, die darauf abgeschieden ist, gebildet.
  • Auf die erste dielektrische Schicht 1510 wird eine zweite Ätztrennwand 1512 aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder hydriertem Siliciumcarbid (BLOkTM), vorzugsweise aus Siliciumoxynitrid abgeschieden. Auf der zweiten Ätztrennwand 1512 wird eine zweite dielektrische Schicht 1514, vorzugsweise die erfindungsgemäße phosphorgedopte mesoporöse Siliciumoxidschicht mit einer Passivierungs- bzw. Sperrschicht 1515 abgeschieden, die vorzugsweise hydriertes Siliciumcarbid (BLOkTM) umfasst und auf der zweiten dielektrischen Schicht 1514 abgeschieden ist, und eine dritte Ätztrennwand 1516, die auf der Passivierungs- oder Sperrschicht 1515 abgeschieden ist. Die abgeschiedenen Schichten werden unter Bildung eines Kontaktlochs 1520 geätzt, die nachfolgend mit einem Leitmetall 1524, vorzugsweise Kupfer, auf einer Sperrschicht 1522, die konform entsprechend dem Kontaktloch 1520 abgeschieden wurde, gefüllt wird. Die Struktur wird dann eingeebnet, wonach darauf eine Deckschicht 1518 aus Siliciumnitrid, Siliciumoxid, Siliciumoxynitrid oder hydriertem Silsiciumcarbid, vorzugsweise aus Siliciumnitrid, abgeschieden wird. Die Deckschicht 1518 dient auch als Substratätztrennwand und entspricht der ersten Ätztrennwand 1508 für die nachfolgenden, mehrere Niveaus umfassenden dualen Damascenaverbindungen.
  • Wie 15A zeigt, wird eine erste (Substrat-) Ätztrennwand 1508 aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder amorphem hydrierten Siliciumcarbid, vorzugsweise aus Siliciumnitrid, bis zu einer Dicke von ca. 1000 Å auf dem Substrat 1502 abgeschieden. Das Substrat 1502 umfasst strukturierte leitende Verbindungen bzw. Leitungen 1506, die in einem Kontaktsubstratmaterial 1504 gebildet sind. Eine erste phosphorgedopte mesoporöse dielektrische Schicht 1510 wird erfindungsgemäß auf der ersten Ätztrennwand 1508 abgeschieden. Die erste dielektrische Schicht 1510 weist eine Dicke von ca. 5.000 bis ca. 10.000 Å je nach der Größe der herzustellenden Struktur auf, hat jedoch eine bevorzugte Dicke von ca. 5.000 Å. Die erste dielektrische Schicht 1510 kann dann bei einer Temperatur zwischen ca. 350 und ca. 450EC zur Entfernung der flüchtigen Verunreinigungen aus der Schicht 1510 geglüht werden. Eine zweite Ätztrennwand 1512, wie z.B. aus Siliciumoxynitrid, wird auf der dielektrischen Schicht 1510 bis zu einer Dicke von ca. 200 bis ca. 1000 Å, vorzugsweise von ca. 500 Å, abgeschieden. Eine zweite phosphorgedopte mesoporöse dielektrische Schicht 1514 wird dann erfindungsgemäß auf der ersten Ätztrennwand 1508 bei einer Dicke von ca. 5.000 bis ca. 10.000 Å, vorzugsweise von ca. 5.000 Å, abgeschieden. Die zweite phosphorgedopte mesoporöse dielektrische Schicht 1514 kann dann bei einer Temperatur von ca. 350 bis ca. 450EC geglüht werden.
  • Eine Passivierungs- bzw. Sperrschicht 1515 aus hydriertem Siliciumcarbid (BLOkTM) wird dann auf die zweite phosphorgedopte mesoporöse dielektrische Schicht 1514 abgeschieden. Eine dritte Ätztrennwand 1516 aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder amorphem hydriertem Siliciumcarbid (BLOkTM), vorzugsweise Siliciumnitrid, wird dann auf die Passivierungs- bzw. Sperrschicht 1515 bis zu einer Dicke von ca. 500 bis ca. 1.000 Å, vorzugsweise von ca. 1000 Å abgeschieden. Eine Siliciumoxidschicht 1517 mit einer Dicke von ca. 2.000 Å wird dann auf die dritte Ätztrennwand 1516 abgeschieden, die sowohl als Hartätzmaske als auch der künftigen Verwendung auf der Stufe des chemisch-mechanischen Polierens (CMP) dient. Eine Antireflexschicht (ARC) 1519 und eine eine Photoresistschicht 1521 umfassende Grabenphotomaske werden dann jeweils auf die Siliciumoxidschicht 1517 abgeschieden. Die Photoresistschicht 1521 wird dann mit aus dem Stand der Technik bekannten üblichen photolithographischen Methoden strukturiert.
  • Die Siliciumoxidschicht 1517 wird dann mit aus dem Stand der Technik bekannten üblichen Methoden geätzt, vorzugsweise mit Fluorkohlenstoffchemikalien, um die dritte Ätzung 1516, wie in 15B dargestellt, freizulegen. Durch die erste Ätzung der Siliciumoxidschicht 1517 wird die Öffnungsbreite bzw. Grabenbreite der dualen Damascenastruktur 1500 festgelegt. Die in der Siliciumoxidschicht 1517 gebildete Öffnungsbreite begrenzt die horizontale Verbindung der auf der zweiten Ätztrennwand 1514 gebildeten dualen Damascenastruktur 1500. Das restliche Photoresist 1521 wird dann für die Vorbereitung der Kontaktlochätzung verascht bzw. in der Trockene entfernt. Zur Bildung der Kontakt- bzw. Kontaktlochbreite der dualen Damascenastruktur werden dann eine weitere Antireflexschicht 1519 und eine Photoresistschicht 1521 jeweils auf die dünne Siliciumoxidschicht 1517 aufgebracht und durch Photolithographie strukturiert, um die dritte Ätzschicht 1516 durch die Kontaktlochbreite der Struktur, wie in 15C dargestellt, freizulegen.
  • Gemäß 15D werden die dritte Ätztrennwand 1516, die Passivierungs- bzw. Sperrschicht 1515 und die zweite dielektrische Schicht 1514 grabengeätzt, um die zweite Ätztrennwand 1512 freizulegen. Danach wird das Kontaktloch 1520 durch Kontaktlochätzen der zweiten dielektrischen Schicht 1514 bis zur zweiten Ätztrennwand 1512 unter Einsatz anisotroper Ätztechniken gebildet, um die Metallisierungsstruktur, (d.h. die Verbindung und Kontakt/Kontaktloch) bei der von der Siliciumoxidschicht 1517 festgelegten Breite und durch Ätzen der ersten dielektrischen Schicht 1510 bis zur ersten Ätztrennwand 1508 bei der Kontaktlochbreite, wie sie während des Ätzens der dritten Ätztrennwand 1516, der zweiten dielektrischen Schicht 1514 und der zweiten Ätztrennwand 1512, wie in 15E dargestellt, festgelegt wurde, zu definieren. Das gesamte Photoresist bzw. das gesamte ARC-Material, das zum Strukturieren der zweiten Ätztrennwand 1512 bzw. der zweiten dielektrischen Schicht 1514 verwendet wird, wird dann unter Sauerstoffabstrippen oder nach einer anderen geeigneten Methode entfernt. 15F zeigt das Ätzen der ersten Ätztrennwand 1508, die das Substrat 1502 schützt und die darunter liegenden Strukturmetallleiter 1506 im Substratmaterial 1504 des Kontaktniveaus freilegt. Die Strukturmetallleiter 1506 weisen gewöhnlich vorzugsweise ein leitendes Metall wie Kupfer auf. Die duale Damascenastruktur 1500 wird dann mit aus dem Stand der Technik bekannten üblichen Methoden vor der nachfolgenden Beschichtung einer Vorreinigung unterzogen.
  • Die Metallisierungsstruktur wird dann mit Hilfe eines leitenden Stoffes wie Aluminium, Kupfer, Wolfram oder Gemischen daraus gebildet. Derzeit besteht die Tendenz, Kupfer zu verwenden, um die kleineren Merkmale aufgrund des niedrigen spezifischen Widerstandes von Kupfer (1,7 mW-cm gegenüber 3,1 mW-cm im Fall von Aluminium) zu bilden. Wie 15G zeigt, wird zuerst eine geeignete Sperrschicht 1522, wie z.B. aus Tantalnitrid, entsprechend der Metallisierungsstruktur 1520 abgeschieden, um ein Einwandern des Kupfers in das umgebende Silicium und/oder dielektrische Material zu verhindern. Danach wird eine Kupferschicht 1524 unter Bildung der leitenden Struktur durch chemische oder physikalische Gasphasenabscheidung oder Elektroplattieren, vorzugsweise jedoch durch Elektroplattieren, aufgebracht. Ist die Struktur mit Kupfer oder einem anderen Metall gefüllt, wird die Oberfläche durch chemisches mechanisches Polieren eingeebnet und mit einer Deckschicht 1518, die vorzugsweise Siliciumnitrid und eine Dicke von ca. 1.000 Å aufweist, wie in 15H dargestellt, abgedeckt. Vor der Einebnung der Oberfläche kann das Metall in einer Wasserstoffatmosphäre zur Umkristallisation der Kupferfüllung und zur Entfernung der Hohlräume, die sich gegebenenfalls in der Struktur 1500 gebildet haben, geglüht werden. Obwohl nicht dargestellt, kann vor der Kupferschicht 1524, wenn diese durch Elektroplattierung abgeschieden wird, eine Kupferimpfkristallschicht abgeschieden werden. Der Vorgang der doppelten Damaszierung kann dann wiederholt werden, um weitere Verbindungsschichten abzuscheiden, wobei durch heutige Mikroprozessoren hergestellte integrierte Schaltungen 5 bzw. 6 Verbindungsniveaus aufweisen.
  • Obwohl die obigen Ausführungen eine bevorzugte Ausführungsform der vorliegenden Erfindung betreffen, sind auch weitere Ausführungsformen der Erfindung möglich, ohne dass diese vom Basisrahmen der Erfindung abweichen, der durch die nachfolgenden Patentansprüche festgelegt wird.

Claims (25)

  1. Verfahren zur Bildung eines mesoporösen Oxidfilms auf einem Substrat, wobei das Verfahren folgende Schritte umfasst: Bildung eines Sol-Gel-Präkursors, der eine Silicium-Sauerstoff-Verbindung, eine phosphorhaltige Säurelösung, ein organisches Lösungsmittel, Wasser und ein Tensid aufweist, Abscheidung des Sol-Gel-Präkursors auf das Substrat, Härtung des abgeschiedenen Sol-Gel-Präkursors unter Bildung eines Oxidfilms und Entfernung des Tensids aus dem Oxidfilm unter Bildung eines mesoporösen Oxidfilms.
  2. Verfahren nach Anspruch 1, bei dem der Präkursor auf der Basis der Silicium-Sauerstoff-Verbindung ausgewählt wird unter Tetraethylorthosilylat, Methyltriethoxysilan, Phenyltriethoxysilan, Hexaethoxydisiloxan, p-Bis(triethoxysilyl)benzol, Bis(triethoxysilyl)methan und Gemischen aus zwei oder mehreren dieser Verbindungen.
  3. Verfahren nach Anspruch 2, bei dem der Präkursor auf der Basis der Silicium-Sauerstoff-Verbindung außerdem noch eine oder mehrere Phosphonsäureliganden (-PO(OH)2) umfasst.
  4. Verfahren nach einem der Ansprüche 1 bis 3, bei dem der Präkursor auf der Basis der Silicium-Sauerstoff-Verbindung ausgewählt wird unter o-Phosphotriethoxysilan, (CH3CH2O)3SiOPO(OH)2, o-Phosphoethylentriethoxysilan, (CH3CH2O)3SiCH2CH2OPO(OH)2, Phosphorylethylentriethoxysilan (CH3CH2O)3SiCH2CH2PO(OH)2, p-Phosphorylphenylentriethoxysilan (CH3CH2O)3Si(C6H4)PO(OH)2, Phosphonotriethoxysilylketon (CH3CH2O)3SiC(O)PO(OH)2, Phosphonotriethoxysilan, (CH3CH2O)3SiPO(OH)2 und Gemischen aus zwei oder mehreren dieser Verbindungen.
  5. Verfahren nach einem der Ansprüche 1 bis 4, bei dem das organische Lösungsmittel unter Ethanol, Isopropanol, n-Propanol, n-Butanol, sec-Butanol, t-Butanol, Ethylenglycol und Gemischen aus zwei oder mehreren dieser Verbindungen ausgewählt wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, bei dem das Tensid ausgewählt wird unter p-(CH3)3C-CH2-C6H4-CH2-(OCH2CH2)8-OH, p-(CH3)3COC6H4CH2(OCH2CH2)8-OH, Polyethylenoxid-Copolymerderivaten, Polyethylenoxidpolypropylenoxid-Polyethylenoxid-Triblock-Copolymerderivaten und Gemischen aus zwei oder mehreren dieser Verbindungen.
  7. Verfahren nach einem der Ansprüche 1 bis 6, bei dem das Tensid außerdem noch eine Phosphorkomponente enthält.
  8. Verfahren zur Bildung eines mesoporösen Oxidfilms auf einem Substrat, wobei das Verfahren folgende Schritte umfasst: a) Bildung eines ersten Sol-Gel-Präkursors, der eine erste Silicium-Sauerstoff-Verbindung, eine organische Säure, ein erstes organisches Lösungsmittel, Wasser und ein erstes Tensid aufweist, b) Bildung eines zweiten Sol-Gel-Präkursors, der eine zweite Silicium-Sauerstoff-Verbindung, eine Säure auf Phosphorbasis, ein zweites organisches Lösungsmittel, Wasser und ein zweites Tensid aufweist, c) Mischen des ersten und zweiten Sol-Gel-Präkursors unter Bildung eines gemischten Sol-Gel-Präkursors, d) Abscheidung des gemischten Sol-Gel-Präkursors auf das Substrat, e) Härtung des abgeschiedenen gemischten Sol-Gel-Präkursors unter Bildung eines Oxidfilms und f) Entfernung des Tensids aus dem Oxidfilm unter Bildung eines mesoporösen Oxidfilms.
  9. Verfahren nach Anspruch 8, bei dem der erste und/oder der zweite Präkursor auf der Basis einer ersten bzw. zweiten Silicium-Sauerstoff-Verbindung ausgewählt wird/werden unter Tetraethylorthosilicat, Methyltriethoxysilan, Phenyltriethoxysilan, Hexaethoxydisiloxan, p-Bis(triethoxysilyl)benzol, Bis(triethoxysilyl)methan und Gemischen aus zwei oder mehreren dieser Verbindungen.
  10. Verfahren nach Anspruch 8 oder 9, bei dem der erste und/oder zweite Präkursor auf der Basis einer ersten bzw. zweiten Silicium-Sauerstoff-Verbindung außerdem noch einen oder mehrere Phosphonsäureliganden (-PO(OH)2) aufweist bzw. aufweisen.
  11. Verfahren nach Anspruch 10, bei dem der erste und/oder zweite Präkursor auf der Basis einer ersten bzw. zweiten Silicium-Sauerstoff-Verbindung ausgewählt wird bzw. werden unter o-Phosphotriethoxysilan, (CH3CH2O)3SiOPO(OH)2, o-Phosphoethylentriethoxysilan, (CH3CH2O)3SiCH2CH2OPO(OH)2, Phosphorylethylentriethoxysilan (CH3CH2O)3SiCH2CH2PO(OH)2, p-Phosphorylphenylentriethoxysilan (CH3CH2O)3Si(C6H4)PO(OH)2, Phosphonotriethoxysilylketon (CH3CH2O)3SiC(O)PO(OH)2, Phosphonotriethoxysilan, (CH3CH2O)3SiPO(OH)2 und Gemischen aus zwei oder mehreren dieser Verbindungen.
  12. Verfahren nach einem der Ansprüche 8 bis 11, bei dem das erste und/oder zweite organische Lösungsmittel ausgewählt wird bzw. werden unter Ethanol, Isopropanol, n-Propanol, n-Butanol, sec-Butanol, t-Butanol, Ethylenglycol und Gemischen aus zwei oder mehreren dieser Verbindungen.
  13. Verfahren nach einem der Ansprüche 8 bis 12, bei dem das erste und/oder zweite Tensid ausgewählt wird bzw. werden unter p-(CH3)3C-CH2-C6H4-CH2-(OCH2CH2)8-OH, p-(CH3)3COC6H4CH2(OCH2CH2)8-OH und anderen Polyethylenoxid-Copolymerderivaten, Polyethylenoxidpolypropylenoxid-Polyethylenoxid-Triblock-Copolymerderivaten und Gemischen aus zwei oder mehreren dieser Verbindungen.
  14. Verfahren nach einem der Ansprüche 8 bis 13, bei dem das erste und/oder zweite Tensid außerdem noch eine Phosphorkomponente aufweist bzw. aufweisen.
  15. Verfahren nach einem der Ansprüche 8 bis 14, bei dem der erste und der zweite Sol-Gel-Präkursor in einem ersten bis zweiten Verhältnis von ca. 1:1 bis ca. 10:1 gemischt werden.
  16. Verfahren nach Anspruch 7 oder Anspruch 14, bei dem die Komponente auf Phosphorbasis das Phosphat eines Tensids mit endständigem Alkohol ist, ausgewählt aus der Gruppe p-(CH3)3CCH2C5H4CH2(OCH2CH2)n-OH, p-(CH3)3COC6H4CH2(OCH2CH2)N-OH, CH3(CH2)K-OH, CH3(CH2)I(CH2CH2O)J-OH, HO(CH2CH2O)M(CH2(CH3)HO)L(CH2CH2O)MH, p-(CH3)3CCH2C(CH3)2C6H4(OCH2CH2)N-OH und fluorierten Derivaten davon, einschließlich der Gemische aus zwei oder mehreren dieser Verbindungen, wobei N eine ganze Zahl von 6 bis 12, K eine ganze Zahl von 13 bis 17 ist, I eine ganze Zahl von 6 bis 15, J eine ganze Zahl von 20 bis 106 und L eine ganze Zahl von 20 bis 80 ist.
  17. Verfahren nach einem der Ansprüche 1 bis 16, bei dem die phosphorhaltige Säurelösung eine Säure auf Phosphorbasis ist, ausgewählt unter Orthophosphorsäure (H3PO4), Ammoniumdihydrogenphosphat, Tetramethylammoniumdihydrogenphosphat, Phosphatestern langkettiger Alkohole, Alkoxysilylphosphonaten, substituierten Derivaten davon, einschließlich Gemischen aus zwei oder mehreren dieser Verbindungen.
  18. Verfahren nach einem der Ansprüche 1 bis 17, bei dem die phosphorhaltige Säurelösung außerdem eine flüchtige anorganische Säure, ausgewählt unter Salpeter-, Salz-, Perchlorsäure einschließlich Gemischen aus zwei oder mehreren dieser Säuren, aufweist.
  19. Verfahren nach einem der Ansprüche 1 bis 18, bei dem die phosphorhaltige Säurelösung außerdem eine organische Säure, ausgewählt unter Oxal- und Glyoxylsäure, einschließlich Gemischen dieser Säuren, aufweist.
  20. Verfahren nach einem der Ansprüche 1 bis 16, bei dem die phosphorhaltige Säure folgende Verbindungen aufweist: eine Säure auf Phosphorbasis, ausgewählt untere Orthophosphorsäure (H3PO4), Ammoniumdihydrogenphosphat, Tetramethylammoniumdihydrogenphosphat, Phosphatestern langkettiger Alkohole, Alkoxysilylphosphonaten, substituierten Derivaten davon, einschließlich Gemischen aus zwei oder mehreren dieser Verbindungen, und eine flüchtige Verbindung, ausgewählt unter Salpeter-, Salz- und Perchlorsäure, einschließlich Gemischen aus zwei oder mehreren dieser Säuren.
  21. Verfahren nach einem der Ansprüche 1 bis 20, bei dem die Lösung der phosphorhaltigen Säure einen pH von ca. 2 aufweist.
  22. Verfahren nach einem der Ansprüche 1 bis 21, bei dem die Lösung der phosphorhaltigen Säure nach dem Härten des abgeschiedenen Sol-Gel-Präkursors einen pH von ca. 6 bis ca. 7 aufweist.
  23. Verfahren nach einem der Ansprüche 1 bis 22, bei dem der mesoporöse Oxidfilm eine Phosphoroxidkonzentration zwischen ca. 2 und ca. 8 Gew.-% aufweist.
  24. Verfahren nach einem der Ansprüche 1 bis 23, bei dem der Prozess der Entfernung des Tensids die Einwirkung einer oxidierenden Atmosphäre bei einer Temperatur zwischen ca. 200 und ca. 400°C auf den Film aufweist.
  25. Verfahren nach einem der Ansprüche 1 bis 23, bei dem der Prozess der Entfernung des Tensids die Erwärmung des Films bei einer Temperatur von ca. 200 bis ca. 450°C in einer inerten Atmosphäre aufweist.
DE60109675T 2000-04-11 2001-04-11 Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung Expired - Fee Related DE60109675T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US547714 1995-10-26
US09/547,714 US6559070B1 (en) 2000-04-11 2000-04-11 Mesoporous silica films with mobile ion gettering and accelerated processing

Publications (2)

Publication Number Publication Date
DE60109675D1 DE60109675D1 (de) 2005-05-04
DE60109675T2 true DE60109675T2 (de) 2006-04-27

Family

ID=24185830

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60109675T Expired - Fee Related DE60109675T2 (de) 2000-04-11 2001-04-11 Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung

Country Status (6)

Country Link
US (1) US6559070B1 (de)
EP (1) EP1146014B1 (de)
JP (1) JP4953515B2 (de)
KR (1) KR100726523B1 (de)
DE (1) DE60109675T2 (de)
TW (1) TW490738B (de)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AUPQ573300A0 (en) * 2000-02-21 2000-03-16 Australian Nuclear Science & Technology Organisation Controlled release ceramic particles, compositions thereof, processes of preparation and methods of use
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
WO2002004552A1 (en) * 2000-07-06 2002-01-17 Commonwealth Scientific And Industrial Research Organisation A process for modifying the surface of a substrate containing a polymeric material by means of vaporising the surface modifying agent
EP1393366A2 (de) * 2001-05-08 2004-03-03 Koninklijke Philips Electronics N.V. Verfahren zur herstellung eines elektronischen bauelements
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20040142578A1 (en) * 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
WO2003085724A1 (en) * 2002-04-02 2003-10-16 Dow Global Technologies Inc. Tri-layer masking architecture for patterning dual damascene interconnects
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
JP4056347B2 (ja) * 2002-09-30 2008-03-05 ローム株式会社 半導体発光装置およびその製造方法
KR100790302B1 (ko) * 2002-12-24 2007-12-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
JP2004273786A (ja) * 2003-03-10 2004-09-30 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR101048692B1 (ko) * 2003-11-11 2011-07-14 엘지디스플레이 주식회사 클러스터형 증착 장비 및 이를 이용한 박막 트랜지스터의제조 방법
JP2005191437A (ja) * 2003-12-26 2005-07-14 Ricoh Co Ltd 半導体装置、その製造方法、および表示装置
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7071093B2 (en) 2004-03-26 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated treatment method for obtaining robust low dielectric constant materials
US7282436B2 (en) * 2004-05-11 2007-10-16 Texas Instruments Incorporated Plasma treatment for silicon-based dielectrics
JP2005322854A (ja) * 2004-05-11 2005-11-17 Olympus Corp 基板処理装置及び基板処理システム
JP4903373B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4650885B2 (ja) * 2004-09-07 2011-03-16 株式会社神戸製鋼所 多孔質膜の形成方法及びその方法によって形成された多孔質膜
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
AU2006244125A1 (en) * 2005-05-09 2006-11-16 Microbia, Inc. Organometal benzenephosphonate coupling agents
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
JP4854286B2 (ja) * 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
KR100725016B1 (ko) * 2005-12-08 2007-06-04 동부일렉트로닉스 주식회사 반도체 소자의 트렌치 분리막 제조 방법
WO2007075680A2 (en) * 2005-12-19 2007-07-05 University Of Vermont And State Agricultural College System and method for delivering a desired material to a cell
JP2009526888A (ja) * 2006-02-17 2009-07-23 ビーエーエスエフ ソシエタス・ヨーロピア アリールホスホン酸で置換されたオリゴマー状およびポリマー状のシロキサン
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
DE112007001284B4 (de) * 2006-06-07 2017-07-13 GM Global Technology Operations LLC (n. d. Ges. d. Staates Delaware) Herstellung von mesoporösem Kohlenstoff mit einstellbarer Porengrösse
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
KR100965434B1 (ko) * 2008-01-29 2010-06-24 한국과학기술연구원 졸-겔 및 광경화 반응에 의해 광경화 투명고분자 내에금속산화물 나노입자를 포함하는 게이트 절연층을 이용한유기박막 트랜지스터 및 그의 제조방법
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
CN101293962B (zh) * 2008-06-18 2011-03-23 华东理工大学 芳基杂化硅胶及其用途
JP2010132485A (ja) * 2008-12-03 2010-06-17 Keio Gijuku メソポーラスシリカ多孔質膜の形成方法、その多孔質膜、反射防止膜及び光学素子
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8159778B2 (en) * 2009-04-06 2012-04-17 Hitachi Global Storage Technologies, Netherlands B.V. Hard disk drive contamination control
US8354333B2 (en) 2010-02-03 2013-01-15 International Business Machines Corporation Patterned doping of semiconductor substrates using photosensitive monolayers
CN101792470B (zh) * 2010-03-18 2012-03-07 武汉理工大学 一种用于中温质子交换膜中的膦酸基烷氧基硅烷的制备方法
JP2010251784A (ja) * 2010-06-16 2010-11-04 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
US8999847B2 (en) * 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US8968877B2 (en) 2011-02-16 2015-03-03 Honeywell International Inc. Barrier materials for mirror assemblies
US20120237676A1 (en) * 2011-03-14 2012-09-20 Intermolecular, Inc. Sol-gel based formulations and methods for preparation of hydrophobic ultra low refractive index anti-reflective coatings on glass
US20120252924A1 (en) * 2011-03-29 2012-10-04 Cassandra Ann Mahoney Flavored silicone products
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
CN103367222B (zh) * 2012-04-10 2016-08-17 上海卓晶半导体科技有限公司 一种多片盒升降旋转系统
CN104086591B (zh) * 2014-07-15 2016-05-11 武汉理工大学 基于格氏反应的苯基膦酸三甲氧基硅烷的制备方法
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US10699944B2 (en) 2018-09-28 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification layer for conductive feature formation
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean
CN114005733B (zh) * 2021-10-19 2022-08-09 深圳辰达行电子有限公司 车规级整流芯片的制成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS608263B2 (ja) * 1983-07-29 1985-03-01 東京電子化学株式会社 シリカ系被膜形成用塗布液の製法
US4944895A (en) * 1987-04-10 1990-07-31 Hoechst Celanese Corp. Process for producing liquid crystalline composites
JPS63268043A (ja) * 1987-04-27 1988-11-04 Diesel Kiki Co Ltd マイクロコンピユ−タのリセツト方式
US5047369A (en) * 1989-05-01 1991-09-10 At&T Bell Laboratories Fabrication of semiconductor devices using phosphosilicate glasses
US5814545A (en) * 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
JP3436037B2 (ja) * 1997-01-10 2003-08-11 株式会社豊田中央研究所 バルク状シリカ多孔体の製造方法
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6048804A (en) * 1997-04-29 2000-04-11 Alliedsignal Inc. Process for producing nanoporous silica thin films
CA2289782C (en) * 1997-07-15 2004-07-13 Asahi Kasei Kogyo Kabushiki Kaisha Alkoxysilane/organic polymer composition for use in producing an insulating thin film and use thereof
JPH1135315A (ja) * 1997-07-18 1999-02-09 Toyota Central Res & Dev Lab Inc 高密度メソ多孔体の製造方法
US5858457A (en) * 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
DE69826148T2 (de) * 1997-12-09 2005-09-22 SBA Materials, Inc., Santa Barbara Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
WO2000039028A1 (en) * 1998-12-23 2000-07-06 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6284682B1 (en) * 1999-08-26 2001-09-04 The University Of British Columbia Process for making chemically bonded sol-gel ceramics
JP4798823B2 (ja) * 2000-04-04 2011-10-19 旭化成株式会社 多孔質のケイ素酸化物塗膜

Also Published As

Publication number Publication date
JP2002075983A (ja) 2002-03-15
US6559070B1 (en) 2003-05-06
KR20010098523A (ko) 2001-11-08
KR100726523B1 (ko) 2007-06-11
DE60109675D1 (de) 2005-05-04
JP4953515B2 (ja) 2012-06-13
EP1146014A2 (de) 2001-10-17
TW490738B (en) 2002-06-11
EP1146014B1 (de) 2005-03-30
EP1146014A3 (de) 2002-04-03

Similar Documents

Publication Publication Date Title
DE60109675T2 (de) Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung
DE69835276T2 (de) Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
DE69835479T2 (de) Abscheidung von einem fluorierten Siliziumglas
DE69837124T2 (de) Abscheidungsverfahren von einer mit Halogen dotierten Si02-Schicht
JP6928043B2 (ja) ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
DE69908101T2 (de) Verfahren zum beschichten und ätzen einer dielektrischen schicht
US20040020601A1 (en) Process and an integrated tool for low k dielectric deposition including a pecvd capping module
DE69929771T2 (de) Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante
KR101794810B1 (ko) Bdeas로 증착된 실리콘 이산화물 층
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20070087522A1 (en) Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
DE102011013571A1 (de) Plasmaätzverfahren und Plasmaätzvorrichtung
DE102009023379B4 (de) Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
US8253251B2 (en) Method for producing low-k film, semiconductor device, and method for manufacturing the same
DE2306614C2 (de) Verfahren zum Eindiffundieren von Arsen in Silicium aus einer arsendotierten Glasschicht
DE102017118084A1 (de) Halbleitervorrichtung, Werkzeug und Verfahren zum Herstellen
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
DE112004001026T5 (de) Verfahren und Vorrichtung zum Abscheiden von Materialien mit einstellbaren Eigenschaften und Ätzcharakteristiken
DE102016100938B4 (de) Verfahren zum abscheiden einer isolierenden schicht, insbesondere eines borphosphorsilikatglas-films
DE69927146T2 (de) Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie
DE60218924T2 (de) Gasphasenabscheidung von Siliziumoxidfilmen
DE112007000177T5 (de) Substratbearbeitungsverfahren und Speichermedium
EP0339385B1 (de) Verfahren zum Herstellen borhaltiger und/oder phosphorhaltiger Silikatglasschichten für höchstintegrierte Halbleiterschaltungen
DE10305729A1 (de) RTCVD-Verfahren und -Reaktor mit verbesserter Gleichmäßigkeit der Beschichtung und Stufenabdeckung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee