DE69927146T2 - Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie - Google Patents

Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie Download PDF

Info

Publication number
DE69927146T2
DE69927146T2 DE69927146T DE69927146T DE69927146T2 DE 69927146 T2 DE69927146 T2 DE 69927146T2 DE 69927146 T DE69927146 T DE 69927146T DE 69927146 T DE69927146 T DE 69927146T DE 69927146 T2 DE69927146 T2 DE 69927146T2
Authority
DE
Germany
Prior art keywords
chamber
substrate
plasma
wafer
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69927146T
Other languages
English (en)
Other versions
DE69927146D1 (de
Inventor
Pravin Narwankar
Sameer Desai
Walter Zygmunt
Turgut Sahin
Laxman Murugesh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/264,990 external-priority patent/US6200911B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69927146D1 publication Critical patent/DE69927146D1/de
Publication of DE69927146T2 publication Critical patent/DE69927146T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

  • Die vorliegende Erfindung bezieht sich auf die Herstellung von integrierten Schaltungen. Insbesondere stellt die Erfindung eine Technik einschließlich Verfahren und Vorrichtung zum Modifizieren des Profils von Gräben mit hohem Seitenverhältnis auf einem Substrat bereit, um diese Gräben mit hohlraumfreiem dielektrischen Material zu füllen.
  • Die Geometrien von Halbleiterbauelementen nehmen in der Größe dauernd ab, wodurch mehr Bauelemente pro hergestelltem Wafer und schneller arbeitende Bauelemente geschaffen werden. Gegenwärtig werden bestimmte Bauelemente mit weniger als 0,25 μm Abstand zwischen den Strukturen hergestellt, in manchen Fällen sogar nur 0,18 μm Abstand dazwischen. Der Raum zwischen den Strukturen hat häufig die Form eines Grabens.
  • Wenn die Höhe der Strukturen konstant bleibt, erhöht eine Reduzierung des Abstands zwischen den Strukturen das Seitenverhältnis der Gräben zwischen den Strukturen. Das Seitenverhältnis eines Grabens ist das Verhältnis der Höhe des Grabens zu seiner Breite. Beispielsweise hat ein Graben mit einer Tiefe von 2 μm und einem Spalt von 1 μm ein Seitenverhältnis von 2:1. Zwei Beispiele für solche Gräben, die häufig hohe Seitenverhältnisse haben, sind Isoliergräben und Gräben, die von benachbarten Leitern auf einem Substrat gebildet werden. Isoliergräben werden in einem Substrat zwischen den Strukturen, beispielsweise Transistoren, ausgebildet, während Leiter gewöhnlich dadurch hergestellt werden, dass eine Schicht aus Metall oder einem anderen Leiter strukturiert wird.
  • Häufig wird in den Gräben ein Material, wie dotiertes oder undotiertes Siliciumoxidglas, abgeschieden. Das abgeschiedene Material kann mehreren Zwecken dienen, wozu der Schutz des Substrats oder der Leiter vor einer physikalischen oder chemischen Beschädigung, die elektrische Isolierung einer Seite des Grabens von der anderen und die Bereitstellung einer Oberfläche für den anschließenden Aufbau von Strukturen darauf gehören. Eine Art, grabenfüllendes Material abzuscheiden, ist die chemische Gasphasenabscheidung (CVD), bei welcher Gase zur Bildung eines Films oder einer Schicht auf dem Substrat reagieren oder zersetzt werden.
  • 1(a) bis 1(c) zeigen das Füllen eines Hohlraums in Form eines Grabens mit einem schmalen Spalt. Bei den herkömmlichen CVD-Prozessen kann der Spalt abgeschnürt werden, wenn sich Material an den oberen Rändern des Grabens sammelt, wenn sich der Graben füllt. Dies bildet einen Überhang 4, der schließlich zusammenwächst und in dem abgeschiedenen Matenal einen Hohlraum 5 dahinter belässt. Ein solcher Hohlraum kann zu Problemen hinsichtlich Ausbeute oder Funktionssicherheit führen.
  • Ein Verfahren zum Offenhalten des Spalts, damit der Graben gefüllt werden kann, besteht in der Verwendung eines Plasmaprozesses, der etwas von dem abgeschiedenen Material während der Schichtbildung zerstäubungsätzt. Die Verwendung einer Plasmaätzung während der Abscheidung hält die Spalte offen, da das Verhältnis der Plasmazerstäubungsätzung von dem Oberflächenwinkel des zu ätzenden Materials abhängt und an den Kanten der Gräben größer ist.
  • 2 zeigt, dass die Geschwindigkeit der Ätzung 6 in einem Plasmazerstäubungssystem sich wie der Oberflächenwinkel oder der Winkel von der Horizontalebene des Substrats aus ändert. Bei dem in 2 gezeigten Beispiel stellt sich die maximale Ätzgeschwindigkeit 7 bei 45 Grad zur Horizontalen ein, was die Ätzrate an einer Kante oder dem Rand eines Grabens darstellt. 2 zeigt, dass die Ätzrate an einer Kante eines Grabens etwa 4-mal größer ist als an einer horizontalen Fläche (das auch als das "Feld" bekannt ist) für die in 2 wiedergegebenen Bedingungen. Die Differenz zwischen der Winkelätzrate und der Abscheidungsrate 8 bei einem Abscheidungs-/Ätzprozess ermöglicht die Bildung einer Facette 9 anstelle eines Überhangs am Rand eines Grabens, wie es in 3 gezeigt ist. Es ist insgesamt erwünscht, dass, nachdem eine anfängliche dünne Materialschicht abgeschieden worden ist, die Ätzrate zur Abscheidungsrate an den Grabenkanten gleich ist, was zu keiner Nettoabscheidung oder Ätzung in diesem Bereich führt. Das Abgleichen der Abscheidungsrate mit der Ätzrate soll den Graben offen halten, ohne dass die darunter liegende Kante exponiert wird.
  • Einen Graben einfach durch Plasmaätzung während eines Abscheidungsprozesses zu füllen, scheint eine attraktive Art zum hohlraumfreien Füllen eines schmalen Grabens mit hohem Seitenverhältnis zu sein, die Lösung ist jedoch nicht so einfach. Zunächst verringert das Ätzen einer Schicht, wenn sie abgeschieden wird, die Nettoabscheidungsrate, wodurch die Prozesszeit und die zugehörigen Kosten steigen. Zweitens kann die Differenz zwischen dem Offen halten der Spalte und dem Ätzen in das den Rand des Grabens bildende Material geringfügig sein. Das Ätzen in das den Rand des Grabens bildende Material ist unerwünscht, da es den Rand erodiert, was die Bauelementleistung beinträchtigen kann, und weil es die Behandlungskammer und das Substrat verunreinigen kann. Ein Abdichten oder Auskleiden des Randes von Spalten mit geringem Abstand derart, dass der Grabenrand für die Plasmaätzung nicht exponiert wird, ist schwierig und heikel. Es kann nur eine sehr dünne Auskleidungsschicht abgeschieden werden, bevor der Spalt beginnt, sich durch Abschnüren zu schließen. Eine dünne Auskleidungsschicht gibt nicht viel Spielraum für Fehler, wenn zum Offenhalten des Grabens geätzt wird. Es ist insbesondere schwierig, große Wafer gleichmäßig zu behandeln, da es im Allgemeinen schwieriger ist, die erforderliche Prozesssteuerung über einer großen Fläche aufrechtzuerhalten.
  • Es ist bei einem Prozess üblich, dass sich eine bestimmte Änderung oder "Abweichung" über der Oberfläche des Wafers einstellt, und es ist eine Herausforderung, schmale Gräben spaltfrei zu füllen, wenn die Größe üblicher Wafer weiterhin zunimmt. Die kombinierten Wirkungen aus Verkleinerung der Bauelementgeometrien und Steigerung der Wafergröße macht es noch wichtiger, den Spaltfüllprozess zu verstehen, damit zusätzliche Prozesssteuerungen angewandt werden können. Herkömmliche Abscheidungsverfahren haben sich nicht mit der subtilen Natur einiger Parameter befasst, die den Spaltfüllprozess beeinflussen. Man möchte deshalb einen Abscheidungsprozess einer größeren Steuerung gegenüber den Prozessparametern haben, um schmale Spalte effizient und hohlraumfrei zu füllen.
  • Die US-A-5,531,834 offenbart eine Plasmafilmbildungsvorrichtung mit einer Gasversorgungseinrichtung zum Zuführen eines Behandlungsgases in eine Behandlungskammer, mit einer ersten Elektrode, die einem in der Behandlungskammer zu behandelnden Gegenstand gegenüberliegt, mit einer zweiten Elektrode in Form einer flachen Spule, die der ersten Elektrode quer über den zu behandelnden Gegenstand zugewandt ist, mit einer Druckreguliereinrichtung, um den Druck in der Behandlungskammer auf 0,1 Torr oder weniger zu halten, mit einer Heizeinrichtung zum Erhitzen des Gegenstandes auf eine vorgegebene Temperatur und mit Einrichtungen zum Anlegen einer Radiofrequenzleistung zwischen der ersten und zweiten Elektrode. Das Behandlungsgas wird dadurch in ein Plasma umgewandelt, so dass auf der Oberfläche des Gegenstandes durch Reaktion von Ionen oder aktiven Keimen in dem Plasma ein Film gebildet wird. Wenn die Radiofrequenzleistung zwischen dem Elektrodenpaar angelegt wird, wird ein elektrisches Hochfrequenzfeld gebildet. Da eine der Elektroden eine flache Spule ist, wird jedoch ein Magnetfeld gebildet. Als Folge wird das Behandlungsgas in ein Plasma durch elektrische und magnetische Energien umgewandelt. Dementsprechend kann das Behandlungsgas in ein Plasma mit niedrigem Druck geändert werden, und es kann ein hochdichtes Plasma auch bei einem Druck von 0,1 Torr oder weniger erzeugt werden. Dadurch ist der Wirkungsgrad der Ionenaufbringung auf die Oberfläche des zu behandelnden Gegenstands hoch, und der Effekt der Fremdstoffextraktion ist groß.
  • Diese Erfindung stellt ein Verfahren zu Bildung einer Schicht auf einem Substrat in einer Kammer während eines HDP-CVD-Prozesses bereit, wobei das Verfahren die Schritte aufweist, in der Kammer ein hochdichtes Plasma zu bilden, ein für die Abscheidung einer Schicht auf der Vorderseite des Substrat geeignetes Prozessgas in die Kammer strömen zu lassen und das Substrat während des Abscheidungsprozesses zu erhitzen, und das Verfahren sich dadurch auszeichnet, dass eine Vorderseite des Substrats während des HDP-CVD-Abscheidungsprozesses mit dem Plasma erhitzt wird und dass das Substrat mit einem Substratträgeraufbau nicht thermisch gekoppelt ist.
  • Vorzugsweise ist wenigstens ein Graben mit einem Rand in einem Feld dieses Substrats gebildet. Insbesondere kann der Graben einen Spalt gleich oder kleiner als etwa 0,25 μm und mit einem Seitenverhältnis gleich oder größer als 5:1 vor dem Abscheiden der Schicht haben.
  • Die vorliegende Erfindung erkennt, dass es möglich ist, das Profil eines Grabens während eines Abscheidungsprozesses zu modifizieren, um den Graben in einer Spaltfüllweise zu füllen. Die Grabenprofilmodifizierung kann das Seitenverhältnis des Grabens verringern, so dass es leichter wird, den Graben effizient spaltfrei zu füllen. Der Graben kann in ein Siliciumsubstrat geätzt werden, beispielsweise wie die Art von Graben, die für die Flachgrabenisolation (STI) verwendet wird, kann durch eine Strukturierung einer Metallisierungsschicht gebildet werden oder kann eine andere Art von Graben sein.
  • Das Grabenprofil wird während eines Abscheidungsprozesses in einem CVD-(HDP-CVD)System bei hochdichtem Plasma modifiziert werden. Das HDP-CVD-System wurde auf verschiedene Arten modifiziert, um eine zusätzliche Steuerung bei dem Abscheidungsprozess zu erhalten, insbesondere in der Hinsicht, wie das Plasma ein Substrat erhitzt. Es wurde bestimmt, dass das Profil eines Grabens durch Erhitzen der Rückseite des Substrats modifiziert werden kann, indem die thermische Koppelung zwischen dem Substrat und einem Substrat aufbau durch Ändern der Konfiguration des Plasmas oder durch Kombination dieser Verfahren modifiziert werden kann. Diese Verfahren und Kombinationen von Verfahren ergeben Werte der Prozesssteuerung, die die effiziente Abscheidung von hohlraumfreiem Material in schmalen Spalten erlauben.
  • Bei einer speziellen Ausführungsform wird die Rückseite des Substrats während des Abscheidungsprozesses erhitzt, während die Vorderseite des Substrats durch das Plasma erhitzt wird, das in der HDP-CVD-Systemkammer gebildet wird. Das Erhitzen der Rückseite des Substrats ändert das Temperaturprofil des Wafers, was zu einer heißeren Waferoberfläche führt, die die Abscheidungscharakteristika ändert. Bei einer anderen Ausführungsform ist das Substrat an dem Wafertragaufbau nicht festgehalten. Durch die fehlende Halterung des Substrats wird von der Oberfläche des Substrats weniger Wärme auf den Wafertragaufbau übertragen, so dass die Oberfläche des Wafers, die von dem Plasma erhitzt wird, heißer wird als sie es würde, wenn das Substrat festgehalten wäre. Somit ändert das Nichtfesthalten des Substrats die Abscheidungseigenschaften ebenfalls.
  • Bei einer weiteren Ausführungsform wird die Größe der Radiofrequenz-(HF-)Leistung für eine obere Spule bezogen auf die Größe der HF-Leistung bei einer Seitenspule so eingestellt, dass die Plasmaeigenschaften gesteuert werden. Die Oberfläche des Substrats wird durch das Plasma erhitzt, so dass die Steuerung der Plasmaeigenschaften auch zur Steuerung der Oberflächentemperatur des Substrats und somit der Abscheidungscharakteristika verwendet werden kann.
  • Diese und andere Ausführungsformen der vorliegenden Erfindung sowie ihre Vorteile und Merkmale werden stärker im Einzelnen in Verbindung mit dem nachstehenden Text und den beiliegenden Figuren beschrieben, in denen:
  • 1(a) bis 1(c) vereinfachte Schnittansichten von Wafern sind, die gemäß einem Abscheidungsprozess behandelt werden, der Überhänge an den Rändern des Grabens bildet, was in dem Graben zu einem Hohlraum führt,
  • 2 ein Diagramm ist, das eine normalisierte Zerstäubungsrate und eine normalisierte Abscheidungsrate über dem Oberflächenwinkel darstellt,
  • 3 eine vereinfachte Schnittansicht eines teilweise gefüllten Grabens auf einem Substrat ist, wobei eine Randfacette durch gleichzeitiges Zerstäuben und Abscheiden gebildet wird,
  • 4 ein vereinfachtes Schema einer Ausführungsform eines zu hoher Dichte führenden chemischen Gasphasenabscheidungssystems nach der vorliegenden Erfindung ist,
  • 5 eine vereinfachte Schnittansicht eines Gasrings ist, der in Verbindung mit der beispielsweisen CVD-Behandlungskammer von 4 verwendet werden kann,
  • 6 eine vereinfachte Darstellung eines Monitors und eines Lichtstifts ist, die in Verbindung mit der beispielsweise CVD-Behandlungskammer von 4 verwendet werden können,
  • 7 ein Ablaufdiagramm eines beispielsweisen Prozesssteuerungs-Rechnerprogrammprodukts ist, das zur Steuerung der beispielsweisen CVD-Behandlungskammer von 4 verwendet wird,
  • 8A eine vereinfachte Schnittansicht eines Teils einer integrierten Schaltung ist, die gemäß einer Ausführungsform der vorliegenden Erfindung hergestellt wird,
  • 8B ein Ablaufdiagramm gemäß einer Ausführungsform der vorliegenden Erfindung ist,
  • 9A eine vereinfachte Linienzeichnung eines Abtastelektronenmikrographs (SEM) für einen Querschnitt eines teilweise gefüllten Grabens auf einem Substrat ist, das elektrostatisch festgehalten und thermisch mit dem Halter durch gasförmiges Helium gekoppelt ist,
  • 9B eine vereinfachte Linienzeichnung eines SEM mit einem Querschnitt eines teilweise gefüllten Grabens auf einem Substrat ist, das nicht elektrostatisch gehalten wird,
  • 10A ein Diagramm ist, welches die Relativtemperatur über der Waferdicke für einen festgehaltenen und einen nicht festgehaltenen Wafer zeigt, und
  • 10B ein Diagramm ist, das die Relativtemperatur über der Zeit für einen festgehaltenen und einen nicht festgehaltenen Wafer während eines Teils einer beispielsweisen Prozesssequenz zeigt.
  • I. Einleitung
  • Die vorliegende Erfindung ermöglicht die Abscheidung von Material in einen Graben mit einem Seitenverhältnis von bis zu wenigstens 5:1 mit einem Spaltabstand von 0,25 μm oder weniger durch Modifizieren des Grabenprofils während der Materialabscheidung. Das Material kann ein dielektrisches Material, wie undotiertes Siliciumglas (USG), fluoriertes Siliciumglas (FSG) oder ein anderes Glas sein, das in einem HDP-CVD-System abgeschieden wird. Ein HDP-CVD-System erzeugt ein Plasma mit einer Ionendichte, die um etwa zwei Größenordnungen größer ist als die Ionendichte von herkömmlichen kapazitiv eingekoppelten Plasmasystemen. Im Allgemeinen ändert sich die Geometrie des Grabens bei seinem Füllen. Wenn der Spalt offen gehalten wird, während etwas Material am Boden des Grabens abgeschieden wird, wird das Seitenverhältnis des Grabens gewöhnlich verringert. Es kann jedoch auch erwünscht sein, die Form des Materials am Boden des Grabens und die Neigung der Seitenwand des Grabens zu regulieren, um die Bildung von Hohlräumen oder Fugen zu vermeiden. Insbesondere kann die relative Nettoabscheidungsrate an der Kante des Grabens verglichen mit dem Boden des Grabens dadurch gesteuert werden, dass die relativen Leistungspegel, die durch die HF-Leistungsquellen an das Plasma angelegt werden, eingestellt werden, was die Anstiegsgeschwindigkeit der Temperatur und die Endtemperatur steuert.
  • II. Ein beispielsweises CVD-Sys
  • 4 zeigt eine Ausführungsform eines HDP-CVD-Systems 10, bei welchem eine dielektrische Schicht gemäß der vorliegenden Erfindung abgeschieden werden kann. Das System 10 hat eine Kammer 13, ein Vakuumsystem 70, ein Quellenplasmasystem 80A, ein Vorspann-Plasmasystem 80B, ein Gasliefersystem 33 und ein Reinigungssystem 50 mit entfernt erzeugtem Plasma.
  • Der obere Teil der Kammer 13 hat einen Dom 14 aus einem dielektrischen Material, wie Aluminiumoxid oder Aluminiumnitrid. Der Dom 14 bildet eine obere Begrenzung eines Plasmabehandlungsbereichs 16. Der Plasmabehandlungsbereich 16 ist unten durch die obere Fläche eines Substrats 17 und eines Substrathalteelements 18 begrenzt.
  • Eine Heizplatte 23 und eine Kühlplatte 24 sind übereinander angeordnet und thermisch mit dem Dom 14 gekoppelt. Die Heizplatte 23 und die Kühlplatte 24 ermöglichen eine Steuerung der Domtemperatur innerhalb etwa ± 10°C über einen Bereich von etwa 100°C bis 200°C. Dies ermöglicht eine Optimierung der Domtemperatur für die verschiedenen Prozesse. Beispielsweise kann es erwünscht sein, den Dom auf einer Temperatur für Reinigungs- oder Ätzprozesse zu halten, die höher ist als für Abscheidungsprozesse. Eine genaue Steuerung der Domtemperatur verringert auch die Anzahl von Flocken oder Teilchen in der Kammer und verbessert die Haftung der abgeschiedenen Schicht auf dem Substrat.
  • Der untere Teil der Kammer 13 hat ein Gehäuseelement 22, das die Kammer mit dem Vakuumsystem verbindet. An dem Gehäuseelement 22 ist ein Basisabschnitt 21 des Substrattragelements 18 angebracht und bildet mit ihm eine durchgehende innere Oberfläche. Die Substrate werden in die Kammer 13 und aus ihr heraus durch ein Robotblatt (nicht gezeigt) durch eine Eingabe-/Herausnahmeöffnung 11 in der Seite der Kammer 13 transportiert. Ein Motor (nicht gezeigt) hebt eine Hubstiftplatte (nicht gezeigt) an und senkt sie ab, welche Hubstifte (nicht gezeigt) anhebt und absenkt, die den Wafer anheben und absenken. Nach der Überführung in die Kammer 13 werden die Substrate auf die angehobenen Hubstifte abgeladen und dann auf den Substrataufnahmeabschnitt 19 des Substrattragelements 18 abgesenkt. Der Substrataufnahmeabschnitt 19 hat einen elektrostatischen Halter 20, der das Substrat an dem Substrattragelement 18 während der Substratbehandlung festlegt.
  • Das Vakuumsystem 70 hat ein Drosselgehäuse 25, das ein Doppelblatt-Drosselventil 26 aufnimmt und an einem Absperrventil 25 befestigt ist, sowie eine Turbomolekularpumpe 28. Zu vermerken ist, dass das Drosselgehäuse 25 für den Gasstrom ein minimales Hindernis ist und ein symmetrisches Pumpen ermöglicht, wie es in der US-A-6690965 beschrieben ist. Ein Absperrventil 27 kann die Pumpe 28 von dem Drosselgehäuse 25 abtrennen und auch den Kammerdruck steuern, indem die Abgasstromkapazität eingeschränkt wird, wenn das Drosselventil 26 voll geöffnet ist. Die Anordnung der Drosselventile, des Absperrventils und der Turbomolekularpumpe ermöglichen eine genaue und stabile Steuerung der Kammerdrucke von zwischen etwa 1 bis 100 mt.
  • Das Quellenplasmasystem 80A hat eine obere Spule 29 und eine Seitenspule 30, die an dem Dom 14 angebracht sind. Eine symmetrische Masseabschirmung (nicht gezeigt) verringert die elektrische Koppelung zwischen den Spulen. Die obere Spule 29 wird von einem oberen Quellen-HF-Generator 31A gespeist, während die Seitenspule 30 von einem Seitenquellen-HF-Generator 31B gespeist wird, was für jede Spule unabhängige Leistungspegel und Frequenzen für den Betrieb ermöglicht. Das Doppelspulensystem ermöglicht eine Steuerung der radialen Ionendichte in der Kammer 13, wodurch die Plasmagleichförmigkeit verbessert wird. Bei einer speziellen Ausgestaltung stellt der obere Quellen-HF-Generator 31A bis zu 2.500 W HF-Leistung bei nominell 2 MHz bereit, während der Seitenquellen-HF-Generator 31B bis zu 5.000 W HF-Leistung bei nominell 2 MHz bereitstellt. Die Betriebsfrequenzen des oberen und des Seiten-HF-Generators können von der nominellen Betriebsfrequenz abweichen (beispielsweise 1,7 bis 1,9 MHz bzw. 1,9 bis 2,1 MHz), um den Plasmaerzeugungswirkungsgrad zu verbessern.
  • Das Vorspann-Plasmasystem 80B hat einen Vorspann-HF-Generator 31C und ein Vorspannungs-Anpassungsnetzwerk 32C. Das Vorspann-Plasmasystem 80B koppelt den Substratabschnitt 19 kapazitiv an das Gehäuseelement 22, die als komplementäre Elektroden wirken. Das Vorspann-Plasmasystem 80B dient dazu, den Transport der Plasmaspezies, die von dem Quellenplasmasystem 80A erzeugt werden, zu der Oberfläche des Substrats zu steigern. Bei einer speziellen Ausgestaltung stellt der Vorspann-HF-Generator bis zu 5.000 W HF-Leistung bei 13,56 MHz bereit.
  • Zusätzlich zu den HF-Feldern, die in der Prozesszone 16 erzeugt werden, kann ein Gleichstrom-(DC-)Feld in der Prozesszone 16 erzeugt werden. Beispielsweise kann durch Erzeugung einer negativen Gleichspannung an dem Substrataufnahmeabschnitt 19 bezogen auf das Gehäuseelement 22 der Transport von positiv geladenen Ionen zur Oberfläche des Substrats 17 begünstigt werden.
  • Die HF-Generatoren 31A und 31B haben digital gesteuerte synthetische Funktionsgeneratoren und arbeiten über einen Frequenzbereich zwischen etwa 1,7 bis etwa 2,1 MHz. Jeder Generator hat eine HF-Steuerschaltung (nicht gezeigt), die reflektierte Leistung aus der Kammer und von der Spule zurück zum Generator misst und die Betriebsfrequenz so einstellt, dass die geringste reflektierte Leistung erhalten wird, was dem Fachmann bekannt ist. Die HF-Generatoren sind gewöhnlich so ausgelegt, dass sie in eine Last mit einer charakteristischen Impedanz von 50 Ohm arbeiten. Aus Lasten, die eine andere charakteristische Impedanz als der Generator haben, kann HF-Leistung reflektiert werden. Dies kann die zur Last übertragene Leistung verringern. Zusätzlich kann von der Last zurück zum Generator reflektierte Leis tung den Generator überlasten und beschädigen. Da die Impedanz eines Plasmas im Bereich von weniger als 5 Ohm bis über 900 Ohm liegen kann, was von der Plasmaionendichte neben anderen Faktoren abhängt, und weil die reflektierte Leistung eine Funktion der Frequenz sein kann, erhöht die Einstellung der Generatorfrequenz in Übereinstimmung mit der reflektierten Leistung die Leistung, die von dem HF-Generator auf das Plasma übertragen wird, und schützt den Generator. Ein weiterer Weg zur Reduzierung der reflektierten Leistung und zur Verbesserung des Wirkungsgrads liegt in dem Anpassungsnetzwerk.
  • Anpassungsnetzwerke 32A und 32B passen die Ausgangsimpedanz der Generatoren 31A und 31B ihren jeweiligen Spulen 29 und 30 an. Die HF-Steuerschaltung kann beide Anpassungsnetzwerke dadurch abstimmen, dass der Wert von Kondensatoren in den Anpassungsnetzwerken so geändert wird, dass der Generator an die Last angepasst wird, wenn sich die Last ändert. Die HF-Steuerschaltung kann ein Anpassungsnetzwerk bestimmen, wenn die von der Last zurück zum Generator reflektierte Leistung eine bestimmte Grenze überschreitet. Ein Weg zur Schaffung einer konstanten Anpassung und der wirksamen Unterbindung, dass die HF-Steuerschaltung das Anpassungsnetzwerk abstimmt, besteht darin, die reflektierte Leistungsgrenze über irgendeinen erwarteten Wert einer reflektierten Leistung zu setzen. Dies kann dazu beitragen, unter bestimmten Bedingungen ein Plasma zu stabilisieren, indem das Anpassungsnetzwerk auf seinem jüngsten Zustand konstant gehalten wird.
  • Andere Maßnahmen können ebenfalls dazu beitragen, ein Plasma zu stabilisieren. Beispielsweise kann eine HF-Steuerschaltung verwendet werden, um die zu der Last (Plasma) gelieferte Leistung zu bestimmen, und kann die Generatorausgangsleistung erhöhen oder verringern, um die gelieferte Leistung während der Abscheidung einer Schicht im Wesentlichen konstant zu halten.
  • Ein Gasliefersystem 33 stellt Gase von mehreren Quellen für die Kammer zum Behandeln des Substrats über Gaslieferleitungen 38 bereit (von denen nur einige gezeigt sind). Die Gase werden in die Kammer 13 durch einen Gasring 37, eine obere Düse 45 und einen oberen Auslass 46 eingeführt. Es kann ein Kühlgas, wie Helium, für den Waferhalter vorgesehen werden, um den Wafer thermisch mit dem Waferhalter zu koppeln.
  • 5 ist eine vereinfachte Teilschnittansicht der Kammer 13 und zeigt zusätzliche Einzelheiten des Gasrings 37. Bei einer Ausführungsform liefern eine erste und eine zweite Gasquelle 34A und 34B sowie ein erster und ein zweiter Gasdurchflussregler 35a' und 35b' Gas zu einer Ringkammer 36 in dem Gasring 37 über Gaslieferleitungen 38 (von denen nur einige gezeigt sind). Der Gasring 37 hat eine Vielzahl von Gasdüsen 39 und 40 (von denen nur zwei gezeigt sind), die einen gleichförmigen Gasstrom über dem Substrat bereitstellen. Die Düsenlänge und der Düsenwinkel können durch Ändern des Gasrings 37 geändert werden. Dies ermöglicht ein Zuschneiden des Gleichförmigkeitsprofils und des Gasausnutzungswirkungsgrads für einen speziellen Prozess in einer einzelnen Kammer. Bei einer speziellen Ausgestaltung hat der Gasring 37 insgesamt vierundzwanzig Gasdüsen, zwölf erste Gasdüsen 40 und zwölf zweite Gasdüsen 39.
  • Der Gasring 37 hat eine Vielzahl von ersten Gasdüsen 40 (von denen nur eine gezeigt ist), die bei einer bevorzugten Ausgestaltung koplanar zu einer Vielzahl von zweiten Gasdüsen 39 und kürzer als diese sind. Bei einer Ausgestaltung erhalten die ersten Gasdüsen 40 eine oder mehrere Gase aus einer Gehäusekammer 41, während die zweiten Gasdüsen 39 ein oder mehrere Gase aus der Gasringkammer 36 erhalten. Bei einigen Ausgestaltungen sollen die Gase in der Gehäusekammer 41 und in der Gasringkammer 36 vor dem Einblasen der Gase in die Kammer 13 nicht gemischt werden, wenn die ersten Gasdüsen dazu verwendet werden, ein Sauerstoffträgergas, wie Sauerstoff oder Ozon, zu liefern, während die zweiten Gasdüsen dazu verwendet werden, Quellengas, wie Silan, zu liefern. Bei anderen Ausgestaltungen können Prozessgase vor dem Einblasen der Gase in die Kammer 13 dadurch vermischt werden, dass Öffnungen (nicht gezeigt) zwischen der Gehäusekammer 41 und der Gasringkammer 36 vorgesehen werden. Bei einer Ausführungsform liefern dritte und vierte Gasquellen 34C und 34D sowie dritte und vierte Gasdurchflussregler 35C und 35D' Gas zur Gehäusekammer über Gaslieferleitungen 38. Zusätzliche Ventile, beispielsweise 43B (andere Ventile sind nicht gezeigt), können den Gasstrom von den Durchflussreglern zur Kammer unterbrechen.
  • Bei einigen Ausführungsformen können brennbare, toxische oder korrosive Gase, wie Silan oder Siliciumtetrafluorid (SiF4), verwendet werden. In diesen Fällen möchte man vermeiden, dass Gas in den Gaslieferleitungen nach einer Abscheidung zurückbleibt. Dies wird durch Verwendung eines 3-Wege-Ventils, beispielsweise des Ventils 43B, erreicht, durch das beispielsweise die Kammer 13 von der Lieferleitung 38A getrennt und die Lieferleitung 38A zur Vakuumvorleitung 44 entlüftet wird. Wie in 4 gezeigt ist, können an anderen Gaslieferleitungen, wie 35A und 35C andere ähnliche Ventile, wie 43A und 43C angebracht werden. Solche 3-Wege-Ventile können so nahe an der Kammer 13, wie es praktisch ist, angeordnet werden, um das Volumen der nicht entlüfteten Gaslieferleitung (zwischen dem 3-Wege-Ventil und der Kammer) zu minimieren. Zusätzlich können Zwei-Wege-(Ein-Aus-)Ventile (nicht gezeigt) zwischen einem Massenstromregler (MFC) und der Kammer oder zwischen einer Gasquelle und einem MFC angeordnet werden.
  • Gemäß 4 hat die Kammer 13 auch die obere Düse 45 und den oberen Auslass 46. Die obere Düse 45 und der obere Auslass 46 ermöglichen eine unabhängige Steuerung eines oberen und seitlichen Stroms von Gasen, was die Filmgleichförmigkeit verbessert und eine Feineinstellung der Filmabscheidung und der Dotierparameter ermöglicht. Der obere Auslass 46 ist eine Ringöffnung um die obere Düse 45 herum, durch die Gas in die Kammer aus dem Gasliefersystem strömen kann. Bei einer Ausgestaltung ist die erste Gasquelle 34A eine Silanquelle, die die Quellengasdüsen 39 und die obere Düse 45 versorgt. Die Quellendüse MFC 35A' steuert die zu den zweiten Gasdüsen 39 geliefert Silanmenge, während die obere Düse MFC 35A' die zur oberen Gasdüse 45 gelieferte Silanmenge steuert. In gleicher Weise können zwei MFCs 35B und 35B' verwendet werden, um den Sauerstoffstrom sowohl zum oberen Auslass 46 als auch zu den ersten Gasdüsen 40 aus einer Einzelquelle für Sauerstoff, beispielsweise der Quelle 34B, zu steuern. Die der oberen Düse 45 und dem oberen Auslass 46 zugeführten Gase können vor dem Einströmenlassen der Gase in die Kammer 31 getrennt gehalten oder in einer oberen Kammer 48 vor dem Einströmen in die Kammer 13 gemischt werden. Es können getrennte Quellen des gleichen Gases für die Versorgung verschiedener Teile der Kammer verwendet werden.
  • Für eine periodische Wegreinigung von Abscheidungsrückständen aus Kammerkomponenten wird ein Reinigungssystem 50 mit an entfernter Stelle durch Mikrowelle erzeugtem Plasma vorgesehen. Das Reinigungssystem hat einen entfernt liegenden Mikrowellengenerator 51, der ein Plasma aus einer Reinigungsgasquelle 34E, wie Fluor, Siliciumtetrafluorid oder Äquivalenten, in einem Reaktorhohlraum 53 erzeugt. Die sich aus diesem Plasma ergebenden reaktiven Spezies werden in die Kammer 13 durch einen Reinigungsgas-Zuführkanal 54 über ein Applikatorrohr 55 befördert. Die für die Aufnahme des Reinigungsplasmas verwendeten Materialien (beispielsweise für den Hohlraum 53 und das Applikatorrohr 55) müssen dem Angriff durch das Plasma widerstehen. Die Entfernung zwischen dem Reaktorhohlraum 53 und dem Zuführkanal 54 sollte so kurz wie praktisch möglich gehalten werden, da die Konzentration von erwünschten Plasmaspezies mit der Entfernung vom Reaktorhohlraum 53 abnehmen kann. Die Erzeugung von Reinigungsplasma in einem entfernt liegenden Hohlraum ermög licht den Einsatz eines effizienten Mikrowellengenerators und setzt Kammerbauelemente nicht der Temperatur, der Strahlung und dem Bombardement durch die Glimmentladung aus, die bei einem In-situ-Plasma vorhanden sein können. Deshalb brauchen relativ empfindliche Bauelemente, wie ein elektrostatischer Halter 20, nicht durch einen Blindwafer abgedeckt oder auf andere Weise geschützt zu werden, wie es bei einem In-situ-Plasmareinigungsprozess nötig sein kann. Während des Reinigungsprozesse oder während anderer Prozesse kann das Absperrventil 27 geschlossen sein, um die Turbomolekular-Vakuumpumpe 28 von der Kammer abzutrennen. Bei dieser Ausführung erzeugt die Vorvakuumleitung ein Prozessvakuum, das durch entfernt liegende Vakuumpumpen erzeugt wird, bei denen es sich gewöhnlich um mechanische Vakuumpumpen handelt. Die Abtrennung der Turbomolekularpumpe von der Kammer durch das Absperrventil schützt die Turbomolekularpumpe gegenüber korrosiven Verbindungen oder gegenüber anderen potenziell gefährlichen Wirkungen, die sich aus dem Kammerreinigungsprozess oder anderen Prozessen ergeben.
  • Den Betrieb des Systems 10 steuert eine Systemsteuerung 60. Bei einer bevorzugten Ausgestaltung hat die Steuerung 60 einen Speicher 62, beispielsweise ein Festplattenlaufwerk, ein Diskettenlaufwerk (nicht gezeigt) und eine Einschubeinrichtung (nicht gezeigt). Die Einschubeinrichtung kann einen Einzelplattenrechner (SBC) (nicht gezeigt), analoge und digitale Eingabe-/Ausgabeplatten (nicht gezeigt), Schnittstellenplatten (nicht gezeigt) und Schrittmotor-Steuerplatten (nicht gezeigt) aufweisen. Die Systemsteuerung entspricht der Versa-Modular-European-(VME-)Norm, welche die Platten-, Einschub- und Anschlussmessungen und -arten definiert. Die VME-Norm definiert auch den Schienenaufbau mit einer 16-Bit-Datenschiene und einer 24-Bit-Adressen-Schiene. Die Systemsteuerung 31 arbeitet unter der Steuerung eines Rechnerprogramms, das in dem Festplattenlaufwerk oder unter anderen Rechnerprogrammen gespeichert ist, beispielsweise auf einer Diskette gespeicherten Programmen. Das Rechnerprogramm gibt beispielsweise die Zeitsteuerung, die Mischung der Gase, die HF-Leistungspegel sowie andere Parameter eines speziellen Prozesses vor. Die Schnittstelle zwischen einem Benutzer und der Systemsteuerung erfolgt über einen Monitor, beispielsweise eine Kathodenstrahlröhre (CRT) 65 und einen Lichtstift 66, wie es in 6 dargestellt ist.
  • 6 ist eine Darstellung eines Teils einer beispielsweisen Systembenutzerschnittstelle, die zusammen mit der beispielsweisen CVD-Behandlungskammer von 4 verwendet wird.
  • Die Systemsteuerung 60 hat einen Prozessor 61, der mit einem Speicher 62 gekoppelt ist. Der Speicher 62 kann vorzugsweise ein Festplattenlaufwerk sein, jedoch kann natürlich der Speicher 62 auch ein anderer Speicher sein, beispielsweise ROM, PROM und dergleichen.
  • Die Systemsteuerung 60 arbeitet unter der Steuerung eines Rechnerprogramms. Das Rechnerprogramm gibt die Zeitsteuerung, die Temperaturen, die Gasströme, die HF-Leistungspegel und andere Parameter eines speziellen Prozesses vor. Die Schnittstelle zwischen einem Benutzer und der Systemsteuerung erfolgt über einen CRT-Monitor 65 und einen Stift 66, wie es in 6 gezeigt ist. Bei einer bevorzugten Ausgestaltung werden zwei Monitoren 65 und 65A verwendet, wobei der eine in der Reinraumwand 65 für die Bedienungspersonen und der andere hinter der Wand 65A für die Service-Techniker angeordnet ist. Beide Monitore zeigen gleichzeitig die gleiche Information an, wobei jedoch nur ein Lichtstift (beispielsweise 66) aktiviert ist. Für die Auswahl eines bestimmten Schirms oder einer bestimmten Funktion berührt die Bedienungsperson einen Bereich des Bildschirms und drückt einen Knopf (nicht gezeigt) an dem Stift. Der berührte Bereich bestätigt seine Wahl durch den Lichtstift, indem er beispielsweise seine Farbe ändert oder ein neues Menü anzeigt.
  • Der Rechnerprogrammcode kann in jeder herkömmlichen rechnerlesbaren Programmiersprache geschrieben sein, beispielsweise 68000 Assembly Sprache, C, C++ oder Pascal. In eine Einzeldatei oder in Mehrfachdateien wird unter Verwendung eines herkömmlichen Textverarbeitungsprogramms ein geeigneter Programmcode eingegeben und gespeichert oder in einem rechnernutzbaren Medium, wie einem Speichersystem des Rechners, konkretisiert. Wenn der eingegebene Codetext i einer höheren Programmiersprache vorliegt, wird der Code übersetzt, und der sich ergebende Compilercode wird dann mit einem Objektcode vorkompilierter Windows-Bibliothekroutinen gelinkt. Zur Durchführung des gelinkten kompilierten Objektcodes ruft der Systembenutzer den Objektcode auf, veranlasst das Rechnersystem, den Code im Speicher zu laden, von wo aus die CPU den Code liest und ausführt, um die in dem Programm identifizierten Aufgaben vorzunehmen.
  • 7 zeigt ein Ablaufdiagramm des hierarchischen Steueraufbaus des Rechnerprogramms 300. Das Rechnerprogramm kann mit einer Einzelkammer oder mit mehreren Kammern verwendet werden, wie sie beispielsweise in einer Gruppenvorrichtungsanordnung vorhanden sein können. Alternativ kann das Rechnerprogramm zum Steuern von mehr als einer Kammer verwendet werden, die nicht als Gruppenvorrichtung angeordnet ist. Ein Benutzer gibt eine Prozesseinstellnummer und eine Prozesskammernummer unter Verwendung der Lichtstiftschnittstelle in eine Prozessselektorsubroutine 310 ansprechend auf die an dem CRT-Monitor angezeigten Menüs oder Angaben ein. Die Prozesseinstellungen sind vorgegebene Einstellungen von Prozessparametern, die zur Ausführung spezifizierter Prozesse erforderlich sind, und werden durch vorgegebene Einstellnummern identifiziert. Die Prozessselektorsubroutine 310 identifiziert (i) die gewünschte Prozesskammer in einem Mehrkammersystem und (ii) die gewünschte Einstellung der Prozessparameter, die erforderlich sind, um die Prozesskammer zur Durchführung des gewünschten Prozesses arbeiten zu lassen. Die Prozessparameter zur Ausführung eines spezifischen Prozesses beziehen sich auf Prozessbedingungen, wie beispielsweise Prozessgaszusammensetzung und Durchsätze, Temperatur, Druck, Plasmabedingungen, wie HF-Leistungsniveaus, sowie Kammerdomtemperatur, und werden dem Benutzer in Form eines Rezepts gegeben. Die von dem Rezept spezifizierten Parameter werden unter Verwendung der Lichtstift-/CRT-Monitorschnittstelle eingegeben.
  • Die Signale für die Überwachung des Prozesses werden durch die analogen und digitalen Eingabeplatten der Systemsteuerung 60 vorgegeben, und die Signale zur Steuerung des Prozesses sind Ausgänge an den analogen und digitalen Ausgangsplatten der Systemsteuerung 60.
  • Eine Prozess-Sequencer-Subroutine 320 hat einen Programmcode für das Akzeptieren der identifizierten Prozesskammer und des Satzes von Prozessparametern aus der Prozessselektorsubroutine 310 sowie zum Steuern des Betriebs der verschiedenen Prozesskammern, falls vorhanden. Mehrfachbenutzer können Prozesseinstellnummern und Prozesskammernummern eingeben, oder ein Benutzer kann mehrere Prozesseinstellnummern und Prozesskammernummern eingeben, oder ein Benutzer kann Prozessnummern für eine einzige Kammer eingeben. Die Sequencer-Subroutine 320 arbeitet so, dass die ausgewählten Prozesse in der gewünschten Sequenz verzeichnet werden. Vorzugsweise hat die Sequencer-Subroutine 320 einen Programmcode zur Ausführung der Schritte (i) Überwachen des Betriebs der Prozesskammern zur Bestimmung, ob die Kammern in Verwendung sind, (ii) Bestimmen, welche Prozesse in den verwendeten Kammern ausgeführt werden, sowie (iii) Ausführen des gewünschten Prozesses basierend auf der Verfügbarkeit einer Prozesskammer und der An des ausgeführten Prozesses. Zur Überwachung der Prozesskammern können herkömmliche Verfahren, wie das Abrufen, verwendet werden. Wenn verzeichnet wird, welcher Prozess auszuführen ist, kann die Sequencer-Subroutine 320 so ausgelegt werden, dass sie den vorhandenen Zustand der zu verwendenden Prozesskammer im Vergleich mit den gewünschten Prozessbedingungen für einen ausgewählten Prozess oder das "Alter" jeder speziellen, vom Benutzer eingegebenen Anforderung oder irgendeinen anderen relevanten Faktor berücksichtigen, den ein Systemprogrammierer zur Bestimmung der Planprioritäten eingeschlossen haben möchte.
  • Nach dem Bestimmen der Sequencer-Subroutine 320, welcher Prozesskammer und welche Prozesseinstellkombination als Nächste auszuführen sind, veranlasst die Sequencer-Subroutine 320 die Ausführung des eingestellten Prozesses, indem sie die speziellen Prozesseinstellparameter an eine Kammerbetriebsführungs-Subroutine 350A bis 350C weitergibt, die die vielfältigen Behandlungsaufgaben in der Kammer 13 und möglicherweise in anderen Kammern (nicht gezeigt) nach dem eingestellten Prozess steuert, der von der Sequencer-Subroutine 320 bestimmt ist.
  • Beispiele für Kammerkomponenten-Subroutinen sind eine Substratpositioniersubroutine 340, eine Prozessgassteuersubroutine 350, eine Drucksteuersubroutine 360 und eine Plasmasteuersubroutine 370. Der Fachmann weiß, dass auch andere Kammersteuersubroutinen abhängig davon eingeschlossen werden können, welche Prozesse in der Kammer 13 ausgeführt werden sollen. In Betrieb verplant die Kammerbetriebsführungssubroutine 330A die Prozesskomponentensubroutinen entsprechend der auszuführenden speziellen Prozesseinstellung selektiv oder ruft sie selektiv auf. Das Verplanen durch die Kammerbetriebsführungssubroutine 330A erfolgt auf ähnliche Weise bei der Sequencer-Subroutine 320 beim Verplanen, welche Prozesskammer und welche Prozesseinstellung auszuführen ist. Die Kammerbetriebsführungssubroutine 330A schließt gewöhnlich die Schritte des Überwachens der verschiedenen Kammerkomponenten, des Bestimmens, welche Komponenten basierend auf den Prozessparametern für die auszuführende Prozesseinstellung in Betrieb zu nehmen ist, und die Veranlassung der Ausführung einer Kammerkomponentensubroutine ansprechend auf den Überwachungs- und Bestimmungsschritt ein.
  • Unter Bezugnahme auf 7 wird nun der Betrieb der speziellen Kammerkomponentensubroutinen beschrieben. Die Substratpositioniersubroutine 340 weist einen Programmcode zum Steuern der Kammerkomponenten auf, die verwendet werden, um ein Substrat auf den Substratträger 18 zu laden. Die Substratpositioniersubroutine 340 kann auch die Überführung eines Substrats in die Kammer 13 beispielsweise von einem PECVD-Reaktor oder einem an deren Reaktor in dem Mehrkammersystem steuern, nachdem eine andere Behandlung abgeschlossen ist.
  • Die Prozessgassteuersubroutine 350 hat einen Programmcode zum Steuern der Prozessgaszusammensetzung und der Durchsätze. Die Subroutine 350 steuert die Offen-/Schließstellung der Sicherheits-Absperrventile und macht auch die Massenstromregler auf/zu, um die gewünschten Gasdurchsätze zu erhalten. Alle Kammerkomponentensubroutinen einschließlich der Prozessgassteuersubroutine 350 werden durch die Kammerbetriebsführungssubroutine 330A aufgerufen. Die Subroutine 350 empfängt Prozessparameter von der Kammerbetriebsführungssubroutine 330A bezogen auf die gewünschten Gasdurchsätze.
  • Gewöhnlich arbeitet die Prozessgassteuersubroutine 350 so, dass die Gasversorgungsleitungen geöffnet und wiederholt (i) die erforderlichen Massenstromregler gelesen, (ii) die Ablesungen mit den gewünschten, von der Kammerbetriebsführungssubroutine 330A empfangenen Durchsätzen verglichen und (iii) die Durchsätze der Gasversorgungsleitungen, wie erforderlich, eingestellt werden. Außerdem kann die Prozessgassteuersubroutine 350 die Schritte einschließen, die Gasdurchsätze auf gefährliche Durchsätze zu überwachen und die Sicherheitsabsperrventile zu aktivieren, wenn ein Gefahrenzustand erfasst wird.
  • Bei einigen Prozessen wird ein Inertgas, wie Argon, in die Kammer 13 strömen gelassen, um den Druck in der Kammer zu stabilisieren, bevor reaktive Prozessgase in die Kammer eingeführt werden. Für diese Prozesse ist die Prozessgassteuersubroutine 350 durch die zugeordneten Schritte programmiert, das Inertgas in die Kammer 13 über einer Zeit einströmen zu lassen, die erforderlich ist, um den Druck in der Kammer zu stabilisieren. Dann können die vorstehend beschriebenen Schritte ausgeführt werden.
  • Wenn zusätzlich ein Prozessgas aus einem flüssigen Präkursor, beispielsweise Tetraethylorthosilan (TEOS), verdampft werden soll, kann die Prozessgassteuersubroutine 350 die Schritte aufweisen, ein Fördergas, wie Helium, durch den flüssigen Präkursor in einer Blasenbildungsanordnung aufsteigen zu lassen oder das Helium in ein Flüssigkeitseinspritzventil einzuführen. Bei dieser Art von Prozess reguliert die Prozessgassteuersubroutine 350 den Strom des Transportgases, den Druck und die Temperatur in der Blasenbildungseinrichtung, um die gewünschten Prozessgasdurchsätze zu erhalten. Wie oben erwähnt, werden die ge wünschten Prozessgasdurchsätze auf die Prozessgassteuersubroutine 350 als Prozessparameter übertragen.
  • Weiterhin umfasst die Prozessgassteuersubroutine 350 die Schritte, den erforderlichen Transportgasdurchsatz, den Druck und die Temperatur der Blasenbildungseinrichtung für den gewünschten Prozessgasdurchsatz durch Zugang zu einer Speichertafel zu erhalten, die die erforderlichen Werte für einen gegebenen Prozessgasdurchsatz enthält. Wenn die erforderlichen Werte einmal erhalten worden sind, werden der Transportgasdurchsatz sowie der Druck und die Temperatur der Blasenbildungseinrichtung überwacht, mit den erforderlichen Werten verglichen und entsprechend eingestellt.
  • Die Prozessgassteuersubroutine 350 kann auch den Strom eines Wärmeübertragungsgases, wie Helium (He), durch innere und äußere Kanäle in dem Waferhalter über eine unabhängige Heliumsteuer-(ICH-)Subroutine (nicht gezeigt) steuern. Der Gasstrom koppelt das Substrat thermisch mit dem Halter. In einem typischen Prozess wird der Wafer durch das Plasma und die chemischen Reaktionen, die die Schicht bilden, erhitzt, während das He das Substrat durch den Halter kühlt, der wassergekühlt werden kann. Dadurch wird das Substrat unter einer Temperatur gehalten, die vorexistierende Strukturen auf dem Substrat beschädigen könnten.
  • Die Drucksteuersubroutine 360 hat einen Programmcode zum Steuern des Drucks in der Kammer 13, indem die Größe der Öffnung des Drosselventils in dem Auslassabschnitt der Kammer reguliert wird. Für die Kammersteuerung über das Drosselventil gibt es wenigstens zwei grundsätzliche Verfahren. Das erste Verfahren beruht auf der Charakterisierung des Kammerdrucks in seinem Bezug u.a. zu dem Gesamtprozessgasstrom, der Größe der Prozesskammer und der Pumpleistung. Das erste Verfahren stellt das Drosselventil 26 auf eine fixierte Position. Das Einstellen des Drosselventils 26 auf eine fixierte Position ergibt schließlich einen Dauerbetriebsdruck.
  • Alternativ kann der Kammerdruck beispielsweise mit einem Manometer gemessen werden, und die Position des Drosselventils 26 kann entsprechend der Drucksteuersubroutine 360 unter der Annahme eingestellt werden, dass der Steuerpunkt innerhalb der durch die Gasströme und Saugkapazität eingestellten Grenzen liegt. Das erstere Verfahren kann zu schnelleren Kammerdruckänderungen führen, da die Messungen, Vergleiche und Berechnungen, die dem letzteren Verfahren zugeordnet sind, nicht aufgerufen werden. Das erstere Verfahren kann dort erwünscht sein, wo eine genaue Steuerung des Kammerdrucks nicht erforderlich ist, während das letztere Verfahren dort erwünscht sein kann, wo ein genauer, wiederholbarer und stabiler Druck, wie beim Abscheiden einer Schicht, erwünscht ist.
  • Wenn die Drucksteuersubroutine 360 aufgerufen wird, wird der gewünschte (oder Ziel)Druckpegel als Parameter von der Kammerbetriebsführungssubroutine 330A empfangen. Die Drucksteuersubroutine 360 arbeitet so, dass der Druck in der Kammer 13 dadurch gemessen wird, dass ein oder mehrere herkömmliche Druckmesser abgelesen werden, die mit der Kammer verbunden sind, der Messwert/die Messwerte mit dem Zieldruck verglichen werden, Proportional-, Integral- und Differential-(PID-)Werte aus einer gespeicherten Drucktabelle entsprechend dem Zieldruck verglichen werden und das Drosselventil 26 entsprechend den PID-Werten eingestellt wird, die sich aus der Drucktabelle ergeben. Alternativ kann die Drucksteuersubroutine 360 das Drosselventil 26 auf eine spezielle Öffnungsgröße öffnen oder schließen, um den Druck in der Kammer 13 auf einen gewünschten Druck oder Druckbereich zu regulieren.
  • Die Plasmasteuersubroutine 370 hat einen Programmcode zum Steuern der Frequenz und der Leistungsausgangseinstellung der HF-Generatoren 31A und 31B sowie zur Abstimmung der Netzwerke 32A und 32B. Die Plasmasteuersubroutine 370 stellt die HF-Quellenleistung und – frequenz für die obere und die Seitenspule des HF-Quellenplasmasystems unabhängig ein und stellt auch HF-Vorspannleistungsniveau und -fequenz ein. Die Leistung und Frequenz für die obere Spule und die Seitenspule des HF-Quellenplasmasystems können unabhängig eingestellt werden, könnten jedoch auch mit einer Zwischenabhängigkeit eingestellt werden. Die Plasmasteuersubroutine 370 wird, wie die vorher beschriebenen Kammerkomponentensubroutinen, von der Kammerbetriebsführungssubroutine 330A aufgerufen.
  • Ein Beispiel eines Systems, das einige oder alle Subsysteme oder Routinen, wie vorstehend beschrieben, einschließen kann, wäre ein ULTIMA-SystemTM, hergestellt von Applied Materials, Santa Clara, Kalifornien, das für die Ausführung der vorliegenden Erfindung gestaltet wurde.
  • III. Beispielsweiser Aufbau
  • 8A zeigt eine vereinfachte Schnittansicht einer integrierten Schaltung 500, die Merkmale der vorliegenden Erfindung einschließt. Die integrierte Schaltung 500 kann auf einem Halbleiterwafer, beispielsweise einem Siliciumwafer, einem Galliumarsenidwafer, einem Halbleiter-auf-Isolator-Wafer oder auf einem anderen Wafer hergestellt werden. Wie in 8A gezeigt ist, hat die integrierte Schaltung 500 NMOS- und PMOS-Transistoren 503 und 506, die durch einen Feldoxidbereich 507 voneinander getrennt und elektrisch isoliert sind. Jeder Transistor 503 und 506 hat einen Quellenbereich 508, einen Torbereich 509 und einen Senkenbereich 510.
  • Eine dielektrische Prämetallschicht 511 trennt die Transistoren 503 und 506 von einer Metallschicht M1, wobei Verbindungen zwischen der Metallschicht M1 und den Transistoren durch Kontakte 512 hergestellt sind. Die Metallschicht M1 ist eine von vier Metallschichten M1 bis M4, die in der integrierten Schaltung 500 eingeschlossen sind. Jede Metallschicht M1 bis M4 ist von der benachbarten Metallschicht durch eine jeweilige dielektrische Zwischenmetall(IMD-)Schicht 513A bis 513C getrennt. Jede IMD-Schicht kann aus den gleichen oder unterschiedlichen Materialien mehrschichtig sein, beispielsweise aus Schichten von dotierten und undotierten Siliciumgläsern hergestellt sein. An ausgewählten Öffnungen sind durch Stecker 514 in Kontaktlöchern benachbarte Metallschichten verbunden. Über der Metallschicht M4 liegt ein planare Passivierungsschicht 515. Die IMD-Schicht 513A füllt einen Graben 517 zwischen benachbarten Leiterzügen der ersten Metallschicht M1.
  • Der Feldoxidbereich 507 füllt auch einen Graben 516. Dieser Graben 516 ist ein STI-Graben, der die n-Mulden-Vorrichtung 503 von einer benachbarten Vorrichtung (nicht gezeigt) trennt. Der STI-Graben 516 ist mit isolierendem Material aus dem Feldoxidbereich gefüllt und sperrt einen seitlichen Stromfluss, wodurch ein Leckstrom, ein Schaltstoß und andere Probleme reduziert werden.
  • Ausführungsformen der vorliegenden Erfindung sind besonders zweckmäßig zum Füllen von schmalen Gräben mit hohem Seitenverhältnis, beispielsweise des Grabens 517, der zwischen benachbarten Metalleiterzügen ausgebildet ist, oder dem STI-Graben, der in dem Substrat ausgebildet ist, können jedoch auch in jeder der dielektrischen Schichten Verwendung finden, die in der integrierten Schaltung 500 gezeigt sind. Selbstverständlich dient die vereinfachte integrierte Schaltung 500 nur Veranschaulichungszwecken. Der Fachmann kann die vorliegende Erfindung zur Herstellung anderer integrierter Schaltungen, wie Mikroprozessoren, anwendungsspezifischen integrierten Schaltungen, Speichervorrichtungen und dergleichen ausführen. Zusätzlich kann das Verfahren der vorliegenden Erfindung bei der Herstellung von integrierten Schaltungen verwendet werden, die andere Technologien verwenden, beispielsweise BiCMOS, NMOS, bipolare und andere Technologien.
  • IV. Beispielsweiser Abscheidungsprozess
  • 8B ist ein Ablaufdiagramm eines beispielsweisen Prozesses gemäß einer Ausführungsform der vorliegenden Erfindung. Bei diesem Prozess wurde die Temperatur des Wafers dadurch gesteuert, dass der Wafer nicht auf dem Wafertragaufbau festgehalten wurde. Dies ermöglicht es dem Wafer, thermisch zu "schwimmen", was zu einer höheren Waferoberflächentemperatur führt, wenn der Wafer durch das Plasma erhitzt wird. Obwohl dem elektrostatischen Halter zum Halten des Wafers keine Leistung direkt zugeführt wurde, kann sich der Wafer durch das Plasma aufladen, wobei dieses Aufladen zu einer Art spontanen Halterung an dem Wafertragaufbau führen kann. In den Kanälen wurde kein Heliumgas umlaufen gelassen, das gewöhnlich in den inneren und äußeren Kanälen des Waferhalters zirkuliert, um den Wafer mit dem Halter zu koppeln, was den Plasmaaufheizungseffekt weiter steigert. Der Prozess füllte einen schmalen Graben mit hohem Seitenverhältnis mit nicht dotiertem Siliciumglas (USG) auf einem Siliciumwafer in einer STI-Anwendung. Ein ähnlicher Prozess kann zum Abscheiden von USG zwischen Gräben, die von anderen Strukturen auf dem Wafer, wie Metallleiterzügen, gebildet werden oder zum Abscheiden anderer Materialien, wie FSG, verwendet werden.
  • Der folgende Prozess bezieht sich auf einen Siliciumwafer mit einem Nenndurchmesser von 200 mm (8 Zoll) in einer Abscheidungskammer mit einem spezifischen Innenvolumen von etwa 5 1. Der Fachmann weiß jedoch, dass der Prozess für verschiedene Substratmaterialien, andere Substratgrößen, beispielsweise Wafer von 150 mm und 300 mm, und andere Kammervolumina neben anderen Änderungen modifiziert werden kann. Der Wafer hatte Gräben, die auf dem Wafer vor diesem Abscheidungsprozess gebildet worden sind. Die Gräben hatten Lücken von gewöhnlich etwa 0,25 μm und Seitenverhältnisse von etwa 5:1 vor der Abscheidung der Schicht. Die Durchsätze, die HF-Leistungen und andere Prozessparameter werden neben anderen Faktoren entsprechend den Abmessungen der Gräben gewählt, die gefüllt wer den sollen. Die folgende Beschreibung des Prozesses erfolgt in Verbindung unter Bezug auf die Kammerkomponenten, wie sie in 4 gezeigt sind.
  • Ein in dem Abscheidungssystem (Schritt 802) angeordneter Siliciumwafer und Argon, das leicht ein Plasma bildet, wurden mit einem Volumenstrom von 95 sccm den Gasdüsen 39 und mit einem Volumenstrom von 25 sccm der oberen Düse 45 zugeführt, um einen Kammerdruck (Schritt 804) herzustellen, der für das Zünden eines Plasmas (Schritt 806) geeignet ist. An die obere Spule 29 wurden zur Bildung eines hochdichten Plasmas bei einem Kammerdruck von etwa 50 mT etwa 1.000 W HF-Leistung angelegt. Dieses Plasma heizt den Wafer vor der Abscheidung vor. Während dieses Schritts kann fakultativ der Rückseite des Wafers Wärme (Schritt 808) mit einer Heizeinrichtung in dem Waferträger oder mit einem Suszeptor zugeführt werden, der Wärme aus dem Plasma oder von einer externen Heizquelle, wie einer Heizlampe, absorbiert und auf den Wafer überträgt.
  • Etwa eine Sekunde nach der Herstellung des Plasmas wird das Drosselventil geöffnet, um den Kammerdruck auf zwischen 2 bis 10 mT (Schritt 810) zur Vorbereitung der Abscheidung abzusenken. Der Anfangsdruck von etwa 50 mT ist ein Druck, bei dem es leicht ist, ein Plasma zu initiieren, und wenn einmal das Plasma gestartet ist, kann der Druck auf den gewünschten Prozessdruck abgesenkt werden. Um den gewünschten Druck zu erreichen, wurde das Drosselventil auf eine eingestellte Position basierend auf einer vorherigen Charakterisierung des Abscheidungssystems anstelle des Einschlusses in eine Druckrückkoppelungsschleife zum Einstellen und Steuern des Kammerdrucks geöffnet.
  • Gleichzeitig mit dem Einstellen des Kammerdrucks auf den Anfangsprozessdruck wurde die Gesamtplasmaenergie durch Anlegen von 2.000 W HF-Leistung an die seitliche Spule zusätzlich zu den 1.000 W erhöht, die bereits an der oberen Spule angelegt sind. Die Steigerung der HF-Quellenleistung erhöhte wahrscheinlich den Kammerdruck leicht, da die Bildung von Plasma aus Gas zusätzliche Teilchen erzeugt.
  • Während des nächsten Schritts wurde der Sauerstoffstrom zur Kammer (Schritt 812) mit einem Anfangsstrom von 46 sccm angestellt, der Argonstrom wurde um 10 sccm verringert und das Drosselventil wurde auf eine fixierte Einstellung geöffnet, so dass der Kammerdruck während des folgenden Vorspann-Voreinstellschritts etwa 8,5 mT betrug. Insgesamt soll der Sauerstoffstrom beginnen, bevor ein Silicium enthaltender Präkursor strömt, so dass sich der Prä kursor, der nicht reagiert hat, nicht auf den Kammerwänden oder den Prozesswafer abscheidet. Etwa 13% des Sauerstoffs strömt in die Kammer von dem oberen Auslass 46, der Rest strömt durch die Sauerstoffträgerdüsen 40. Das Substrat wurde durch das Plasma 10 s (Schritt 814) vorerhitzt, bevor der Silanstrom (Schritt 816) mit einem Durchsatz von etwa 40 sccm aufgeteilt zwischen der oberen Düse 45 und den Quellengasdüsen 39 in einem ähnlichen Anteil wie der Sauerstoff begonnen hat. Die HF-Quellenleistung zur oberen Spule wurde auf 800 W reduziert, während die HF-Quellenleistung zu der seitlichen Spule auf 3600 W erhöht wurde, um die gewünschte Grabenprofilmodifikation zu erhalten.
  • Während des Vorspann-Voreinstellschritts (Schritt 818) wurde das Grabenprofil dadurch modifiziert, dass die Abscheidungsbedingungen aufrechterhalten wurden, die anders waren als die für den Hauptabscheidungsschritt geeigneten Bedingungen. Dies erlaubt eine Reduzierung des Seitenverhältnisses des Grabens vor dem Hauptabscheidungsschritt und ergibt einen schnellen und hohlraumfreien Prozess. Insgesamt waren die gesamte HF-Quellenleistung und die Gasströme bei dem Vorspann-Voreinstellschritt geringer als bei dem Hauptabscheidungsschritt. Die an die obere Spule angelegte HF-Quellenleistung betrug 900 W, die an die seitliche Spule 29 angelegte HF-Quellenleistung 2300W. Die HF-Vorspannleistung wurde auf 1500 W voreingestellt. Der Silanstrom wurde auf etwa 70 sccm erhöht. Diese Bedingungen wurden 31 s beibehalten, um das Seitenverhältnis der Gräben durch Verjüngen der Seitenwände von abgeschiedenem USG wesentlich zu verringern, so dass die Lücke über die Oberseite des Grabens ausgeweitet und verbreitert wurde. Die Seitenwandverjüngung verengte auch den Abstand zwischen den am Boden des Grabens einander zugewandten Seitenwänden, so dass die Bildung eines Hohlraums beim Füllen des Grabens während des Hauptabscheidungsprozesses weniger wahrscheinlich wurde. Die Grabenprofilmodifizierung wird nachstehend im Absatz V und den beiliegenden 9A bis 9D im Einzelnen weiter beschrieben.
  • Der Hauptteil der Schicht wurde während des Hauptabscheidungsschrittes (Schritt 820) abgeschieden, bei dem es sich um einen gleichlaufenden Abscheidungs-/Ätzprozess handelt. Die verjüngten oder V-förmigen Gräben wurden ohne Bildung eines Überhangs und eines daraus folgenden Hohlraums in dem Graben effizient gefüllt. Während dieses Schritts wurde die HF-Vorspannleistungs-Anpassungssteuerschaltung abgestellt, so dass die Anpassungsnetzwerke in ihren letzten Stellungen blieben. Dies ergibt ein stabiles HF-Steuersystem, da nicht zu erwarten ist, dass die Last sich während dieses Schritts stark ändert. Während des Hauptabscheidungsschritts wurde eine Leistung von 1300 W an der oberen Spule 29 und eine Leis tung von 3100 W der seitlichen Spule zugeführt, wobei 3000 W HF-Vorspannungsleistung angelegt wurden. Das Drosselventil 26 wurde so gesteuert, dass ein Kammerdruck von 6 mT aufrechterhalten wurde, während der Argonstrom zu den Quellendüsen 39 auf 46 sccm verringert und der Argonstrom durch die obere Düse 45 auf 9 sccm verringert wurde. Der Hauptabscheidungsschritt wird für etwa 70 s fortgesetzt.
  • Nachdem die gewünschte Dicke von Siliciumglas abgeschieden worden ist, wurde der Silanstrom abgestellt, und die Silanlieferleitungen wurden zur Vorvakuumleitung 44 über Drei-Wege-Ventile (Schritt 822), beispielsweise die Ventile 43A bis 43C, wie in 4 gezeigt, entleert. Dies entfernt Silan aus diesen Leitungen und verringert die Bildung von Silanrückstand in der Leitung. Darüber hinaus ist Silan brennbar, so dass es nicht erwünscht ist, unnötigerweise Silan in den Lieferleitungen zu belassen. Der Wafer ist dann bereit für das Entfernen aus der Kammer (Schritt 824).
  • Der vorstehend beschriebene beispielsweise Prozess dient nur Anschaulichkeitszwecken. Viele Prozessparameter beziehen sich auf die spezielle Kammer, in der der Prozess ausgeführt werden soll, im vorliegenden Fall die im Handel erhältliche ULTIMATM-Kammer, hergestellt von Applied Materials, Inc., Santa Clara, Kalifornien. Andere Kammern können andere Volumina, Absaugleistungen, Plasmabildungen, Waferhaltesysteme usw. haben, was einen Prozess mit anderen Drucken Gasdurchsätzen, Plasmaleistungen, Zeiten oder anderen Prozessparametern ergeben kann. Außerdem können unterschiedliche Substrate zu unterschiedlichen Prozessparametern führen. Beispielsweise kann ein Prozess für ein Substrat mit einer anderen Wärmekapazität oder Wärmeleitfähigkeit einen längeren oder kürzeren Vorheizschritt aufweisen. Darüber hinaus können in dem Prozess andere Gase verwendet werden, wie TEOS oder SiF4 als Siliciumquelle.
  • Grabenprofilmodifikation unter Verwendung von thermischen Koppelungstechniken
  • 9A und 9B sind Zeichnungen, die SEMs von Waferquerschnitten mit vorher existierenden Gräben zeigen, die teilweise mit einer Schicht Siliciumoxidglas befüllt sind. Diese Figuren zeigen, dass der Grad der thermischen Koppelung zwischen der Rückseite eines Substrats und einer Wärmesenke während der Bildung einer Schicht von Siliciumoxidglas das Grabenprofil ändern kann. Der Grabenseitenwandwinkel, die Menge des Überhangs und der Grabenboden werden alle von der Größe der thermischen Koppelung zwischen dem Substrat und dem Substrathalter beeinflusst. Man nimmt an, dass die thermische Koppelung das Grabenprofil aufgrund der temperaturempfindlichen Art des Filmabscheidungsprozesses modifiziert.
  • Das Ausmaß der thermischen Koppelung scheint das lokale Erhitzen des Substrats zu beeinflussen. Die Abscheidungsrate eines Films auf Silanbasis hängt von der Substrattemperatur ab. Man nimmt an, dass es bei höheren Temperaturen für das zerstäubte Material schwieriger ist, sich wieder auf dem Wafer abzuscheiden. Deshalb ergibt sich eine relativ geringe Abscheidung auf Abschnitten des Substrats, die sich auf höheren Temperaturen befinden, wie beispielsweise die Ränder der Gräben, wo das Plasma das horizontale Feld und die vertikale Wand des den Graben bildenden Materials erwärmen kann.
  • Das Substrat in einem HDP-CVD-System wird gewöhnlich durch das heiße Plasma erhitzt. Insgesamt muss das Substrat heiß genug sein, um eine Reaktion der Prozessgase und des Plasmas zur Bildung der gewünschten Schicht einzuleiten. Häufig möchte man die Temperatur des Substrats begrenzen, um eine Beschädigung von bereits vorhandenen Strukturen auf dem Substrat, wie Aluminiumleiterzüge, zu vermeiden, die bei Temperaturen über etwa 400°C Schaden erleiden können. Ein Weg zur Begrenzung der Substrattemperatur besteht darin, das Substrat mit einer Wärmesenke thermisch zu koppeln.
  • Ein Weg für eine thermische Koppelung des Substrats mit einer Wärmesenke besteht darin, den Wafer an einem Wafertragaufbau elektrostatisch zu halten, der ein Kühlmittel aufweist, das durch den Trägeraufbau zirkuliert. Die Temperatur des Kühlmittels kann auf eine konstante Temperatur, wie 65°C, reguliert werden, so dass der Tragaufbau auf etwa 65°C gehalten und seine Leistung als Wärmesenke verstärkt wird. Das Halten des Wafers an dem Tragaufbau gibt eine bestimmte Größe einer thermischen Koppelung zwischen dem Tragaufbau und dem Substrat, da das Substrat in innigen Kontakt mit dem elektrostatischen Halter gezogen wird, der ein Stück mit dem Wafertragaufbau bildet.
  • Eine zusätzliche thermische Koppelung zwischen dem Substrat und dem Wafertragaufbau kann dadurch vorgesehen werden, dass ein Wärmeübertragungsgas, wie Helium oder Wasserstoff, in Kanälen in dem elektrostatischen Halter umlaufen gelassen wird, die zur Rückseite des Substrats hin offen sind. Das Wärmeübertragungsgas überträgt effizient Wärme von dem Wafer zu dem elektrostatischen Halter, der als Wärmesenke wirkt. Das Halten des Substrats an dem Tragaufbau kann mit oder ohne Verwendung eines Wärmeübertragungsgases erfol gen, so dass alternative Verfahren für die Temperatursteuerung des Substrats vorgesehen werden.
  • 10A ist ein Diagramm, das die relative Temperatur eines gehaltenen und nicht gehaltenen Wafers zeigt, wenn der Wafer durch ein Plasma in einer HDP-CVD-Kammer erhitzt wird. An die obere und die seitliche Spule des Systems ist nur die Quellen-HF-Leistung angelegt, eine HF-Vorspannleistung ist nicht angelegt. Die obere Kurve 1101 stellt das Temperaturprofil durch einen Siliciumwafer in einer kurzen Zeit nach der Einleitung der Plasmaerhitzung dar, welches man erhalten würde, wenn der Wafer an dem Wafertragaufbau nicht gehalten wird. Die untere Kurve 1103 stellt das Temperaturprofil durch einen Siliciumwafer eine kurze Zeit nach der Einleitung der Plasmaerhitzung dar, das man erhalten würde, wenn der Wafer an dem Wafertragaufbau gehalten würde. Die Temperatur am Boden des Wafers ist nur für die Referenz als für jeden Zeitpunkt gleich gezeigt, ist jedoch ausreichend genau, da die Bodenflächentemperatur des Substrats sich an den temperaturgesteuerten Substratträger angleicht. Die obere und untere Kurve 1101, 1103 zeigen, dass die Oberfläche des Substrats eine höhere Temperatur erreicht, wenn das Substrat nicht gehalten wird. Das bedeutet, dass die Nettoabscheidungsrate für den nicht gehaltenen Wafer für eine kurze Zeit am Beginn der Abscheidung kleiner ist.
  • Man nimmt an, dass höhere Temperaturen die relative Abscheidungsrate zwischen den Kanten und dem Grabenboden verringern kann, da sich zerstäubtes Material vorzugsweise nahe an den Kanten wieder abscheidet. Ein Modifizieren eines Grabenprofils unter Verwendung einer unterschiedlichen Temperatur kann als Alternative zu oder zusätzlich zu dem Modifizieren des Grabenprofils unter Verwendung der Winkelabhängigkeit der Zerstäubungsätzung ausgeführt werden. Die durch Steuern der Größe des Zerstäubungsätzens erreichte Grabenprofilmodifizierung kann ein anderes Profil oder eine andere Nettoabscheidungsrate als bei der Grabenprofilmodifizierung ergeben, die durch unterschiedliches Erhitzen erreicht wird. Wie oben erwähnt, kann eine Erhöhung der Zerstäubungsätzungsrate die Kante des Grabens exponieren und dieses Material in die Behandlungskammer injizieren, wodurch die Kammer verunreinigt und der Rand des Grabens erodiert wird. Diese Gefahren stellen sich in einem bestimmten Ausmaß bei der Grabenprofilmodifizierung durch unterschiedliches Erhitzen nicht.
  • 10B ist ein Diagramm, das die relative Temperatur eines gehaltenen Wafers 1105 und eines schwimmenden Wafers 1107 während einer beispielsweisen Abscheidungsprozessse quenz zeigt. Der schwimmende Wafer wurde an dem Wafertragaufbau nicht gehalten und viel schneller während des Erhitzungsschritts der Abscheidungsprozesssequenz erhitzt. Der Erhitzungsschritt erfolgt, wenn ein Plasma gezündet worden ist, jedoch in die Kammer keine Abscheidungsgase eingeführt worden sind. Die Temperatur des gehaltenen und des nicht gehaltenen Wafers konvergierten während des Hauptabscheidungsschritts. Man erwartet somit, dass die Grabenprofilmodifizierung eines schwimmenden Wafers während des Vorspann-Voreinstellschritts am größten ist.
  • Aus Silan und ähnlichen Präkursoren abgeschiedene Filme wachsen mit einer größeren Rate bei niedrigerer Substrattemperatur, vorausgesetzt, dass die Substrattemperatur für die Präkursorgase ausreichend Aktivierungsenergie bereitstellt. Man nimmt an, dass sich ein relativ größerer Betrag des abgeschiedenen Films zurück zu einem Gas oder einer Plasmaphase bei höherer Temperatur dissoziiert, wodurch die Nettoabscheidungsrate verringert wird.
  • Gemäß 9A wurde ein Siliciumwafer 1001 mit 20,32 cm (8 Zoll) mit einem Graben 1003 in einem HDP-CVD-System so behandelt, dass eine Schicht aus Siliciumoxidglas 1005 unter Verwendung eines gleichzeitigen Abscheidungs-/Ätzprozesses gebildet wird. Die Vorspannleistung wurde an den Wafertragaufbau angelegt und Argongas in das Prozessgasgemisch eingeführt, um die Ätzkomponente des Prozesses zu erleichtern. Der Graben 1003 ist gewöhnlich ein Graben, der zur Isolierung benachbarter Bauelemente auf einer integrierten Schaltung verwendet werden kann, beispielsweise nach dem Verfahren, das allgemein als Flachgrabenisolierung (STI) bekannt ist. Der Wafer 1001 wurde an einem elektrostatischen Halter gehalten, der mit einem Wafertragaufbau gekoppelt war, der auf einer Temperatur von 65°C gehalten wurde. Der elektrostatische Halter hatte einen inneren Kühlring und einen äußeren Kühlring, der ein Helium-Wärmeübertragungsgas trägt. Der Heliumdruck in dem inneren Ring betrug 4,5 t, der in dem äußeren Ring 9 t. Der Heliumdruck entspricht insgesamt der Wärmeübertragungskapazität des Wärmeübertragungsgases, wobei ein höherer Druck eine größere Wärmeübertragungskapazität ergibt. Der elektrostatische Halter hält das Substrat mit einer ausreichenden Kraft nach unten, so dass die Rückseite des Substrats eine ausreichende Abdichtung mit den Kühlringen bildet, um den Heliumdruck in den Kühlringen aufrechtzuerhalten, der ansonsten in die Behandlungskammer entweichen würde, die auf einem Druck von etwa 6,5 mT ist.
  • Die Schicht 1005 aus Siliciumoxidglas hat Teilüberhänge 1007 oder "Brotlaibe" gebildet, die schließlich zusammenwachsen und die Grabenlücke zumachen, wobei in der Schicht ein Hohlraum zurückbleibt. Ein anderes potenzielles Problem bezieht sich auf den Boden 1009 der Siliciumoxidschicht, der eine Wölbung bildet. Wenn der Graben gefüllt wird, führt der gewölbte Boden schließlich zu einer Fuge oder zu Fugen, die durch die Siliciumoxidschicht 1005 verlaufen, wenn sich der gewölbte Boden grundsätzlich an das Seitenwandoxid abschnürt 1006. Diese Fugen können Verunreinigungen einschließen, die in darauf folgenden Behandlungsschritten eingeführt werden oder Leitungen für Verunreinigungen bilden, die bei der Behandlung oder im Einsatz der Schaltung eingeführt werden.
  • 9B ist eine Zeichnung eines SEM mit einem Querschnitt des Wafers 1001, der ähnlich dem in 9A gezeigten Wafer ist (ähnliche Grabenabmessungen) und unter ähnlichen Bedingungen behandelt wird. Der in 9B gezeigte Wafer wurde an dem Wafertragaufbau nicht gehalten, so dass es keine Wärmesenke zum Waferhalter oder zum Wafertragaufbau gibt, sondern stattdessen der Wafer thermisch "schwimmen" kann. Dies ermöglicht es dem Wafer, eine höhere Temperatur anzunehmen, wie es oben unter Bezug auf 10A und 10B erörtert wurde. Die Siliciumoxidglasschicht 1011 ist über der Kante 1013 des Grabens 1003 dünner, und der Boden 1015 der Schicht ist verglichen mit der in 9A gezeigten Schicht nicht so stark gewölbt. Die verringerte Abscheidungsrate an der Kante des Grabens, die sich aus der erhöhten Substrattemperatur ergibt, modifizierte die Grabenform von einer ursprünglichen rechteckigen Form einer gewünschten "V"-Form. Diese V-Form hat ein geringeres effektives Seitenverhältnis als die ursprüngliche Grabenform, so dass der V-förmige Graben leichter in fugenfreier Weise gefüllt werden kann. Das Fehlen eines Überhangs erleichtert es ferner, den Graben vollständig zu füllen. Da der Boden 1015 des Grabens im Wesentlichen flach ist, wird außerdem die Neigung zur Bildung einer Fuge reduziert.
  • Die Temperatur des in 10B gezeigten, nicht gehaltenen Wafers während der Abscheidung der Siliciumoxidschicht wird auf etwa 600°C zu Beginn der Abscheidung geschätzt. Dies ist, wie man annimmt, etwa 150 bis 200°C höher als bei dem in 9A gezeigten gehaltenen Wafer. Der Kammerdruck während des Abscheidungsprozesses, der von 9B dargestellt wird, betrug etwa 4,5 mT. Der Argondurchsatz wurde von etwa 100 sccm bei dem Prozess von 9A auf etwa 40 sccm verringert, um dem niedrigeren Kammerdruck Rechnung zu tragen. In jedem Fall war die Kammerabsaugung fixiert anstatt aktiv mit einem Rückkoppelungssystem gesteuert. Obwohl man annehmen kann, dass eine Verringerung des Argon drucks die Zerstäubungsätzrate durch Verringern der Anzahl der Argonionen verringern würde, die auf das Substrat treffen, ist dies nicht der Fall. Die Zerstäubungsätzrate erscheint in dem Bereich zwischen etwa 4,5 bis 6,5 mT flach, wenn die Kammer auf eine fixierte Absaugrate eingestellt ist. Man nimmt an, dass die Zerstäubungsätzrate in diesem Druckbereich für einen fixierten Vorspannungs-HF-Leistungspegel im Wesentlichen konstant ist, da ein Verringern des Drucks die Rekombination von Argonionen zu Argonatomen verringer und ferner die mittlere freie Weglänge der Argonionen erhöht.
  • Ein unerwartetes Ergebnis der Bewertung von Abscheidungen auf nicht gehaltenen Wafern bestand darin, dass die Gleichförmigkeit der Dicke der abgeschiedenen Schicht über dem Wafer bei Wafern überlegen war, die nicht gehalten wurden. Dies war eine Überraschung, da man dachte, dass ein Wafer, der gehalten und thermisch mit einem Wärmeübertragungsgas an einen temperaturgesteuerten Tragaufbau und einen Halter gekoppelt wurde, eine gleichförmigere Temperatur und somit eine gleichförmigere abgeschiedene Schicht haben würde. In einem speziellen Fall zeigte ein gehaltener Wafer eine 2,5%-Änderung in der Dicke der abgeschiedenen Schicht über dem Wafer, während ein nicht gehaltener Wafer, der unter sonst gleichen Bedingungen behandelt wurde, eine Dickenänderung von nur 0,95% zeigte.

Claims (3)

  1. Verfahren zur Ausbildung einer Schicht auf einem Substrat in einer Kammer während eines HDP-CVD-Prozesses, wobei das Verfahren die Schritte aufweist (a) Bilden eines Plasmas hoher Dichte in der Kammer, (b) Strömenlassen eines Prozessgases in die Kammer, das für das Abscheiden einer Schicht auf einer Frontseite des Substrats geeignet ist, und (c) Erhitzen einer Frontseite des Substrats während des HDP-CVD-Abscheidungsprozesses mit dem Plasma, wobei das Substrat mit einem Substratträgeraufbau thermisch nicht gekoppelt ist.
  2. Verfahren nach Anspruch 1, bei welchem wenigstens ein Graben mit einem Rand in einem Bereich des Substrats gebildet wird.
  3. Verfahren nach Anspruch 1, bei welchem der Graben vor dem Abscheiden der Schicht einen Spalt, der gleich oder kleiner als etwa 0,25 μm ist, und ein Seitenverhältnis hat, das gleich oder größer als etwa 5:1 ist.
DE69927146T 1998-04-21 1999-04-05 Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie Expired - Fee Related DE69927146T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US264990 1998-04-21
US09/264,990 US6200911B1 (en) 1998-04-21 1998-04-21 Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
PCT/US1999/007585 WO1999054521A2 (en) 1998-04-21 1999-04-05 Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power

Publications (2)

Publication Number Publication Date
DE69927146D1 DE69927146D1 (de) 2005-10-13
DE69927146T2 true DE69927146T2 (de) 2006-02-02

Family

ID=26795512

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69927146T Expired - Fee Related DE69927146T2 (de) 1998-04-21 1999-04-05 Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie

Country Status (5)

Country Link
EP (1) EP1071833B1 (de)
JP (1) JP2002512440A (de)
KR (1) KR20010034810A (de)
DE (1) DE69927146T2 (de)
WO (1) WO1999054521A2 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP5019676B2 (ja) * 2001-05-28 2012-09-05 アプライド マテリアルズ インコーポレイテッド Hdpcvd処理によるトレンチ充填
JP3836032B2 (ja) 2002-02-01 2006-10-18 三菱重工業株式会社 プラズマcvd装置
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
KR100769138B1 (ko) * 2005-10-12 2007-10-22 동부일렉트로닉스 주식회사 고밀도 플라즈마 화학기상 증착 방법을 이용한 플라즈마산화막 형성장치 및 형성방법
KR100722847B1 (ko) 2005-11-30 2007-05-30 주식회사 아이피에스 자기장을 이용한 박막 증착 방법 및 장비
KR100729102B1 (ko) * 2005-12-28 2007-06-14 삼성에스디아이 주식회사 증착장치
KR100791677B1 (ko) * 2006-10-27 2008-01-03 동부일렉트로닉스 주식회사 반도체 소자 제조를 위한 고밀도 플라즈마 화학기상증착장치
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
JP6963900B2 (ja) * 2017-03-10 2021-11-10 東京エレクトロン株式会社 成膜方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing

Also Published As

Publication number Publication date
EP1071833B1 (de) 2005-09-07
EP1071833A2 (de) 2001-01-31
KR20010034810A (ko) 2001-04-25
DE69927146D1 (de) 2005-10-13
JP2002512440A (ja) 2002-04-23
WO1999054521A3 (en) 2000-02-03
WO1999054521A2 (en) 1999-10-28

Similar Documents

Publication Publication Date Title
DE69908101T2 (de) Verfahren zum beschichten und ätzen einer dielektrischen schicht
DE69835479T2 (de) Abscheidung von einem fluorierten Siliziumglas
DE60130092T2 (de) Verfahren zum Erwärmen von Plättchen
DE69819030T2 (de) Doppelfrequenz cvd verfahren und vorrichtung
DE69835276T2 (de) Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
US7036453B2 (en) Apparatus for reducing plasma charge damage for plasma processes
US6200911B1 (en) Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
DE69734619T2 (de) Verfahren mit einem induktiv gekoppelten Plasmareaktor
DE69837124T2 (de) Abscheidungsverfahren von einer mit Halogen dotierten Si02-Schicht
US6136685A (en) High deposition rate recipe for low dielectric constant films
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE60109675T2 (de) Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
DE69927146T2 (de) Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie
KR100635180B1 (ko) 2단계 보로포스포실리케이트 글라스 증착 공정 및 이와 관련된 소자 및 장치
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
US7294588B2 (en) In-situ-etch-assisted HDP deposition
DE69727624T2 (de) Induktiv gekoppelter HDP-CVD-Reaktor
JP4990299B2 (ja) Hdp−cvdpsg膜の形成方法および装置
US6929700B2 (en) Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
DE69728683T2 (de) Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten
DE60114383T2 (de) Verfahren und vorrichtung zur plasmabeschichtung
KR20010043365A (ko) 도판트 바이패스가 구비된 대기하 압력 cvd 시스템
DE60218924T2 (de) Gasphasenabscheidung von Siliziumoxidfilmen
DE69836146T2 (de) Plasma-abscheidung von filmen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee