JP4990299B2 - Hdp−cvdpsg膜の形成方法および装置 - Google Patents

Hdp−cvdpsg膜の形成方法および装置 Download PDF

Info

Publication number
JP4990299B2
JP4990299B2 JP2009000278A JP2009000278A JP4990299B2 JP 4990299 B2 JP4990299 B2 JP 4990299B2 JP 2009000278 A JP2009000278 A JP 2009000278A JP 2009000278 A JP2009000278 A JP 2009000278A JP 4990299 B2 JP4990299 B2 JP 4990299B2
Authority
JP
Japan
Prior art keywords
film
chamber
plasma
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009000278A
Other languages
English (en)
Other versions
JP2009164613A (ja
Inventor
ヒヘム ンサード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009164613A publication Critical patent/JP2009164613A/ja
Application granted granted Critical
Publication of JP4990299B2 publication Critical patent/JP4990299B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

発明の詳細な説明
発明の背景
本発明は基板処理に関し、より詳細には、良好な間隙充填性、良好な安定性、および平坦化技術との両立性を有する、PSG(Phosphosilicate Glass)膜のような絶縁膜を形成するための方法および装置に関する。本発明は、特に、先行したプリメタル絶縁(PMD)層用途に用いられる絶縁膜を形成するときに有用である。もちろん、絶縁膜は、他の用途にも有用である。
半導体装置の幾何学的形状の大きさは、そのような装置が最初に数十年前に導入されてから、著しく減少してきている。そのときから、集積回路は、概略的に2年に半分の大きさの規則(しばしば、モーアの法則と呼ばれる)に従ってきており、それは、チップに適合する装置の数が2年ごとに2倍になることを意味する。今日のウエーハ製造プラントは、規則正しく0.5μmはおろか0.35μmの大きさの構造(feature)までの装置を製造している。製造プラントは、すぐにでも、もっと小さな幾何形状の装置を製造するであろう。装置の大きさが小さくなり、集積密度が増加するにつれて、以前には経営者側(industry)が致命的と考えていなかった問題が重要な関心事となってきている。
最近の半導体装置製造における、主要ステップの一つは、半導体基板上に酸化シリコン膜のような膜を形成することである。酸化シリコンは、半導体装置製造において、絶縁層として広く用いられている。周知のように、酸化シリコン膜は、CVD或いはPECVDによって堆積することができる。慣例的な熱CVDプロセスでは、反応性ガスが、基板表面に供給され、そこで、熱誘導化学反応(等方性又は異方性)が行われ、所望の膜が作製される。慣例的なプラズマプロセスにおいて、制御されたプラズマは、反応種を分解または/および活性化し、所望の膜を作製するために形成される。慣例的なプラズマプロセスを利用する装置例には、例えば、静電結合平行板CVD装置またはECRCVD装置が含まれている。一般的に、熱及びプラズマプロセスにおける反応速度は、温度、圧力および反応性ガス、流量速度の中の1つ以上を制御することによって、制御することが可能である。
酸化シリコン膜用の独特な使用は、多結晶ゲート・相互接続層等のMOSトランジスタ接続用第1メタルコンタクト層との分離層としての使用である。PMD層として参照される、そのような分離層は、通常、多層金属構造における金属層のどれよりも先に堆積される。PMD層として用いられる膜が、低応力、良好なゲッタリング性、良好な間隙充填性、平坦化特性または平坦化技術との両立性のどちらか一方、さらに低水分吸収度を有することが重要である。特に、PMD層として用いられる膜の低応力は、上に形成される装置に損傷を与える可能性のある、ウエーハの割れ又は湾曲を避ける為に必要である。更に、PMD層として用いられる膜は、形成される装置において損傷の原因となる可能性がある、(ナトリウム又は他の金属イオン等)移動イオン・電荷を捕捉する為に良好なゲッタリング性を有することが重要である。PMD層として用いられる場合、隆起又は階段状の表面を通常含み、膜によって十分に埋められなければならない低い階層にあるポリシリコンゲート/相互接続層にわたって、酸化シリコン膜が堆積される。これらの間隙が十分に膜によって埋められないと、膜中にボイドやシームが生じる可能性があり、劣化した装置性能の原因になる。最初に堆積された膜は、複数層と全体的に微細構成(topography)が合致し、典型的には、上に横たわる金属層が堆積される前に平坦化される必要がある。酸化膜が流出する温度までに加熱される標準リフロープロセスが、膜を平坦化するために用いられてもよい。浅い結合部を維持し、自己整合チタン珪化物のコンタクト構造又は類似物の劣化を防止する為に、PMD層のリフロー及び他のプロセスステップが800℃以下で行われることが、幾つかの用途では重要である。リフローに対する代替え案として、CMP(ChemicalMechanical Polishing)技術が、膜を平坦化する為に使用されてもよい。更に、低水分吸収度が、PMD層として用いられる膜にとっては重要である。膜に吸収された水分は、しばしば、膜内のドーパントと反応し、その結果、膜の単結晶化が生じ、堆積膜の望ましくない割れの可能性を増大し、損傷装置になる。
BPSG(Borophosphosilicate Glass)膜は、PMD層として典型的に用いられるが、厳格な熱的予算(thermal budgets)を有する用途では不十分になっている。その低応力、良好な間隙充填性、良好なゲッタリング性および高温でのリフロー性のため、BPSG膜は、PMD層として使用されるのに特に適したものと見い出されてきた膜の一例である。標準BPSG膜は、酸化シリコン層を形成するため通常必要とされるシリコンと酸素源と共に、プロセスチャンバにリンとホウ素源を導入することによって形成してもよい。そのようなBPSG膜に対する堆積技術には、常圧CVD(APCVD)、減圧CVD(SACVD)、低圧CVD(LPCVD)およびプラズマ補強型CVD(PECVD)を含む。多くの半導体製造業者は、SiH4ベースのBPSGプロセスを利用し、それは、約0.5μm装置幾何形状に対し、約900℃より高温でリフローを必要とする膜を作製する。0.5μm未満の幾何形状では、より厳格な間隙充填要求により、卓越した間隙充填及びリフロー性を備えた膜を提供するTEOS(tetraethylorthosilicate)およびO3のような化学物質の使用が必要になる可能性がある。例えば、APCVD又はSACVDによって、作製されたTEOS/O3ベースのBPSG膜は、改善された間隙充填を達成するが、約850〜900℃より高温でのリフローまたは急速熱処理(RapidThermal Process)及びCMPステップによる平坦化が多くの場合には必要となる可能性がある。一般的に、PMD用途に対して用いられる典型的BPSG膜は、約850℃より高温でのリフロープロセス及びCMPステップが平坦化層を提供する為には必要となる可能性がある。しかし、そのようなリフロー温度は、小さい幾何形状(0.25μm以下)装置における先行PMD用途で、ますます要求されている、より厳格な熱的予算は高すぎることが多い。更に、低水分吸収度のような他の膜特性は、PMD層として用いられる膜にとって重要である。PMD層として用いられる典型的BPSG膜は、平坦化に十分なリフローの為、一定のホウ素濃度を必要とする可能性があるが、そのような膜はこれらのホウ素濃度で水分吸収度を増加される傾向がある。水分の吸収は、しばしばBPSG膜と反応し、膜の単結晶を生じさせ、それがウエーハ上の装置を損傷する堆積膜の割れを導く可能性がある。
BPSG膜の代わりとして、PECVD又はAPCVDを用いて堆積されるPSG膜が提案されてきたが、幾つかの先行PMD用途には不十分であることが見い出されてきた。これらのPSG膜は水分を吸収する傾向にあり、望ましくないことに、膜内に高い水素及び炭素含有量を有する。更に、そのようなPSG膜は、しばしば、CMP、特により高いアスペクト比を有する装置とは両立性がない。PECVDおよびAPCVD技術は、高い正角性膜を有し、それはしばしば埋められる間隙の中間にシームを残す。CMP中、これらのシームは、容易にスラリ(slurry)により攻撃され、SEM(scanningelectron microscopy)でさえも観察することができる。
以上により、先行PMD用途に対しては、低応力、良好な間隙充填性能、平坦化技術との両立性、低水分吸収度を有する酸化膜を形成するためには他の方法及び装置が必要であることが分かる。
本発明は、低水分含有、良好な間隙充填性、良好なゲッタリング性および平坦化技術との両立性を示す、PSGのような絶縁層を形成するための、装置及び方法を提供する。本発明の装置及び方法を用いて堆積されたPSG膜は、特に、PMD層としての用途に適している。
一実施例によると、本発明はプロセスチャンバにおいて、ペデスタル上に堆積される基板上に膜を堆積するためのプロセスを提供する。プロセスは、プロセスガスを前記プロセスチャンバに導入する工程を含み、プロセスチャンバではプロセスガスはSiH4、PH3、O2、アルゴンを含む。プロセスは、初期期間(afirst time period)中、プロセスチャンバ内で約1から10ミリトールの範囲の圧力を維持しながら、初期期間中、約400から650℃の間でペデスタルの温度を制御する工程も含む。さらに、プロセスは、初期期間中、プロセスチャンバ内でプロセスガスから高密度プラズマを形成するため、誘導結合コイルに電力を印加する工程、基板に向かってプラズマにバイアスをかけ、プラズマのスパッタリング効果を促進させ、初期期間中、基板上方にPSG膜を堆積する。関連実施例において、プロセスは更に膜のアニーリングステップまたは膜の化学機械研磨ステップを含んでもよい。
他の実施例によると、本発明はPSG膜を提供するための装置を提供し、それは幾つかの用途でPMD層として使用するのに特に適している。本発明のこれら及び他の実施例を、その利点および特徴と共に、以下の説明および添付図面との関係でより詳細に説明する。
特定実施例の詳細な説明
I.例示的CVDシステム図1は、高密度プラズマ(HDP)CVDシステム5の一実施例を示すもので、そこでは、本発明による絶縁層が堆積される。HDP−CVDシステム5は、真空チャンバ10、真空ポンプ12、バイアスRF(BRF)発生器36およびソースRF(SRF)発生器32を含む。
真空チャンバ10は、側壁22および円盤状シーリング電極24から成るシーリング20を含む。側壁22は、石英またはセラミックなどの絶縁体から形成され、コイルアンテナ26を支持する。コイルアンテナ26の構造上の詳細は、1993年8月27日に出願され、Fairbairn,Nowak による、「高密度プラズマCVD及びエッチングリアクタ」という名称の、米国特許出願08/113776に開示され、その開示内容は本願明細書に参考として組み込まれている。
堆積ガスおよび液体は、図示されていない制御弁を有するライン27を通じてガス源28からガス混合チャンバ29へと供給され、そこで、それらのガスは混合され、ガス供給リングマニフォールド16に送られる。一般的に、各プロセスガス用の各ガス供給ラインは、(i)チャンバへのプロセスガスの流れを自動的あるいは手動的に遮断するために使用可能な安全遮断弁(図示せず)および(ii)ガス供給ラインを通ったガスの流れを測定するマスフローコントローラ(MFC)(図示せず)を含む。プロセスで毒性ガスが使用されるとき、慣例の形態における各ガス供給ラインには幾つかの安全遮断弁が配置される。
ガス噴射ノズル14は、ガス供給リングマニフォールド16に結合され、チャンバ10内のペデスタル44上に置かれた基板45へのマニフォールド16に導入される堆積ガスを分散する。さらに、中央ガス噴射ノズル(図示せず)および中環(図示せず)は、ガスを基板45上のチャンバ10に受け入れる。ガス噴射ノズル14、中央噴射ノズル及び中環を通って導入された堆積ガスは、同一或いは異なる組成でもよい。ペデスタル44は、プロセス中にウエーハを拘束するために静電チャックまたは同様の機構を含み、また、冷却通路または他の特徴を含む。幾つかの実施例では、ペデスタル44を様々な処理位置にモータ(図示せず)で上下動してもよい。
ガス供給リングマニフォールド16は、ハウジング18内に配置される。ハウジング18は、スカート46によって試液から保護される。スカート46は、HDP−CVDプロセスで使用される試液に対し抵抗性を有する石英、セラミック、シリコンまたは多結晶シリコン等の物質から構成される。真空チャンバ10の底部は、環ライナ40を含んでもよく、それ自身が取り外し可能になっている。
堆積ガスの誘導結合プラズマは、ソースRF発生器32からコイルアンテナ26に印加されるRFエネルギーにより、基板45と隣接して形成可能である。ソースRF発生器32は、単一又は混合周波数RFパワー(又は他の所望のバリエーション)をコイルアンテナ26に供給し、真空チャンバ10に導入された反応種の分解を強化することができる。そのような方法で形成されたプラズマは、標準PECVDリアクタによって形成された(109〜1010イオン/cm3のオーダーの密度を有する)プラズマと比べると、比較的に(1011〜1012イオン/cm3のオーダーの)高密度を有する。堆積ガスは、矢印25で表示されるように、チャンバ10から排気ライン23を通って排気される。排気ライン23を通って放出されるガスの速度は、スロットル弁12によって、制御される。
シーリング電極24は、リッド56によって所定位置に保持される。リッド56は、冷却ジャケット58によって冷却されるが、シーリング電極24は、抵抗性ヒーター60によって加熱されることが可能で、洗浄速度を加速あるいは処理パラメータを変更する。シーリング電極24は、導体であり、適切に設定されたスイッチ38によって、グランドかBRF発生器36に接続、又は接続されていない状態(浮動状態)にしておいてもよい。同様に、ペデスタル44は、適切に設定されたスイッチ52によって、グランドかBRF発生器50に接続、あるいは接続されていない状態(浮動状態)にしておいてもよい。これらのスイッチの設定は、プラズマの所望の特性に依存するものである。BRF発生器36、50は、単一又は混合周波数RFパワー(又は他の所望のバリエーション)を供給することが可能である。BRF発生器36、50は、別のRF発生器でもよく、或いはシーリング電極24及びペデスタル44の両方に接続されたスイッチを有する単一RF発生器でもよい。BRF発生器36、50からのRFエネルギーを印加し、ペデスタル44に向かって誘導結合プラズマにバイアスをかけることにより、スパッタリングを促進させ、プラズマの既存スパッタリング効果を強化することができる(すなわち、膜の間隙充填性を増加させる)。
プラズマを形成するために、容量性結合を用いてもよい。そのようなプラズマを、シーリング電極24およびペデスタル44間あるいは同様の方式で形成してもよい。
BRF発生器36、50、SRF発生器32、スロットル弁12a、ライン27に接続されたMFC、スイッチ30、34、38、52およびCVDシステム5内の他のエレメントは、全て、制御ライン35によってシステムコントローラ31で制御され、その中の幾つかだけが示されている。システムコントローラ31は、メモリ33のようなコンピュータ読み取り可能媒体に記憶されたコンピュータプログラムの制御下で動作し、その好適実施例ではハードディスクドライブである。コンピュータプログラムは、タイミング、導入速度およびガス混合、チャンバ圧力、チャンバ温度、RFパワーレベルおよび特定プロセスの他のパラメータを指示する。モータおよび光学センサは、スロットル弁12a及びペデスタル40のような可動機械的装置を動かし、その位置を決める為に使用される。
システムコントローラ31は、CVD装置の全活動を制御する。好適実施例において、コントローラ31は、ハードディスクドライブ(メモリ33)、フロッピーディスクドライブ、カードラックを含む。カードラックには、単一基板コンピュータ(SBC)37、アナログ及びデジタル入出力ボード、インターフェースボード、ステッパモータコントローラボード(それらの幾つかのみが示されている)を含む。システムコントローラは、VME(VersaModular European)基準に適合しており、それは、ボード、カードケージ、コネクタ寸法及びタイプを規定している。VMEは、16ビットデータバスおよび24ビットアドレスバスを有するバス構造も規定している。
ハードディスクドライブに記憶されたコンピュータプログラムの制御下でシステムコントローラ31が動作する。コンピュータプログラムは、タイミング、ガスの混合、RFパワーレベルおよび特定プロセスの他のパラメータを指示する。
ユーザーとシステムコントローラ間のインターフェースは、CRTモニタ65a及びライトペン65bを介しており、ライトペンは、図2に示されている。好適実施例において、二つのモニタ65aが使用されており、一つのモニタは操作者の為にクリーンルームの壁に取り付けられており、他のモニタはサービス技術者の為に壁の後ろ側に取り付けられている。両方のモニタ65aは、同時に同一情報を表示するが、可能なライトペンは一つだけである。ライトペン65bは、ペン先の中に光センサを有し、CRTディスプレイによって発光された光を検知する。特定のスクリーン又は機能を選択するために、操作者はディスプレイスクリーンの指定領域に触れ、ペン65bのボタンを押す。触れられた領域は、そのハイライト色が変わるか、新メニューまたはスクリーンが表示され、ライトペンとディスプレイスクリーン間のコミュニケーションが確認される。
プロセスは、例えばシステムコントローラ31上で、動作するコンピュータプログラム製品141を用いて実行される。コンピュータプログラムコードは、例えば、68000アセンブリ言語、C、C++、またはパスカルのような、どのような慣例コンピュータ読み取り可能プログラム言語でも書くことが可能である。適したプログラムコードは、慣例的テキストエディタを用いて、単一ファイルまたは複数ファイルに入力され、記憶され、あるいはコンピュータのメモリシステムのような、コンピュータ使用可能な媒体の中で合体される。入力されたコードテキストが高度な言語である場合、コードはコンパイルされ、その結果として生じるコンパイラコードは、その後、コンパイルされる前のウインドウズ(登録商標)ライブラリルーチンのオブジェクトコードにリンクされる。リンクされたコンパイルオブジェクトコードを実行するため、システムユーザは、オブジェクトコードを呼び出し、コンピュータシステムにメモリ内のコードをロードさせ、それにより、CPUは、そのコードを読んで実行し、当該プログラムで認識されたタスクを実行する。
図3は、コンピュータ70の階層的制御構造を示すブロックダイアグラムである。ユーザは、ライトペンインターフェースを用いることにより、CRTモニタ上に表示されたメニューまたはスクリーンに応じて、プロセス選択サブルーチン73にプロセスセット番号およびプロセスチャンバ番号を入力する。プロセスセットは、特定プロセスを実行する為に必要なプロセスパラメータの既定セットであり、予め定義されたセット番号によって識別されている。プロセス選択サブルーチン73は、(i) マルチチャンバシステム内の所望のプロセスチャンバ、及び(ii)所望のプロセスを実施するためにプロセスチャンバを操作する為に必要なプロセスパラメータの所望のセットを識別する。特定プロセスを実施するための特定パラメータは、例えば、プロセスガス組成および流量速度、温度、圧力、RFバイアスパワーレベル及び磁界パワーレベルのようなプラズマ条件、熱伝達ガス圧およびチャンバ壁温のようなプロセス条件に関連し、これらはレシピの形でユーザに提供される。レシピによって特定されたパラメータは、ライトペン/CRTモニタインターフェースを利用して入力される。
プロセスをモニタするための信号は、システムコントローラのアナログ入力及びデジタル入力ボードによって提供され、プロセスを制御するための信号は、システムコントローラ31のアナログ出力及びデジタル出力ボードの出力である。
プロセスシーケンスサブルーチン75は、識別されたプロセスチャンバ及びプロセス選択サブルーチン73からのプロセスパラメータからのセットを許容するため、さらに様々なプロセスチャンバの操作を制御するためのプログラムコードを備える。複数のユーザは、プロセスセット番号およびプロセスチャンバ番号を入力することができ、あるいは1人のユーザが複数のプロセスセット番号およびプロセスチャンバ番号を入力することができ、そういうやり方でシーケンスサブルーチン75が動作し、所望のシーケンスにおける選択されたプロセスをスケジュールする。シーケンスサブルーチン75は、(i)使用されているチャンバがあるか否かを決定する為にプロセスチャンバの操作をモニタするステップ、(ii)使用されているチャンバ内で、どんなプロセスが実行されているか決定するステップ、(iii)プロセスチャンバの利用可能性及び実行されるべきプロセスのタイプに基づき所望のプロセスを実行するステップ、を実施するためのプログラムコードを含むことが好ましい。ポーリングのような、プロセスチャンバをモニタする慣例的な方法を使用することができる。どのプロセスが実行されるべきかをスケジュールするとき、シーケンスサブルーチン75は、選択されたプロセス又は要求を入力した各特定ユーザの年齢、またはシステムプログラマーが優先順位スケジュールを決定する為に含めることが望ましい他の関連ファクタとの比較で用いられる、プロセスチャンバの現条件を考慮するように設計可能である。
シーケンスサブルーチン75が、どのプロセスチャンバおよびプロセスセットの組合せが次に実行されるかを決定した後、シーケンスサブルーチン75によって決定されたプロセスセットに応じてプロセスチャンバ10内の複数のプロセスタスクを制御するチャンバマネージャサブルーチン77aーcに、特定のプロセスセットパラメータを渡すことによって設定された、プロセスの実行をシーケンスサブルーチン75がもたらす。チャンバマネージャサブルーチン77aは、選択されたプロセスセットを実行するために必要なチャンバコンポーネントの操作を制御する、様々なチャンバコンポーネントサブルーチンの実行も制御する。
チャンバコンポーネントサブルーチンの例には、基板位置決めサブルーチン80、プロセスガス制御サブルーチン83、圧力制御サブルーチン85、およびプラズマ制御サブルーチン90がある。当業者は、他のチャンバ制御サブルーチンが含み得るのは、プロセスチャンバ10内でどのプロセスが実施されるのに望ましいかに依存すると認識するであろう。操作において、チャンバマネージャサブルーチン77aは、実行されるように設定された特定プロセスに応じて、コンポーネントサブルーチンを選択的にスケジュールしたり呼び出す。チャンバマネージャサブルーチン77aによるスケジュールは、シーケンササブルーチン75により用いられるものと同様の方法で実施され、そのスケジュールにおいて、プロセスチャンバ10とプロセス設定が実行される。典型的には、チャンバマネージャサブルーチン77aは、様々なチャンバコンポーネントをモニタするステップ、どのコンポーネントが実行されるべきプロセスセットの為のプロセスパラメータに基づいて操作される必要があるかを決定するステップ、モニタおよび決定するステップに応じてチャンバコンポーネントサブルーチンを実行させるステップを含む。
特定のチャンバコンポーネントサブルーチンのオペレーションは、図3を参照して説明される。基板配置サブルーチン80は、チャンバコンポーネントを制御するためのプログラムコードを備え、それは基板をペデスタル44上にロードし、そのペデスタルをプロセス位置に移動するために使用される。基板配置サブルーチン80は、他のプロセスが終了した後、マルチチャンバシステムにおけるPECVDリアクタ又は他のリアクタチャンバ等から基板をチャンバ10に移送することを制御してもよい。
プロセスガス制御サブルーチン83は、プロセスガス組成および流量速度を制御するためのプログラムコードを有する。サブルーチン83は、安全遮断弁の開閉位置を制御し、また、マスフローコントローラを上下にそらし、所望のガス流量速度を得る。プロセスガス制御サブルーチン83を含む、全チャンバコンポーネントサブルーチンは、チャンバマネージャサブルーチン77aにより呼び出される。サブルーチン83は、所望のガス流量速度に関連するチャンバマネージャサブルーチンからプロセスパラメータを受け取る。
典型的に、プロセスガス制御サブルーチン83は、ガス供給ラインを開き、反復的に、(i) 必要なマスフローコントローラを読み、(ii) チャンバマネージャサブルーチン77aから受け取った所望の流量に対する読みを比較し、(iii)必要に応じてガス供給ラインの流量速度を調整することによって、動作する。さらに、プロセスガス制御サブルーチン83は、不安全速度に対するガス流量速度をモニタするステップ、不安全状態が検出されるとき安全遮断弁を起動させるステップを含む。
プロセスガス制御サブルーチン83は、ウエーハチャック内の内部通路および外部通路を通る、ヘリウム(He)のような熱伝達ガスの流量も制御する。ガス流量はチャックに基板を熱的に結合する。典型的プロセスにおいて、ウエーハは、層を形成する化学反応及びプラズマによって加熱され、Heはチャックを通じて基板を冷却する。これが、基板上の既存構造に損傷を与える可能性のある温度以下に基板を保つ。
圧力制御サブルーチン85は、チャンバの排気部においてスロットル弁12aの開口部の大きさを調節することにより、チャンバ10内の圧力を制御するプログラムコードを含む。スロットル弁12aの開口部の大きさは、全プロセスガス流量、プロセスチャンバの大きさ、排気システムに対するセットポイント圧力の真空引き(pumping)に関連した、所望レベルに対しチャンバ圧力を制御するために設定される。圧力制御サブルーチン85が呼び出されるとき、望ましい目標となる圧力レベルがチャンバマネージャサブルーチン77aからパラメータとして受け取られる。圧力制御サブルーチン147は、チャンバに接続された1以上の慣例の圧力マノメータを読むことによりチャンバ10内の圧力を測定し、目標圧力に対する測定値を比較し、目標圧力に対応した記憶された圧力テーブルから、比例した、一体的な、特異の(PID)値を得て、圧力テーブルから得られたPID値に応じてスロットル弁12aを調整する。さらに、圧力制御サブルーチン85は、特定の開口部の大きさに対してスロットル弁12aを開閉するために書かれ、所望の圧力を達成する為にチャンバ10を制御する。
プラズマサブルーチン90は、チャンバにおいて発生した磁界のレベルを設定する為に、チャンバ10内のRF発生器32、36、50に印加されたRF電圧パワーレベルを設定するためのプログラムコードを備える。前述したチャンバコンポーネントサブルーチンのようなプラズマ制御サブルーチン90は、チャンバマネージャサブルーチン77aにより呼び出される。
3つの容量性結合形態の各々の利点および誘導結合形態に関する特定明細に沿うHDP−CVD装置のような例は、その開示内容が本願明細書に参考により組み込まれ、Nowak,Fairbairn,Redekerによって、1994年4月26日に出願された、組み合わされた誘導性および容量性結合を備える高密度プラズマCVDという名称の米国特許出願08/234746に記載されている。
上記説明は、例示の目的のものであり、本願発明の範囲を限定すると解釈されるべきものではない。上述したシステムの変形例、例えば、ペデスタル設計、チャンバ設計、RFパワー接続の配置、ガス噴射ノズルにおける変形や他の変形が可能である。本願発明の方法および装置は、どのような特定の堆積システムに限定される必要はない。
II. 例示構造
図4は、本願発明による集積回路200の簡略化された断面図を示す。図示のように、集積回路200は、NMOS,PMOSトランジスタ203、206を含み、シリコンの部分的酸化(LOCOS)或いは他の技術により形成されたフィールド酸化領域220により互いに分離され、電気的に絶縁されている。さらに、トランジスタ203、206は、トランジスタ203、206が両方ともNMOS又は両方ともPMOSであるとき、浅いトレンチ絶縁部(図示せず)によって互いに分離され、電気的に絶縁されてもよい。各トランジスタ203、206は、ソース領域212、ドレイン領域215、ゲート領域218を備える。
プリメタルの絶縁(PMD)層221は、トランジスタ203、206を金属層240から分離し、金属層240およびトランジスタ間にコンタクト224によって形成された接続部を有する。金属層240は、4つの金属層240、242、244、246の一つであり、集積回路200内に含まれている。各金属層240、242、244、246は、それぞれインターメタル絶縁層227、228、229によって、隣接した金属層から分離されている。隣接した金属層は、バイア226によって選択された開口部で接続されている。金属層246の上方に堆積されているのは、平坦化されたパッシベーション層230である。CVD装置5は、例えば、PMD層221、IMD層227、228、229及び/又はパッシベーション層230として用いられる絶縁膜を堆積するために使用されてもよい。
簡略化された集積回路200は、例示の目的の為のものであることが理解されるべきである。当業者は、マイクロプロセッサ用途の特別集積回路(ASICs)、メモリ装置などのような他の集積回路を製造する為に本発明の方法を実施することができるであろう。更に、本願発明は、PMOS、NMOS、CMOS、バイポーラ、バイCMOS装置に適用されてもよい。上述された集積回路200は、4つの金属層を有し、追加はおろか、少ない金属層でさえ有する集積回路でも、本願発明を使用して製造することができる。例示的ウエーハ堆積プロセス、特に、チャンバ10内のPMD用途に対するPSG膜の特別堆積プロセスは、更に、以下の本発明の様々な実施例により説明される。
III. PMD用途のPSG例示的堆積本願発明の実施例によると、例えば、PMD層として用いられる絶縁膜は、幾つか異なるプロセスのどれかを用いて形成されてもよい。PSG膜のプロセスレシピは、本願発明におけるPMD層としての使用に適した絶縁膜の例として、以下に述べられている。好適実施例において、例示的プロセスは、HDP−CVD装置5において実施され、それは誘導結合プラズマを発生させる。
単なる例として、後述のPSG膜堆積レシピは、PMD層として特に有用なPSG膜を形成する能力がある。もちろん、レシピは、PSG膜の所望の品質に依存して変更してもよい。例えば、PH3は、例示レシピ内で使用されるが、使用可能なリン源の他の例には、TEPO(triethylphosphate)、TEPi(triethylphosphite)、TMOP(trimethylphosphate)、TMPi(trimethylphosphite)、および他の類似混合物を含む。SiH4(シラン)を除く、使用可能なシリコン源の他の例には、TEOS又は類似のシリコン源を含み、O2を除く使用可能な酸素源の他の例には、O3又は他の酸素源を含む。以下の説明において、ガス源が用いられ、流量速度はsccm(standardcubic centimeters per minute)で与えられている。他の実施例において、液体源は、バブラー又は液体噴射システムを用いて蒸発させてもよい。好適実施例において、低水分PSG膜は、後述のHDP−CVDシステム5内で行われた、例示的SiH4/PH3/O2/Arプロセスを用いて形成され、良好な間隙充填能性および低応力を備えて平坦化絶縁層が提供される。
例示的プロセスにおいて、基板は図1のHDP−CVDチャンバ10に搬送される。基板が移動する前に、ゲート電極を形成する工程を含む複合プロセスステップが、通常、生じていた。基板は、真空ロックドア(図示せず)を介してチャンバ10にロードされ、ペデスタル44上に配置される。基板が適切に配置されると、プロセスガスがガス噴射ノズル14からプロセスチャンバ10に導入される。プロセスガスは、シリコンのガス源、リンのガス源、酸素のガス源から構成された混合物である。さらに、プロセスガスは、重い不活性ガスのガス源を含み、堆積中、スパッタリング効果を強化してもよい。
好適実施例において、ガス混合物は、SiH4のようなシリコン含有ガス、PH3のようなリン含有ガス、O2のような酸素含有ガス、アルゴンのような不活性ガスから成る。SiH4内で希釈されたPH3は、ガス噴射ノズルから真空チャンバ10へと導入され、その量は、以下に詳述されるように、堆積膜におけるリンの所望の重量パーセント及び所望の堆積速度に依存し、好ましくはチャンバに導入された全てのリン及びシラン(全ハイドライド等)の約10ー50%の範囲、最良には約46%である。全リン/シランガス混合物に対するホスフィンの比は、ホスフィン:ハイドライド比として参照する。全PH3及びSiH4ガス混合物は、好ましくは約30ー70sccm、最適には約50sccmで導入される。O2或いは同様の酸素源の形の酸素は、ガス噴射ノズルから、好ましくは約60ー100sccm、最適には約80sccmで導入される。アルゴンは、約10ー30sccm、最適には20sccmでガス噴射ノズルから導入されるのが好ましい。全ハイドライド(ホスフィン及びシラン)に対する酸素比は、好ましくは約2:1から約1.43:1、最適には約1.6:1である。特定実施例において、PH3、SiH4及びアルゴンは、噴射ノズルの幾つかからチャンバへと導入され、酸素は他の噴射ノズルからチャンバへと導入される。もちろん、同一又は異なる噴射ノズルから異なったガス源の組合せを導入することも可能である。ガス噴射ノズル14を通る真空チャンバ10への全ガス流は、好ましくは約100ー200sccm、最適には約150sccmである。
真空チャンバ10において、約1ミリトール(mtorr)から約100ミリトールの間、好ましくは約1から25ミリトール、最適には約4から8ミリトールで選択された圧力は、プロセスガスの導入と真空ポンプ12と結合したスロットル弁12aによる堆積の間、維持される。チャンバ10内のペデスタル44の温度も、幾つかの実施例によると、膜の堆積中、約400から650℃、好ましくは約450℃で(約0.75から3.0トールの圧力でウエーハチャックでヘリウムのような熱伝達ガスを使用する間)維持される。他の好適実施例において、チャンバ10内のペデスタル44の温度を、約550から650℃の間に維持し、堆積膜の、後のアニールに対する必要性をなくしてもよい。
プロセス条件が設定された後、RFエネルギーは、SRF発生器32によって、螺旋状のコイルアンテナ26に印加され、誘導結合を形成する。SRF発生器32は、このプロセスの間、コイルアンテナ26にRFエネルギーを印加し続ける。SRF発生器32は、ある特定実施例において、約2MHzの周波数で、約1000から4500ワット、好ましくは約2500から4000ワット、最適には約3500ワットで駆動される。PSG膜の堆積中、プラズマは、基板に向かってバイアスされ、BRF発生器50からのRFエネルギーをペデスタル44に容量結合することによって更に励起されるのが好ましい。BRF発生器50は、約1.8MHzの周波数で、約500から2500ワットの間、好ましくは約1300から2000ワットの間、最適には1500から1600ワットの間で駆動される。プラズマは、約700ボルトの正のDC電圧を印加することによって、基板にバイアスされるのが好ましい。これらの条件下で選択された時間間隔の間、プラズマを維持することによってペデスタル44上の基板上にPSG膜が堆積される。もちろん、他の周波数、電圧、およびパワーレベルも、他の実施例に応じて、基板に向かってプラズマをバイアスする為に使用してもよい。
HDP−CVDプラズマの高密度が堆積中のスパッタ効果を促進することが認識されている。スパッタリング効果は、充填される間隙の両側壁上の堆積を削り取り、それによって、HDP−CVD堆積膜の間隙充填性に貢献すると考えられている。BRF用途の為に、ペデスタル44に向かって増加されたイオン衝突は、スパッタリングを強化し、もって、成長膜に、密接に間隔の開いた間隙を良好に充填させる。アルゴンまたは他の代替えの重い不活性ガスを使用することにより、これらのスパッタリング効果は更に促進される。
上記条件により、約2000から2800オングストローム/分の間の速度でPSG膜が堆積される。堆積時間を制御することによって、堆積されるPSG膜の厚さは、簡単に制御することができる。好ましくは、結果として生じるリンは、膜の特定用途に依存して、約1から12重量%の範囲にあり、好ましくは、ある用途においては約4重量%(論理装置に対するようなもの)および他の用途(EEPROM(Electrically-ErasableProgrammable Read-Only Memory)等のメモリ装置に対するようなもの)においては約6から8重量%である。
後のアニーリングが実施されるか否かに拘わらず、堆積されたPSG膜は、後のCMPと両立可能である。より詳細には、密度の高いHDP−CVD膜のCMPエッチング速度は遅く、そのため、更に後述するように、多くの他のタイプの堆積装置を用いて堆積された低密度膜のCMPエッチング速度より、簡単に制御することができる。
幾つかの好適実施例において、堆積されたHDP−CVD PSG膜は、窒素雰囲気中において、約450℃から850℃の範囲にある温度で膜をアニーリングすることにより、更に高密度化されてもよい。このアニーリングステップは、PSG膜においてリンを活性化するのに役立ち、移動イオンを捕捉するゲッタリング性を提供するものである。高密度の、アニールされていないPSG膜、または更に高密度になったアニールされたPSG膜のいずれも、後のCMPステップと両立性があり、更なる平坦化をもたらす。対照的に、HDP−CVDシステムを除く幾つかの他のシステムを用いて堆積された膜は、十分に密度がないことが多く、そのため、高すぎて制御するのが難しいCMPエッチング速度を有するように、後のCMPステップの後では、ボイド又はシームを開放する可能性がある。
他の好適実施例において、HDP−CVD PSG膜は、上述したように、約550から650℃の間に維持され、後のアニーリングの必要性をなくし、後のCMPと両立性がある、ペデスタル44を備えて堆積されてもよい。これらの他の好適実施例をもって、十分に高い温度で堆積されたHDP−CVDPSG膜は、リンを活性化し、後のCMPステップと両立する高密度膜を提供すると共に、アニールステップを要求することなく、ゲッタリング性を提供する。有利なことに、プロセスステップ(アニーリング)は、これらの他の実施例をもって、削除されてもよい。
上記PSGプロセスにおけるパラメータは、クレームを限定すると解釈されるべきではない。例えば、上述した流量の数値は、アプライドマテリアルズ社から利用可能な5200Centura(登録商標)HDP−CVDシステムにおいて、(22リットル全容積を有し、200ミリウエーハに適合した)HDP−CVDチャンバに適用するが、これらの数値は使用されるチャンバのタイプ又は大きさにより異なってもよい。本発明の実施例は、また、150ミリウエーハや300ミリウエーハのような、他のウエーハに対して装着された装置に適用してもよい。当業者は、同様な膜を生産するため、他の化学物質、チャンバパラメータ、条件を使用することができる。
IV. 実験結果本発明の方法の効率性を説明するため、本発明の方法の実施例に応じて、PSG膜を堆積する実験が行われた。PSG膜は、上述した(22リットル容積を有し、200ミリウエーハに適合した)HDP−CVDシステムにおいて、低抵抗P型シリコン基板にわたって堆積された。後述の実験に対し、ここで説明されたプロセス条件は、表示が無い限り、一定のままであった。特に、(全ハイドライド混合物の約46%である、リンを備えた)PH3およびSiH4ガス混合物は、約50sccmの速度でチャンバに導入され、酸素は約80sccmの速度でチャンバに導入され、アルゴンは約20sccmの速度でチャンバに導入された。全ハイドライドに対する酸素の割合は、約1.6:1である。約1.0トールでのヘリウム熱伝達ガスを用い、真空チャンバにおけるペデスタル44の温度は約450℃に維持され、チャンバ内の圧力は約7ミリトールに維持された。誘導結合プラズマは、約3500ワットでソースRF(約2.0MHz)パワーを設定し、約1500から1600ワットでバイアスRF(約1.8MHz)パワーを適用することにより形成された。結果として生じたPSG膜は、表示が無い限り、約6.5重量%のリンを有している。
図5から図10は、表示が無い限り、アニールすることなく、上述した実験的プロセスレシピを用いて堆積されたHDP−CVD PSG膜に対する様々な試験結果を示す。図5は、上述した実験的プロセスレシピを用いる、アニールされていない、堆積されたままのHDP−CVDPSG膜の波数(cm-1)の関数として、吸収度(吸収度ユニット)を示すグラフである。PSG膜の水分含有は、当業者に周知のように、FTIR(FourierTransform Infrared)を用いて測定された。図5に示すように、堆積されたPSG膜は、約1.0トールまたは約3.0トールの熱伝達ガス圧(または裏側圧力)の二つの異なる値で水分吸収度が無いことを示す。図5は、特定実施例に応じてプロセスレシピを用い、堆積されたPSG膜の密度特性を表示する。図5のPSG膜のシリコン及びリン濃度は、図6に示されている。図6は、PSG膜における、深さの関数(μm)として濃度(原子数/cm3)を示すグラフである。ドーパント濃度は、当業者に周知のように、SIMS(SecondaryIon Mass Spectroscopy)を用いて測定された。図6は、他の多くの用途において望ましいPSG膜の厚さにわたってリン濃度が非常に一様であることを示す。
図7は、HDP−CVD PSG膜堆積速度(オングストローム/分)および堆積中、チャンバに導入された全ホスフィン及びシランガス混合物に対するホスフィン濃度の割合(%)との関係を示すグラフである。PSG膜は、約1500ワットに設定されたバイアスRFを有する上述プロセスレシピを用いて堆積された。例示的プロセスレシピに対して上述したように、ホスフィン:ハイドライド比の好適範囲(10から50%)に対し、堆積速度は、約3000から2000オングストローム/分の間の範囲にあり、堆積速度はホスフィン:ハイドライド比が増加するにつれて実質的に線形的に減少する。実験結果は、約3000から2600オングストローム/分の高い堆積速度に対し、ホスフィンハイドライド比は、好ましくは約10から26%の間であることを表示する。
図8は、二つの異なるバイアスRFパワーレベルを用いた、堆積されたPSG膜における、ホスフィン:ハイドライド比およびリン重量%の関係を示す。約10から26%で変化するホスフィン:ハイドライド比に対して、堆積された膜におけるリンの重量%は、約1300ワット(約4.1ワット/cm2に対応する)の「低バイアスRF」パワーレベルに対し、さらに、約1600ワットの「高バイアスRF」パワーレベルに対し、全体的に約2から7重量%の間の範囲にある。図8は、また、バイアスRFパワーレベルを増加することは、堆積されたPSG膜においてホスフィンの重量%が増加することを示す。増加されたバイアスRFパワーレベルは、HDP−CVDプロセスにおけるスパッタリング効果を増加させ、堆積された膜におけるホスフィンの高い取り込みを生じる。より詳細に、図8は、約6.5重量%および約4重量%のリンを有するPSG膜が、約50sccmのハイドライド流量速度で、それぞれ約24%および約15%のホスフィン:ハイドライド比を使用することによって、1600ワットの高バイアスRFパワーレベルで堆積されてもよい、ことを示す。
図9は、ヘリウム熱伝達ガス圧 HeP(トール)によって表示されたように、堆積温度の変化を伴う、実験的プロセスレシピを用いて堆積されたPSG膜の応力(MPa)進化とリン濃度(重量%)を示す。図9で示されるように、一般的に、PSG膜におけるリン含有量は、堆積温度が減少する(すなわち、熱伝達ガス圧が増加し、ペデスタル上のウエーハを冷却する)につれて増加する。より詳細に、約1.0、2.0、3.0、4.0トールの熱伝達ガス圧に対し、対応する堆積されたPSG膜のリン含有量は、約6.0、6.3、6.6、6.9重量%リンである。図9は、また、これらのPSG膜に圧縮応力がかかり、熱伝達ガス圧が増加(すなわち堆積温度が減少)するにつれて、膜に引張応力がかかる傾向を示す。
図10は、温度範囲にわたり、堆積されたPSG膜の熱脱離(thermal desorption)スペクトルを示す。図10のデータは、実験的プロセスレシピであるが、約400℃で用いて堆積されたPSG膜上のTDS(ThermalDesorption Spectroscopy)測定を用いて得られたものである。TDS測定は、HDP−CVDPSG膜上で行われたものだが、それらは温度範囲(0から800℃)にわたり炉の中で堆積された膜を加熱することによって実施され、堆積膜の安定性を示すものである。炉は、測定の最初に約1X10-10トールのベースライン圧力に排気された。図10に示されるように、水(H2O)および水素(H2)は、約500℃の炉の温度で(約400℃で堆積された)HDP−CVDPSG膜から脱気する。HDP−CVD PSG膜に対する図10に示された熱脱離スペクトルは、安定であると示されてきた高品質HDP−CVD USG膜に匹敵するものである。約400℃より低温で堆積されたHDP−CVDPSG膜で行われた同様の実験は、約500℃より低温で脱気が生じることを示した。そのため、約400℃よりも高温で堆積されたHDP−CVD PSG膜は、約500℃よりも高温で脱気されると考えられている。
図11から図13は、後述するように、堆積されてアニールされたHDP−CVD PSG膜に対する様々な試験結果を示す。図11は、アニール温度(℃)の関数として、上記実験的条件下で堆積されたPSG膜の応力(megaPascalMPa)および膜収縮度(%)を示すグラフである。図11に示されるように、堆積されたPSG膜は、圧縮性であり、約25℃から約450℃の間の温度に対し、約ー40MPaから約0MPaの間の範囲にある応力を有する。それから、PSG膜は、膜が約500℃にまで加熱されるにつれて、引き伸ばされる。約500℃より高温で、膜はより圧縮性を増し、応力は約ー135MPaで安定する。図11は、PSG膜が約25℃から約600℃の間のアニール温度に対し低収縮、約600℃を越えるアニール温度に対し約1.0%より高収縮を有することも示す。膜の収縮は、約850℃で安定し、膜の完全な高密度化を示す。
図12は、約0.35μmの幅、約2:1のアスペクト比を有する(最も隣接したゲート電極間の)間隙にわたるPMD層として使用されたPSG膜の間隙充填性を示す、SEM(Secondaryelectron micrograph) である。図12に示されたPSG膜は、上述した実験的条件の下で堆積され、その後、約20分間、約850℃でアニールされた。図12に示されたように、PSG膜は良好な間隙充填性を示す。
図12のPSG膜は、膜において移動電荷ピークが存在しないことを示す図13において示されるように、効率の良いゲッタリング性も提供する。図13は、300℃で実施され、当業者に周知の三角掃引技術(TriangularSweep Voltagetechnique)による測定を示す。試験は、図12に示されたMOSコンデンサ(capacitors)を20分間の正電圧(+100V)に晒し、Si/SiO2接合部で絶縁体中の正移動電荷を蓄積することによって行われた。リニアボルテージランプ(+10Vから−10Vで7V/分)金属/SiO2接合部で移動電荷を蓄積するために、金属電極に印加され、その直後、反対方向に第2リニア電圧ランプが、反対の移動を誘発するために印加された。移動電荷ピークの不存在は、PSG膜が効率良く移動電荷をゲッターしたことを示す。
図14は、PSG膜におけるリンの原子%と、HDP−CVD PSG膜およびHDP−CVD アンドープUSG(珪酸塩ガラス)膜のCMP速度間の比との間の関係を示す。PSG及びUSG膜の両方は、アニールされなかった。1.5μmの標準HDP−CVDUSG膜は、ホスフィンを持たない実験的プロセスレシピに応じて、HDP−CVDを用いて堆積され、(様々のリン含有量の変化を伴っている)1.5μmHDPーCVD PSG膜は、実験的プロセスレシピに応じて堆積された。その後、各膜はCMPによって平坦化された。PSG膜におけるリンの原子%が増加するにつれて、図14に示されるように、PSG膜のCMP速度は増加する。同様の実験は、(図14と比較するために)HDP−CVDUSG膜に関して、(HDP−CVDとは異なるシステムを用いて堆積された)他の膜を実施されたとき、HDP−CVD PSG膜のCMP速度は、BPSG膜のCMP速度よりも、ほぼ50%遅いようにみえた。したがって、HDP−CVDPSGのCMP速度は、より遅く、BPSG膜よりも簡単に制御され、それは一般的に、PMD用途に使用されている。
異なる温度で堆積されたHDP−CVD PSG膜に、当業界で周知のように、測色法(colorimetory)を用いて更なる試験が行われ、HDP−CVD PSG膜の高品質が表示された。両膜は、約350℃(約3トールHePに相当)および約450℃(約1トールHePに相当)で堆積された、6重量%リンの、アニールされていない、HDP−CVDPSG膜であった。350℃で堆積されたHDP−CVD PSG膜の試験は、膜が、検出限界を下回るP23の形でリン、更に、P25の形で約99.7%のリンを含んでいることを示した。400℃で堆積されたHDP−CVDPSG膜の試験は、膜が、検出限界を下回るP23の形でリン、更に、P25の形で約99.8%のリンを含んでいることを示した。約400℃より高温で堆積されたHDP−CVDPSG膜は、P25の形で、より100%に近いリンを含むと思われる。これらの試験は、HDP−CVD膜が、P23の形で著しいリンを有することなく、P25という好ましい形でリンを有することを示した。対照的に、CVD装置の他のタイプを用いて堆積されたPSG膜は、しばしば、P23という形でリンの一部(約5%のオーダー)を有する膜が不当にも生じてしまう。
そのため、CMP平坦化技術が使用される場合、PMD用途に対し、HDP−CVD PSG膜は非常に将来有望なようにみえる。特に、HDP−CVD PSG膜は、緻密であり、高品質膜は低水分および低応力を有する。HDP−CVDPSG膜は、また、高い堆積速度で堆積されてもよく、それにより、高いウエーハスループットが生じる。更に、HDP−CVD PSG膜は、HDP−CVD PSG膜がアニールされるか否かに拘わらず、CMPと両立可能である。
上記説明は、例示的であり、限定されるべきものではないことが理解されよう。上記説明を検討すれば、当業者にとって、多くの実施例が明らかになろう。例という方法で、ここでは発明を主に特定PSGプロセスレシピに関して説明してきたが、そのようにそれらが限定されるものではない。例えば、他の実施例に応じて形成された絶縁膜は、酸素を除く他の酸素源を用いて、またはアルゴンを除く他のスパってリングガスを用いて堆積してもよい。当業者は、本発明のクレームの範囲内にあり絶縁層の堆積の他の等価または代替え的な方法を認識するであろう。
図1は、本発明による、簡略された高密度プラズマ化学蒸着装置の一実施例の垂直断面図である。 図2は、図1の例示的CVDプロセスチャンバの関係で使用される例示的システムモニタのダイアグラムである。 図3は、図1のCVDプロセスチャンバを制御するために使用される、例示的なプロセス制御コンピュータプログラムのフローチャートである。 図4は、本発明の一実施例による、製造された半導体装置の簡略化された断面図である。 図5は、本発明の特定実施例による、実験的プロセスレシピを用いて堆積されたHDPーCVDPSG膜のアニールされていない波数の関数として、吸収度を示すグラフである。 図6は、本発明の特定実施例による、図3のPSG膜において、深さ(μm)の関数として、シリコンとリン濃度(原子/cm3)を示すグラフである。 図7は、本発明の特定実施例による、HDP/CVDPSG膜堆積速度(オングストローム/分)およびホスフィン:水素化合物の比(%)の関係を示すグラフである。 図8は、本発明の特定実施例による、二つの異なるバイアスRFパワーレベルを用いた堆積PSG膜における、ホスフィン:水素化合物の比およびリン重量パーセント間の関係を示す。 図9は、ヘリウム熱伝達ガス圧HeP(torr)によって表示されるように、堆積温度を変更するための、堆積されたPSG膜の応力(MPa)の進化とリン濃度(重量%)を示す。 図10は、温度範囲にわたり堆積されたHDPーCVDPSG膜の熱脱離スペクトルを示す。 図11は、本発明の特定実施例による、アニール温度(℃)の関数として、実験的条件下で堆積されたPSG膜の膜収縮(%)と応力(MPa)を示すグラフである。 図12は、本発明の特定実施例による、約0.25μmの幅、約2:1のアスペクト比を有する、間隙(最も近い隣接ゲート電極)にわたるPMD層として用いられるPSG膜の間隙充填性を示す、二次電子マイクログラフ(SEM)である。 図13は、本発明の特定実施例による、300℃で三角掃引電圧を用いるPSG膜のゲッタリング性を示す。 図14は、PSG膜におけるリンの原子%と、HDPーCVDPSG膜のCMP速度及びHDP−CVDアンドープ珪素ガラス(USG)膜のCMP速度間の比との関係を示す。
5…高密度プラズマ(HDP)CVDシステム、10…真空チャンバ、12…真空ポンプ、12a…スロットル弁、14…ガス噴射ノズル、16…ガス供給マニフォールド、18…ハウジング、20…シーリング、22…側壁、23…排気ライン、24…円盤状シーリング電極、26…コイルアンテナ、27…ライン、28…ガス源、29…混合チャンバ、31…システムコントローラ、32…ソースRF(SRF)発生器、33…メモリ、34…スイッチ、36…バイアスRF(BRF)発生器、37…単一基板コンピュータ(SBC)、40…環ライナ、44…ペデスタル、45…基板、46…スカート、50…BRF発生器、52…スイッチ、56…リッド、58…冷却ジャケット、60…ヒーター、65a…CRTモニタ、65b…ライトペン、70…コンピュータ、73…プロセス選択サブルーチン、75…プロセスシーケンスサブルーチン、77a−c…チャンバマネージャサブルーチン、80…基板位置決めサブルーチン、基板配置サブルーチン、83…プロセスガス制御サブルーチン、85…圧力制御サブルーチン、90…プラズマ制御サブルーチン、141…コンピュータプログラム製品、200…集積回路、203…NMOS,206…PMOSトランジスタ、220…フィールド領域、212…ソース領域、215…ドレイン領域、218…ゲート領域、221…プリメタル絶縁層、224…コンタクト、227、228,229…インターメタル絶縁層、230…パッシベーション層、240、242,244,246…金属層。

Claims (10)

  1. プロセスチャンバ内のペデスタル上に配置された基板上に膜を堆積するプロセスであって、
    前記プロセスチャンバにプロセスガスを導入し、前記プロセスガスは、SiH4、PH3、O2及びアルゴンを含む、ステップ;
    前記ペデスタルを400から650℃の温度範囲に制御するステップ;
    前記プロセスチャンバ内で、1から25ミリトールの圧力範囲に維持するステップ;
    パワーを誘導結合コイルに印加し、前記プロセスチャンバ内の前記プロセスガスから高密度プラズマを形成するステップ;
    前記プラズマを前記基板に向かってバイアスをかけ、前記プラズマのスパッタリング効果を促進させ、前記基板にわたり前記膜を堆積させ、前記膜にPSG膜を含むステップ;
    を備え、前記維持するステップ及び前記印加するステップのうち少なくとも一部が同時に起こる前記プロセス。
  2. 前記印加するステップは、前記誘導結合コイルに2000から3700ワットの範囲のパワーを印加することによって行われる、請求項1記載のプロセス。
  3. 前記バイアスをかけるステップは、1500から2500ワット間の範囲にあるバイアスパワーを容量結合電極に印加することによって行われる、請求項2記載のプロセス。
  4. 前記PSG膜は、350から500℃間の温度で堆積される、請求項3記載のプロセス。
  5. 前記PSG膜は、500から650℃の温度で堆積される、請求項3記載のプロセス。
  6. 前記PSG膜は、4から10ミリトールの圧力で堆積される、請求項2記載のプロセス。
  7. 450から950℃の範囲にあるアニール温度で、前記PSG膜をアニールするステップを更に備える、請求項4記載のプロセス。
  8. 前記PSG膜を化学機械研磨するステップを更に備える、請求項5記載のプロセス。
  9. 基板処理装置であって、
    真空チャンバを形成するためのハウジングと;
    前記真空チャンバ内で選択された圧力を維持するための真空システムと;
    基板を保持し、選択された温度で維持する能力を有する、前記ハウジング内に配置されたペデスタルと;
    前記真空チャンバ内にプロセスガスを導入するためのガス分配システムと;
    前記真空チャンバ内で前記プロセスガスから誘導結合プラズマを生成してスパッタリングを強化するために、前記基板に向かって前記プラズマにバイアスをかけるための、プラズマ発生システムと;
    前記真空システム、前記ペデスタル、前記ガス分配システム、および前記プラズマ発生システムを制御するためのコントローラと;
    前記コントローラに結合され、前記装置の操作を支持して前記基板上にPSG膜を堆積するため、内部に包含されたコンピュータ読取り可能プログラムを有する、コンピュータ可能読取り可能媒体を備えるメモリであって、前記コンピュータ読取り可能プログラムは、前記プロセスガスがSiH4、PH3、O2、アルゴンを含み、前記ガス分配システムが前記チャンバに前記プロセスガスを導入するように制御するための、第1セットコンピュータインストラクション、前記真空システムが前記チャンバにおいて1から25ミリトールの範囲の前記選択された圧力を維持するように制御するための第2セットコンピュータインストラクション、400から650℃の間に前記ペデスタルの前記選択された温度を制御するための第3セットコンピュータインストラクション、前記プラズマ発生システムがパワーを前記誘導結合コイルに印加し、前記チャンバ内で前記プロセスガスからプラズマを形成するように制御するための第4セットコンピュータインストラクション、前記プラズマ発生システムが前記プラズマを前記基板に向かってバイアスをかけ、もって、前記プラズマのスパッタリング効果を促進させるように制御するための第5セットコンピュータインストラクション、前記第2セットコンピュータインストラクション及び前記第4セットコンピュータインストラクションのうち少なくとも一部を同時に起こらせる第6セットコンピュータインストラクションを含む、前記メモリと;
    を備える、前記基板処理装置。
  10. 前記パワーは、2000から3700ワットの範囲にあり、前記バイアスパワーは、1500から2500ワットの範囲にある、請求項9記載の装置。
JP2009000278A 1997-02-19 2009-01-05 Hdp−cvdpsg膜の形成方法および装置 Expired - Fee Related JP4990299B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/803041 1997-02-19
US08/803,041 US6013584A (en) 1997-02-19 1997-02-19 Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP10054273A Division JPH1161409A (ja) 1997-02-19 1998-02-19 先行プリメタル絶縁層用途に使用されるhdpーcvd psg膜の形成方法及び装置

Publications (2)

Publication Number Publication Date
JP2009164613A JP2009164613A (ja) 2009-07-23
JP4990299B2 true JP4990299B2 (ja) 2012-08-01

Family

ID=25185426

Family Applications (2)

Application Number Title Priority Date Filing Date
JP10054273A Pending JPH1161409A (ja) 1997-02-19 1998-02-19 先行プリメタル絶縁層用途に使用されるhdpーcvd psg膜の形成方法及び装置
JP2009000278A Expired - Fee Related JP4990299B2 (ja) 1997-02-19 2009-01-05 Hdp−cvdpsg膜の形成方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP10054273A Pending JPH1161409A (ja) 1997-02-19 1998-02-19 先行プリメタル絶縁層用途に使用されるhdpーcvd psg膜の形成方法及び装置

Country Status (3)

Country Link
US (1) US6013584A (ja)
JP (2) JPH1161409A (ja)
TW (1) TW373029B (ja)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6048740A (en) * 1998-11-05 2000-04-11 Sharp Laboratories Of America, Inc. Ferroelectric nonvolatile transistor and method of making same
JP3251554B2 (ja) * 1998-12-04 2002-01-28 キヤノン販売株式会社 成膜方法及び半導体装置の製造方法
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6372664B1 (en) * 1999-10-15 2002-04-16 Taiwan Semiconductor Manufacturing Company Crack resistant multi-layer dielectric layer and method for formation thereof
JP2003533335A (ja) 2000-05-22 2003-11-11 オーバス メディカル テクノロジーズ インク. 自己拡張形ステント
US6364958B1 (en) 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6479385B1 (en) 2000-05-31 2002-11-12 Taiwan Semiconductor Manufacturing Company Interlevel dielectric composite layer for insulation of polysilicon and metal structures
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
JP4847671B2 (ja) * 2000-10-19 2011-12-28 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 誘導結合プラズマを用いて基板をエッチングする装置および方法
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6444534B1 (en) 2001-01-30 2002-09-03 Advanced Micro Devices, Inc. SOI semiconductor device opening implantation gettering method
US6376336B1 (en) 2001-02-01 2002-04-23 Advanced Micro Devices, Inc. Frontside SOI gettering with phosphorus doping
US6670259B1 (en) 2001-02-21 2003-12-30 Advanced Micro Devices, Inc. Inert atom implantation method for SOI gettering
US6958264B1 (en) * 2001-04-03 2005-10-25 Advanced Micro Devices, Inc. Scribe lane for gettering of contaminants on SOI wafers and gettering method
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
US6716476B2 (en) * 2001-09-21 2004-04-06 Dalsa Semiconductor Inc. Method of depositing an optical quality silica film by PECVD
US6461966B1 (en) 2001-12-14 2002-10-08 Taiwan Semiconductor Manufacturing Company Method of high density plasma phosphosilicate glass process on pre-metal dielectric application for plasma damage reducing and throughput improvement
JP3975099B2 (ja) * 2002-03-26 2007-09-12 富士通株式会社 半導体装置の製造方法
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6667504B1 (en) * 2003-03-24 2003-12-23 International Business Machines Corporation Self-aligned buried strap process using doped HDP oxide
US7045849B2 (en) 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7585744B2 (en) * 2003-12-08 2009-09-08 Freescale Semiconductor, Inc. Method of forming a seal for a semiconductor device
US7569193B2 (en) * 2003-12-19 2009-08-04 Applied Materials, Inc. Apparatus and method for controlled combustion of gaseous pollutants
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
KR100533646B1 (ko) * 2004-05-11 2005-12-05 동부아남반도체 주식회사 피엠디막 형성 방법
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7223706B2 (en) * 2004-06-30 2007-05-29 Intersil Americas, Inc. Method for forming plasma enhanced deposited, fully oxidized PSG film
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7736599B2 (en) * 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
WO2007053626A2 (en) * 2005-10-31 2007-05-10 Applied Materials, Inc. Process abatement reactor
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7678665B2 (en) * 2007-03-07 2010-03-16 Freescale Semiconductor, Inc. Deep STI trench and SOI undercut enabling STI oxide stressor
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4985411B2 (ja) * 2008-01-08 2012-07-25 住友電気工業株式会社 半導体光素子を作製する方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
CN102417306B (zh) * 2011-09-08 2013-10-09 上海华力微电子有限公司 一种解决高磷浓度psg薄膜表面雾状颗粒的工艺方法
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
DE102012201953A1 (de) * 2012-02-09 2013-08-14 Singulus Technologies Ag Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP6054249B2 (ja) * 2013-05-27 2016-12-27 住友重機械工業株式会社 成膜装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103560080A (zh) * 2013-11-13 2014-02-05 上海华力微电子有限公司 降低高密度等离子体磷硅玻璃颗粒的方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6324800B2 (ja) * 2014-05-07 2018-05-16 東京エレクトロン株式会社 成膜方法および成膜装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10199388B2 (en) 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112151450B (zh) 2019-06-26 2023-08-08 联华电子股份有限公司 半导体结构及其形成方法
CN112045419B (zh) * 2020-07-28 2021-10-26 江苏衡云智能科技有限公司 一种应用于地磅焊接的移动平台及使用方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3481781A (en) * 1967-03-17 1969-12-02 Rca Corp Silicate glass coating of semiconductor devices
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5747711A (en) * 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
DE3173066D1 (en) * 1980-08-29 1986-01-09 Fujitsu Ltd Method of forming phosphosilicate glass films
US4443489A (en) * 1983-05-10 1984-04-17 United Technologies Corporation Method for the formation of phosphorous-nitrogen based glasses useful for the passivation of III-V semiconductor materials
US4486465A (en) * 1983-09-30 1984-12-04 Motorola, Inc. Method for deposition on a semiconductor wafer
JPS632330A (ja) * 1986-06-23 1988-01-07 Fujitsu Ltd 化学気相成長方法
US4948757A (en) * 1987-04-13 1990-08-14 General Motors Corporation Method for fabricating three-dimensional microstructures and a high-sensitivity integrated vibration sensor using such microstructures
IT1231887B (it) * 1987-10-09 1992-01-15 Sgs Microelettronica Spa Procedimento per la produzione di circuiti integrati monolitici
US4830974A (en) * 1988-01-11 1989-05-16 Atmel Corporation EPROM fabrication process
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5112776A (en) * 1988-11-10 1992-05-12 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material and flowing while depositing
JP2875341B2 (ja) * 1990-05-23 1999-03-31 沖電気工業株式会社 プラズマcvd装置
DE69026503T2 (de) * 1990-07-31 1996-11-14 Ibm Verfahren zur Herstellung von Bauelementen mit übereinander angeordneten selbstjustierten Feldeffekttransistoren aus Polisilizium und sich daraus ergebende Struktur
JPH0719777B2 (ja) * 1990-08-10 1995-03-06 株式会社半導体プロセス研究所 半導体装置の製造方法
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
JPH04320338A (ja) * 1991-04-19 1992-11-11 Fujitsu Ltd Psgの気相成長に関わる半導体装置の製造方法
US5747389A (en) * 1991-04-30 1998-05-05 Intel Corporation Crack resistant passivation layer
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
DE69422079T2 (de) * 1993-04-05 2000-05-25 Canon Kk Herstellungsverfahren für optischen Aufzeichnungsträger
US5409743A (en) * 1993-05-14 1995-04-25 International Business Machines Corporation PECVD process for forming BPSG with low flow temperature
JPH0773997A (ja) * 1993-06-30 1995-03-17 Kobe Steel Ltd プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling

Also Published As

Publication number Publication date
JPH1161409A (ja) 1999-03-05
US6013584A (en) 2000-01-11
JP2009164613A (ja) 2009-07-23
TW373029B (en) 1999-11-01

Similar Documents

Publication Publication Date Title
JP4990299B2 (ja) Hdp−cvdpsg膜の形成方法および装置
US7674727B2 (en) Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6070550A (en) Apparatus for the stabilization of halogen-doped films through the use of multiple sealing layers
US6399489B1 (en) Barrier layer deposition using HDP-CVD
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US7335609B2 (en) Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7528051B2 (en) Method of inducing stresses in the channel region of a transistor
US7087497B2 (en) Low-thermal-budget gapfill process
US20050136684A1 (en) Gap-fill techniques
KR100696040B1 (ko) Hdp-fsg 박막과 배리어층의 접착력을 강화시키는 방법
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US20030049388A1 (en) Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
EP1711962A2 (en) Limited thermal budget formation of pre-metal dielectric layers
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US7674684B2 (en) Deposition methods for releasing stress buildup
US20020133258A1 (en) Nitrogen doping of FSG layer
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US7205205B2 (en) Ramp temperature techniques for improved mean wafer before clean
KR20010098585A (ko) 구리 다마신 집적회로를 위한 고밀도플라즈마-플루오르화규산염 유리 공정

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120404

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120501

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees