DE60130092T2 - Verfahren zum Erwärmen von Plättchen - Google Patents

Verfahren zum Erwärmen von Plättchen Download PDF

Info

Publication number
DE60130092T2
DE60130092T2 DE60130092T DE60130092T DE60130092T2 DE 60130092 T2 DE60130092 T2 DE 60130092T2 DE 60130092 T DE60130092 T DE 60130092T DE 60130092 T DE60130092 T DE 60130092T DE 60130092 T2 DE60130092 T2 DE 60130092T2
Authority
DE
Germany
Prior art keywords
substrate
chamber
plasma
gas
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60130092T
Other languages
English (en)
Other versions
DE60130092D1 (de
Inventor
Kent Rossman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE60130092D1 publication Critical patent/DE60130092D1/de
Publication of DE60130092T2 publication Critical patent/DE60130092T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Liquid Crystal Substances (AREA)
  • Medicines Containing Plant Substances (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf die Herstellung von integrierten Schaltungen auf einem Substrat. Insbesondere bezieht sich die Erfindung auf ein Verfahren und eine Vorrichtung zur Verminderung der rückseitigen Kontaminationen von Substraten während der Verarbeitung.
  • Einer der primären Schritte bei der Herstellung von modernen Halbleitervorrichtungen ist die Ausbildung einer dünnen Schicht auf einem Halbleitersubstrat durch chemische Reaktion von Gasen. Solch ein Abscheidungsverfahren wird im Allgemeinen als chemische Abscheidung aus der Dampfphase („CVD") bezeichnet. Herkömmliche, thermische CVD-Verfahren liefern Reaktionsgase an eine Substratoberfläche, wo Wärme induzierte, chemische Reaktionen stattfinden, um eine gewünschte Schicht zu erzeugen. Plasma verstärkte CVD („PECVD")-Techniken fördern andererseits die Anregungen und/oder Dissoziation der Reaktionsgase durch die Anwendung von Hochfrequenz-(„HF")Energie auf eine Reaktionszone einer der Substratoberfläche, wodurch ein Plasma erzeugt wird. Die hohe Reaktionsfähigkeit der Spezies in dem Plasma reduziert die Energie, die erforderlich sind, damit eine chemische Reaktion stattfindet, und sie senkt damit die Temperaturen ab, die für solche CVD-Verfahren im Vergleich zu herkömmlichen, thermischen CVD-Verfahren erforderlich ist. Diese Vorteile werden weiter durch Hochdichteplasma-(„HDP")CVD-Techniken ausgenutzt, bei denen ein dichtes Plasma bei einem niedrigen Vakuumdruck ausgebildet wird, so dass die Plasmaspezies noch höher reaktiv werden. „Hohe Dichte" bedeutet in diesem Zusammenhang eine Ionendichte, die gleich oder größer als 1011 Ionen/cm3 ist.
  • Weil diese Verfahren bei der präzisen Herstellung von Vorrichtungen mit kleinen Abmessungen verwendet werden, ist es besonders erwünscht, das Auftreten einer Beschädigung an dem Substrat während der Verarbeitung zu begrenzen. Im Allgemeinen werden Siliziumsubstrate, die für die Verarbeitung verwendet werden, auf einem Trageteil, der typischerweise aus Aluminiumoxid besteht, in einer Verarbeitungskammer positioniert. Das Substrat wird bei Verfahren, bei der es mit dem Plasma aufgeheizt wird, das typischerweise eine Temperatur von 400–800°C hat, einer Ausdehnung unterworfen. Während die Wärme des Plasmas auch bewirkt, dass der Aluminiumoxidtrageteil sich ausdehnt, kann ein erheblicher Unterschied in dem Maß der Ausdehnung des Siliziumsubstrats im Vergleich zu der des Aluminiumoxidtrageteils vorhanden sein. Dies beruht darauf, dass das Aluminiumoxid einen niedrigen thermischen Ausdehnungskoeffizienten hat als das Silizium (oder die meisten anderen Halbleiter), und weil die Aluminiumoxid-Oberflächenbeschichtung aktiv auf 65°C oder nahe zu diesem Wert gekühlt wird. Die unterschiedlichen Ausdehnungen des Substrats und des Trageteils können dazu führen, dass auf der Seite des Substrats, die in Kontakt mit dem Trageteil stehen, Kratzer erzeugt werden. In einigen Fällen kann der Aluminiumoxidtrageteil mit einer SiO2-Schicht bedeckt sein. Die Temperaturänderungen, die sich aus der Plasmaaufheizung ergeben, können auf ähnliche Weise ein Beschädigung an der Schicht verursachen, so dass einige SiO2 Flocken an der Rückseite des Substrats haften bleiben können. Bei der weiteren Verarbeitung des Substrats können die Flocken von dem Substrat auf die Vorderseite eines anderen Substrats herunter fallen, so dass die gesamte Ausbeute der Vorrichtung reduziert wird.
  • Ausführungsbeispiele der Erfindung sind auf ein Verfahren zur Vorbereitung eines Substrats gerichtet, durch das das Niveau der Kontamination der Rückseite des Substrats reduziert wird. Das Substrat wird in einer Kammer positioniert, die einen Substrataufnahmeteil hat, jedoch an einer Stelle, die nicht auf dem Substrataufnahmeteil liegt. Eine Gasströmung wird an die Kammer geliefert, aus der ein Plasma gezündet wird, um das Substrat aufzuheizen. Nachdem das Substrat aufgeheizt worden ist, wird es zu dem Substrataufnahmeteil zur Verarbeitung bewegt. In einem Ausführungsbeispiel ist das Plasma ein Hochdichteplasma.
  • In gewissen Ausführungsbeispielen wird das Substrat in der Kammer dadurch positioniert, dass es auf eine Vielzahl von Hebestiften gelegt wird, die elektrisch leitfähig sein können. Das Substrat kann dann zu dem Substrataufnahmeteil bewegt werden, wenn es für die Verarbeitung bereit ist, indem die Hebestifte zurückgezogen werden.
  • In einigen Ausführungsbeispielen wird die Temperatur des Substrats überwacht, wobei das Substrat zu dem Substrataufnahmeteil bewegt wird, wenn es eine vorgegebene Temperatur erreicht. Diese vorgegebene Temperatur kann die Verarbeitungstemperatur sein, bei der das Substrat verarbeitet wird. Die Substrattemperatur kann durch Erfassung der Infrarotemission überwacht werden. In einem anderen Ausführungsbeispiel wird das Substrat zu dem Substrataufnahmeteil bewegt, nachdem eine vorgegebene Zeit seit der Zündung des Plasmas verstrichen ist.
  • Die Verfahren der vorliegenden Erfindung können in einem computerlesbaren Speichermedium verwirklicht sein, das ein computerlesbares Programm darin enthält, um den Betrieb eines Substratverarbeitungssystems zu steuern. Solch ein System kann eine Verarbeitungskammer, ein Plasmageneratorsystem, einen Substrathalter, ein Gasabgabesystem und einen Systemcontroller umfassen. Das computerlesbare Programm enthält Instruktionen, um das Substratverarbeitungssystem zu betreiben, um einen dünnen Film auf einem Substrat auszubilden, das in der Verarbeitungskammer entsprechenden den oben beschriebenen Ausführungsbeispielen angeordnet ist.
  • Ein weiteres Verständnis der Art und der Vorteile der vorliegenden Erfindung kann unter Be zugnahme auf die restlichen Teile der Beschreibung und der Zeichnungen realisiert werden.
  • In den Figuren können ähnliche Komponenten und/oder Merkmale die gleichen Bezugszeichen haben. Wenn in der detaillierten Beschreibung auf eine Figur Bezug genommen wird, ohne einen speziellen Teil anzugeben, soll die Bezugnahme sich insgesamt auf alle Unterteile der Figur beziehen.
  • 1A ist ein vereinfachtes Diagramm eines Ausführungsbeispiels eines chemischen Dampfabschaltungssystems mit Hochdichteplasma entsprechend der vorliegenden Erfindung.
  • 1B ist ein vereinfachter Querschnitt eines Gasrings, der im Zusammenhang mit der beispielhaften CVD-Verarbeitungskammer von 1A verwendet werden kann.
  • 1C ist ein vereinfachtes Diagramm eines Monitors und eines Lichtzeigers, die im Zusammenhang mit der exemplarischen CVD-Verarbeitungskammer von 1A verwendet werden können.
  • 1D ist ein Flussdiagramm eines exemplarischen Prozesssteuerungs-Computerprogrammprodukts, das zur Steuerung der exemplarischen CVD-Verarbeitungskammer von 1A verwendet wird.
  • 2(a) ist ein vereinfachtes Diagramm, das die Position eines Substrats und der Hebestifte in einer Ladeposition zeigt.
  • 2(b) ist ein vereinfachtes Diagramm, das die Position eines Substrats und der Hebestifte in einer Position vor der Verarbeitung zeigt.
  • 2(c) ist ein vereinfachtes Diagramm, das die Position eines Substrats und der Hebestifte in einer Verarbeitungsposition zeigt; und
  • 3 ist ein Flussdiagramm, das die Schritte in einem Ausführungsbeispiel der Erfindung zeigt.
  • I. Einführung
  • Ausführungsbeispiele der vorliegenden Erfindung sind auf ein Verfahren und eine Vorrichtung zum Reduzieren des Auftretens einer Kontamination auf der Substratrückseite gerichtet. Insbesondere, wenn die Ausführungsbeispiele der vorliegenden Erfindung einen Satz von Hebestiften, um das Substrat oberhalb des Substrataufnahmeteils zu halten, während es durch das Plasma aufgeheizt wird. Die Hebestifte sind aus einem Material hergestellt, das eine elektrische Leitfähigkeit hat, die ausreicht, um jegliche Ladungsspeicherung von dem Plasma auszugleichen, jedoch nicht so elektrisch leitfähig ist, dass eine Funkenbildung aus dem Plasma erzeugt wird. Der Wafer kann durch Strahlung und durch eine kleine Menge an Wärmeleitfähigkeit durch die leitfähigen Hebestifte gekühlt werden. In dem Fall von höheren Kammer-Druckwerten kann eine geringe Menge von Konvektions- und/oder Leitfähigkeitswärmeübertragung mit den Gasen stattfinden, die das Plasma tragen.
  • II. Exemplarisches Substratverarbeitungssystem
  • 1 zeigt ein Ausführungsbeispiel eines Abscheidungssystems 10 aus der Gasphase mit Hochdichteplasma (HDP-CVD), in dem ein Substrat gemäß der vorliegenden Erfindung verarbeitet werden kann. Das System 10 umfasst eine Kammer 13, ein Vakuumsystem 70, ein Plasmaquellensystem 80A, ein Plasmavorspannungssystem 80B, ein Gasabgabesystem 33 und ein Reinigungssystem 50 mit entfernt erzeugtem Plasma.
  • Der obere Teil der Kammer 13 umfasst einen Dom 14, der aus einem keramischen, dielektrischen Material hergestellt ist, beispielsweise Aluminiumoxid oder Aluminiumnitrid. Der Dom 14 bildet eine obere Grenze eines Plasmaverarbeitungsbereichs 16. Der Plasmaverarbeitungsbereich 16 ist an der Unterseite durch die Oberfläche eines Substrats 17 und einen Substrattrageteil 18 begrenzt.
  • Eine Heizplatte 23 und eine kalte Platte 24 sind über dem Dom 14 angeordnet und thermisch mit ihm gekoppelt. Die Heizplatte 23 und die kalte Platte 24 ermöglichen die Steuerung der Domtemperatur innerhalb etwa ± 10°C über einen Bereich von 100°C bis 200°C. Dadurch wird die Optimierung der Domtemperatur für verschiedene Verfahren ermöglicht. Beispielsweise kann es erwünscht sein, den Dom bei einer höheren Temperatur für der Reinigungs- und Ätzverfahren als für Abscheidungsverfahren zu halten. Eine genaue Steuerung der Domtemperatur reduziert auch die Flocken oder Teilchenzahl in der Kammer und verbessert die Adhäsion zwischen der abgeschiedenen Schicht und dem Substrat.
  • Der untere Teil der Kammer 13 umfasst einen Körperteil 22, der die Kammer mit dem Vakuumsystem verbindet. Ein Basisteil 21 des Substrattrageteils 18 ist auf dem Körperteil 22 montiert und bildet eine kontinuierliche Innenfläche davon. Substrate werden durch eine Roboterschaufel (nicht gezeigt) durch eine Einsetz-Entnahmeöffnung (nicht gezeigt) in der Seite der Kammer 13 in die Kammer 13 hinein und aus dieser heraus transferiert. Hebestifte (in 2 gezeigt) werden unter der Steuerung eines Motors (nicht gezeigt) angehoben und abgesenkt, um das Substrat zu verschiedenen Positionen innerhalb der Kammer 13 zu bewegen. Die Hebestifte können so konfiguriert sein, dass sie das Substrat von der Roboterschaufel an einer oberen Ladeposition 57 in eine Vorverarbeitungsposition 58 bewegt, wo, wie unten erläutert wird, das Substrat für die Verarbeitung vorbereitet wird. Danach können die Hebestifte das Substrat zu einer tieferen Verarbeitungsposition 56 bewegen, in der das Substrat auf einem Substrataufnahmeteil 19 des Substrattrageteils 18 platziert wird. Der Substrataufnahmeteil 19 umfasst einen elektrostatischen Halter 20, der das Substrat während der Substratverarbeitung an dem Substrathalteteil 18 fixiert. In einem bevorzugten Ausführungsbeispiel ist der Substrattrageteil 18 aus Aluminiumoxid oder Aluminiumkeramikmaterial hergestellt.
  • Das Vakuumsystem 70 umfasst einen Drosselkörper 25, der ein Zweiklappen-Drosselventil 26 enthält und an einem Torventil 27 und einer Turbomolekularpumpe 28 befestigt ist. Es ist zu beachten, dass der Drosselkörper 25 eine minimale Behinderung für die Gasströmung bietet und ein symmetrisches Pumpen gestattet. Das Torventil 27 kann die Pumpe 28 von dem Drosselkörper 25 trennen, und sie kann auch den Kammerdruck durch Einschränkung der Abgasströmungskapazität steuern, wenn das Drosselventil 26 voll geöffnet ist. Die Anordnung des Drosselventils, des Torventils und der Turbomolekularpumpe gestatten eine genaue und stabile Steuerung der Kammerdruckwerte von zwischen etwa 1 Millitorr bis zu etwa 2 Torr.
  • Das Plasmaquellensystem 80A umfasst eine obere Spule 29 und eine seitliche Spule 30, die auf dem Dom 14 montiert sind. Eine symmetrische Erdabschirmung (nicht gezeigt) reduziert die elektrische Kopplung zwischen den Spulen. Die obere Spule 29 wird durch einen oberen HF-(SRF)Quellengenerator 31A mit Strom versorgt, während die seitliche Spule 30 durch einen seitlichen SRF-Generator 31B mit Strom versorgt wird, wodurch unabhängige Stromniveaus und Frequenzen bei dem Betrieb jeder Spule möglich sind. Das Zweispulensystem gestattet die Steuerung der radialen Ionendichte in der Kammer 13, wodurch die Gleichförmigkeit des Plasmas verbessert wird. Die seitliche Spule 30 und die obere Spule 29 werden typischerweise induktiv angetrieben, was keine komplementäre Elektrode erfordert. In einem speziellen Ausführungsbeispiel liefert der obere HF-Quellengenerator 31A bis zu 5000 Watt HF-Leistung bei nominal 2 MHz, und der seitliche HF-Quellengenerator 31B liefert bis zu 5000 Watt HF-Leistung bei nominal 2 MHz. Die Betriebsfrequenzen der oberen und seitlichen HF-Generatoren können gegenüber der nominalen Betriebsfrequenz (beispielsweise auf 1,7–1,9 MHz und 1,9–2,1 MHz respektive) versetzt sein, um den Wirkungsgrad bei der Plasmaerzeugung zu verbessern.
  • Ein Vorspannungs-Plasmasystem 80B umfasst einen HF-(„BHF")-Vorspannungsgenerator 31C und ein Vorspannungs-Abstimmungsnetzwerk 32C. Das Vorspannungs-Plasmasystem 80B koppelt den Substratteil 17 kapazitiv mit dem Körperteil 22, die als komplementäre Elektroden wirken. Das Vorspannungs-Plasmasystem 80B dient dazu, den Transport von Plasmaspezies (beispielsweise Ionen), die durch das Plasquellenmasystem 80A erzeugt werden, zu der Oberfläche des Substrats zu verbessern. In einem speziellen Ausführungsbeispiel liefert der HF-Generator bis zu 5000 Watt HF-Leistung bei 13,56 MHz.
  • Die HF-Generatoren 31A und 31B umfassen digital gesteuerte Synthesizer und arbeiten über einen Frequenzbereich zwischen etwa 1,8 bis etwa 2,1 MHz. Jeder Generator umfasst eine HF- Steuerschaltung (nicht gezeigt), die die von der Kammer und der Spule zurück zu dem Generator reflektierte Leistung misst und die Betriebsfrequenz anpasst, um die niedrigste, reflektierte Leistung zu erhalten, wie einem Durchschnittsfachmann verständlich ist. Die HF-Generatoren sind typischerweise so ausgelegt, dass sie an einer Last mit einer charakteristischen Impedanz von 50 Ohm arbeiten. Die HF-Leistung kann von Lasten reflektiert werden, die eine unterschiedliche, charakteristische Impedanz von der des Generators haben. Dies kann die auf die Last übertragene Leistung reduzieren. Zusätzlich kann die von der Last zurück zu dem Generator reflektierte Leistung eine Überlast erzeugen und den Generator beschädigen. Weil die Impedanz eines Plasmas in einem Bereich von weniger als 5 Ohm bis über 900 Ohm unter anderem in Abhängigkeit von der Plasmaionendichte liegen kann, und weil die reflektierte Leistung eine Funktion der Frequenz sein kann, wird durch die Einstellung der Generatorfrequenz entsprechend der reflektierten Leistung die Leistung erhöht, die von dem HF-Generator auf das Plasma übertragen wird, und der Generator wird geschützt. Ein anderer Weg, um die reflektierte Leistung zu reduzieren und den Wirkungsgrad zu verbessern, ist ein Abstimmungsnetzwerk.
  • Die Abstimmungsnetzwerke 32A und 32B stimmen die Ausgangsimpedanz der Generatoren 31A und 31B mit ihren entsprechenden Spulen 29 und 30 ab. Die HF-Steuerschaltung kann beide Abstimmungsnetzwerke dadurch abstimmen, dass der Wert der Kondensatoren in den Abstimmungsnetzwerken so geändert wird, dass der Generator auf die Last abgestimmt wird, wenn die Last sich ändert. Die HF-Steuerschaltung kann ein Abstimmungsnetzwerk abstimmen, wenn die Last, die von der Last zu dem Generator zurück reflektiert wird, eine gewisse Grenze übersteigt. Ein Weg, um eine konstante Abstimmung bereitzustellen und die HF-Steuerschaltung effektiv daran zu hindern, dass Abstimmungsnetzwerk zu stimmen, besteht darin, die Grenze für die reflektierte Leistung über einen erwarteten Wert der reflektierten Leistung hinaus einzustellen. Dadurch wird die Stabilisierung eines Plasmas unter einigen Bedingungen unterstützt, indem das Abstimmungsnetzwerk in seinem letzten Zustand konstant gehalten wird.
  • Andere Maßnahmen können ebenfalls die Stabilisierung eines Plasmas unterstützen. Beispielsweise kann die HF-Steuerschaltung verwendet werden, um die an die Last (Plasma) gelieferte Leistung zu bestimmen, und sie kann die Generatorausgangsleistung erhöhen oder vermindern, um die abgelieferte Leistung im Wesentlichen während der Abscheidung einer Schicht konstant zu halten.
  • Ein Gasabgabesystem 33 liefert Gase von mehreren Quellen 34A34F zur Verarbeitung des Substrats über Gasversorgungsleitungen 38 (von denen einige gezeigt sind) an die Kammer. Wie es für den Fachmann zu verstehen ist, variieren die tatsächlichen Quellen, die für die Quellen 34A34F verwendet werden, und die tatsächlichen Verbindungen der Versorgungsleitung 38 zu der Kammer je nach den Abscheidungs- und Reinigungsverfahren, die in der Kammer ausgeführt werden. Die Gase werden durch einen Gasring 37 und/oder eine obere Düse 45 in die Kammer 33 eingeführt. 18 ist eine vereinfachte, teilweise Schnittdarstellung der Kammer 13, die zusätzliche Details des Gasrings 37 zeigt.
  • In einem Ausführungsbeispiel liefern erste und zweite Gasquellen 34A und 34B und erste und zweite Gasströmungscontroller 35A' und 35B' Gas über die Gasversorgungsleitungen 38 (von denen nur einige gezeigt sind) an das Ringplenum 36 in dem Gasring 37. Der Gasring 37 hat eine Vielzahl von Quellgasdüsen 39 (von denen nur einige zum Zwecke der Darstellung gezeigt sind), die eine gleichförmige Gasströmung über das Substrat liefern. Die Düsenlänge und der Düsenwinkel können gemindert werden, um das Gleichförmigkeitsprofil und den Gasnutzungs-Wirkungsgrad für ein spezielles Verfahren in einer individuellen Kammer abstimmen zu können. In einem bevorzugten Ausführungsbeispiel hat der Gasring 37 zwölf Quellgasdüsen, die aus Aluminiumoxidkeramik hergestellt sind.
  • Der Gasring 37 hat auch eine Vielzahl von Oxidationsgas-Düsen 40 (von denen nur einige gezeigt sind), die in einem bevorzugten Ausführungsbeispiel koplanar mit oder kürzer als die Quellgasdüsen 39 sind, und in einem Ausführungsbeispiel Gas von dem Körperplenum 41 empfangen. In einigen Ausführungsbeispielen ist es erwünscht, die Quellgase und die Oxidationsgase vor dem Einspritzen des Gases in die Kammer 13 nicht zu mischen. In anderen Ausführungsbeispielen können das Oxidationsgas und das Quellgas vor dem Einspritzen der Gase in die Kammer 13 dadurch gemischt werden, dass Öffnungen (nicht gezeigt) zwischen dem Körperplenum 41 und dem Gasringplenum 36 vorgesehen werden. In einem Ausführungsbeispiel liefern dritte und vierte Gasquellen 34C und 34D und dritte und vierte Gasströmungscontroller 35C und 35D' Gas über Gasversorgungsleitungen 38 zu dem Körperplenum. Zusätzliche Ventile, beispielsweise 43B (andere Ventile sind nicht gezeigt) können das Gas von Strömungscontrollers zu der Kammer absperren.
  • In Ausführungsbeispielen, wo entflammbare, toxische oder korrosive Gase verwendet werden, kann es erwünscht sein, in den Gasversorgungsleitungen verbleibendes Gas nach der Abscheidung zu eliminieren. Das kann durch Verwendung eines Drei-Wege-Ventils, beispielsweise eines Ventils 43B, erreicht werden, um die Kammer 13 von der Versorgungsleitung 38A zu isolieren und die Versorgungsleitung 38A beispielsweise zu der Vakuumgasleitung 44 zu entlüften. Wie in 1A gezeigt ist, können andere ähnliche Ventile, beispielsweise 43A und 43B, in den anderen Gasversorgungsleitungen enthalten sein. Solche Drei-Wege-Ventile können so nah an der Kammer 13 wie praktisch möglich angeordnet werden, um das Volumen der unbelüfteten Gasversorgungsleitung (zwischen dem Drei-Wege-Ventil und der Kammer) auf ein Minimum herabzusetzen. Zusätzlich können Zwei-Wege-Ventile (Ein – Aus – Ventil) (nicht gezeigt) zwischen einem Massenströmungscontroller („MFC” = mass flow controller) und der Kammer oder zwischen einer Gasquelle und dem MFC platziert werden.
  • Bezug nehmend wiederum auf 1A hat die Kammer 13 eine obere Düse 45 und eine obere Entlüftung 46. Die obere Düse 45 und die obere Entlüftung 46 gestatten eine unabhängige Steuerung der oberen und seitlichen Gasströmungen, wodurch die Schicht Gleichschlammigkeit verbessert und eine Feineinstellung der Abscheidung der Schicht und der Dotierungsparameter möglich ist. Die obere Entlüftung 46 ist eine ringförmige Öffnung um die obere Düse 45 herum. In einem Ausführungsbeispiel versorgt die erste Gasquelle 34A die Quellgasdüsen 39 und die obere Düse 45. Die Quelldüse MFC 35A' steuert die Menge an Gas, das an die Quellgasdüse 39 geliefert wird, und der obere Düsen MFC 35A steuert die Menge an Gas, das an die obere Düse 45 geliefert wird. Auf ähnliche Weise können zwei MFC's 35B und 35B' verwendet werden, um die Sauerstoffströmung sowohl an die obere Entlüftung 45 und den Oxidationsgasdüsen 40 von einer einzigen Sauerstoffquelle aus zu steuern, beispielsweise von der Quelle 34B. Die Gase, die an die obere Düse 45 und die obere Entlüftung 46 geliefert werden, können vor dem Einströmen der Gase in die Kammer bereits separat gehalten werden, oder die Gase können in dem oberen Plenum 48 vermischt werden, bevor sie in die Kammer 13 strömen. Separate Quellen für das gleiche Gas können verwendet werden, um verschiedene Abschnitte der Kammer zu versorgen.
  • Ein externes, entfernt liegendes Reinigungssystem 50 (Remotesystem) mit durch Mikrowellen erzeugtem Plasma ist vorgesehen, um periodisch Abscheidungsabfälle von den Kammerkomponenten zu reinigen. Das Reinigungssystem umfasst einen externen Mikrowellengenerator 51, der ein Plasma aus einer Reinigungsgasquelle 34E (beispielsweise molekulares Fluor, Stickstofftrifluorid, andere Fluorkohlenstoffe oder Äquivalente) in einer Reaktorkammer 53 erzeugt. Die reaktiven Spezies, die sich aus diesem Plasma ergeben, werden in die Kammer 13 durch eine Reinigungsgas-Zufuhrmündung 54 über ein Versorgungsrohr 55 zugeführt. Die Materialien, die verwendet werden, um das Reinigungsplasma (das heißt die Kammer 53 und das Versorgungsrohr 55) müssen gegenüber dem Angriff durch das Plasma resistent sein. Der Abstand zwischen der Reaktorkammer 53 und der Zufuhrmündung 54 sollte so kurz wie praktisch möglich gehalten werden, da die Konzentration erwünschter Plasmaspezies mit dem Abstand von der Reaktorkammer 53 abfallen kann. Die Erzeugung des Reinigungsplasmas in einer externen Kammer ermöglicht die Verwendung eines effizienten Mikrowellengenerators und unterwirft die Kammerkomponenten nicht der Temperatur, der Strahlung oder dem Bombardement der Glimmentladung, die in einem in-situ erzeugten Plasma vorhanden sein können. Folglich müssen verhältnismäßig sensible Komponenten, beispielsweise die elektrostatische Halterung 20, nicht mit einem Dummy-Wafer abgedeckt oder anderweitig geschützt werden, wie es bei einem in-situ Plasma-Reinigungsverfahren erforderlich sein kann. In einem Ausführungsbeispiel wird dieses Reinigungssystem verwendet, um extern Atome eines Ätzgases zu dissoziieren, die dann an die Verarbeitungskammer 13 zugeführt werden. In einem anderen Ausführungsbeispiel wird das Ätzgas direkt in die Verarbeitungskammer geliefert. In einem noch weiteren Ausführungsbeispiel werden mehrere Verarbeitungskammern verwendet, wobei die Abscheidungs- und Ätzschritte in separaten Kammern durchgeführt werden.
  • Der Systemcontroller 60 steuert den Betrieb des Systems 10. In einem bevorzugten Ausführungsbeispiel umfasst der Controller 60 einen Speicher 62, beispielsweise eine Festplatte, ein Diskettenlaufwerk (nicht gezeigt) und ein Schaltungskartengestell (nicht gezeigt), das mit einem Prozessor 61 gekoppelt ist. Das Schaltungskartengestellt kann einen Ein-Platinen-Computer (SBC = single board computer) (nicht gezeigt), analoge und digitale Eingangs-/Ausgangsplatinen (nicht gezeigt), Schnittstellenplatinen (nicht gezeigt) und Schrittmotor-Steuerplatinen (nicht gezeigt) enthalten. Der Systemcontroller entspricht dem Versa Modular European („VME") Standard, der die Platine, das Kartencage und die Verbinderdimensionen und Typen definiert. Der VME-Standard definiert auch die Busstruktur als 16-Bit-Datenbus und 24-Bit-Adressbus. Der Systemcontroller 31 arbeitet unter der Steuerung eines Computerprogramms, das auf einer Festplatte oder durch andere Computerprogramme, beispielsweise auf einer herausnehmbaren Platte gespeicherte Programm, angetrieben wird. Das Computerprogramm bestimmt beispielsweise die Zeitsteuerung, die Mischung von Gasen, die HF-Leistungsniveaus und andere Parameter eines speziellen Verfahrens. Die Schnittstelle zwischen einem Benutzer und dem Systemcontroller erfolgt über einen Monitor, beispielsweise eine Kathodenstrahlröhre („CRT") 65 und einen Lichtstift 66, wie in 1C gezeigt ist.
  • 1C ist eine Darstellung eines Teils einer exemplarischen System-Benutzer-Schnittstelle, die im Zusammenhang mit der exemplarischen CVD-Verarbeitungskammer von 1A verwendet wird. Der Systemcontroller 60 umfasst einen Prozessor 61, der mit einem computerlesbaren Speicher 62 gekoppelt ist. Vorzugsweise kann der Speicher 62 eine Festplatte sein, der Speicher 62 kann jedoch auch eine andere Art Speicher sein, beispielsweise ein ROM, ein PROM und andere.
  • Der Systemcontroller 60 arbeitet unter der Steuerung eines Computerprogramms 63, das in einem computerlesbaren Format in dem Speicher 62 gespeichert ist. Das Computerprogramm bestimmt die Zeitsteuerung, die Temperaturen, die Gasströmungen, die HF-Leistungsniveaus und andere Parameter eines speziellen Verfahrens. Die Schnittstelle zwischen einem Benutzer und dem Systemcontroller von über einem CRT-Monitor 65 und einen Lichtstift 66, wie in 1C gezeigt ist. In einem bevorzugten Ausführungsbeispiel werden zwei Monitore 65 und 65A und zwei Lichtstifte 66 und 66A verwendet, wobei einer (65) an der Wand des sauberen Raums für die Bedienungspersonen und der anderer (65A) hinter der Wand für Servicetechniker montiert ist. Beide Monitore zeigen gleichzeitig dieselbe Information, jedoch ist nur ein Lichtstift (beispielsweise 66) eingeschaltet. Um eine spezielle Bildschirmdarstellung oder Funktion auszuwählen, berührt die Bedienungsperson einen Bereich des Anzeigeschirms und drückt einen Knopf (nicht gezeigt) an dem Stift. Der berührte Bereich bestätigt, dass er durch den Lichtstift ausgewählt worden ist, indem er seine Farbe ändert oder in dem beispielsweise ein neues Menü angezeigt wird.
  • Der Computerprogrammcode kann in einer beliebigen, herkömmlichen, computerlesbaren Programmsprache, beispielsweise 68000 – Assemblersprache, C, C++ oder Pascal geschrieben sein. Ein geeigneter Programmcode wird in eine einzige Datei oder in mehrere Dateien unter Verwendung eines herkömmlichen Texteditors eingegeben und wird in einem computernutzbaren Medium gespeichert oder eingebettet, beispielsweise ein Speichersystem des Computers. Wenn der eingegebene Codetext in einer Hochniveausprache ist, wird der Code kompiliert und der resultierende Compilercode wird dann mit einem Objektcode von vorkompilierten Routinen der Windows-Bibliothek verknüpft. Um einen verknüpften, kompilierten Objektcode auszuführen, ruft der Systembenutzer den Objektcode auf, was das Computersystem veranlasst, den Code in den Speicher zu laden. Die CPU liest den Code von dem Speicher und führt den Code aus, um die in dem Programm identifizierten Aufgaben durchzuführen.
  • 1D zeigt ein illustratives Blockdiagramm der hirarchischen Steuerstruktur des Computerprogramms 1000. Ein Benutzer gibt eine Prozesssatz-Nummer und eine Prozess-Kammernummer in eine Prozessauswahl-Unterroutine 110 in Antwort auf Menüs oder Bildschirmdarstellung, die auf dem CRT-Monitor angezeigt werden, unter Verwendung der Lichtstift-Schnittstelle ein. Die Prozesssätze sind vorgegebene Sätze von Prozessparametern, die erforderlich sind, um spezifizierte Verfahren auszuführen, und sie werden durch vordefinierte Satznummern identifiziert. Die Prozessauswahl-Unterroutine 110 identifiziert (i) die gewünschte Prozesskammer in einem Mehrkammersystem und (ii) den gewünschten Satz von Prozessparametern, der benötigt wird, um die Prozesskammer zu betreiben, um das gewünschte Verfahren durchzuführen. Die Prozessparameter zur Durchführung eines spezifischen Verfahrens bezieht sich auf Bedingungen, beispielsweise die Prozessgaszusammensetzung und Flussraten, Temperatur, Druck, Plasmabedingungen, beispielsweise HF-Leistungsniveaus, und Kammerdomtemperatur, und sie werden dem Benutzer in Form eines Rezepts bereitgestellt. Die durch das Rezept spezifizierten Parameter werden unter Verwendung der Lichtstift/CRT-Monitor-Schnittstelle eingegeben.
  • Die Signale zur Überwachung des Verfahrens werden durch die analogen und digitalen Eingabeplatinen des Systemcontrollers 60 geliefert, und die Signale zur Steuerung des Verfahrens werden auf den analogen und digitalen Ausgangsplatinen des Systemcontrollers 60 ausgegeben.
  • Eine Prozesssequenz-Unterroutine 120 umfasst einen Programmcode, um die identifizierte Prozesskammer und den Satz der Prozessparameter von der Prozessauswahl-Unterroutine 110 aufzunehmen und zum Steuern des Betriebs der verschiedenen Prozesskammern. Mehrere Benutzer können Prozesssatz-Nummern und Prozess-Kammernummern eingeben oder ein einziger Benutzer kann mehrere Prozesssatz-Nummern und Prozess-Kammernummern eingeben; die Sequenz-Unterroutine 120 richtet die Verfahren in der gewünschten Sequenz ein. Vorzugsweise weist die Sequenz-Unterroutine 120 einen Programmcode auf, um die folgenden Schritte durchzuführen: (i) Überwachen des Betriebs der Prozess- oder Verarbeitungskammern, um zu bestimmen, ob die Kammern benutzt werden, (ii) Bestimmen, welche Verfahren in den benutzten Kammern ausgeführt werden und (iii) Ausführen des gewünschten Verfahrens auf der Basis der Verfügbarkeit einer Prozesskammer und des Typs des auszuführenden Verfahrens. Herkömmliche Verfahren zur Überwachung der Prozesskammern können verwendet werden, beispielsweise der Aufrufbetrieb (polling). Bei der Ablaufplanung, welches Verfahren ausgeführt werden soll, kann die Sequenz-Unterroutine 120 so ausgelegt sein, dass sie das „Alter" jeder speziellen, vom Benutzer eingegebenen Anfrage oder der vorher eingestellte Zustand der benutzten Prozesskammer im Vergleich mit den erwünschten Prozessbedingungen für ein ausgewähltes Verfahren oder einen beliebigen anderen, relevanten Faktor, den der Systemprogrammierer für vorgegebene Ablaufsteuerungsprioritäten einbeziehen will, in Betracht gezogen werden.
  • Nachdem die Sequenz-Unterroutine 120 bestimmt hat, welche Prozesskammer und welche Prozesssatz-Kombination als nächstes auszuführen ist, leitet die Sequenz-Unterroutine 120 die Ausführung des Prozesssatzes dadurch ein, dass die speziellen Prozesssatz-Parameter an eine Kammermanager-Unterroutine 130 H–C weitergegeben wird, die mehrere Verfahrensaufgaben in der Kammer 13 und möglicherweise in anderen Kammern (nicht gezeigt) entsprechend dem Prozesssatz steuert, der von der Sequenz-Unterroutine 120 gesendet wurde.
  • Beispiele von Kammerkomponenten-Unterroutinen sind eine Substratpositionierungs-Unterroutine 140, einen Prozessgassteuerungs-Unterroutine 150, eine Drucksteuerungs-Unterroutine 160 und eine Plasmasteuerungs-Unterroutine 170. Durchschnittsfachleute werden erkennen, dass andere Kammersteuerungs-Unterroutinen mit einbezogen werden können, je nach dem, welche Verfahren zur Durchführung in der Kammer 13 ausgewählt werden. Im Betrieb führt die Kammermanager-Unterroutine 130A wahlweise eine Ablaufsteuerung durch, oder ruft Prozesskomponenten- Unterroutinen entsprechend dem speziellen Verfahrenssatz, der ausgeführt wird, auf. Die Kammermanager-Unterroutine 130A führt eine Anlaufsteuerung der Prozesskomponenten-Unterroutinen in derselben Weise durch, wie die Sequenz-Unterroutine 120 das Ablaufschema für die Prozess-Kammer und den auszuführenden Prozesssatz ausführt. Typischerweise umfasst die Kammermanager-Unterroutine 130A Schritte zur Überwachung der verschiedenen Kammerkomponenten, zur Bestimmung, welche Komponenten auf der Grundlage der Prozessparameter für den auszuführenden Prozesssatz betrieben werden müssen, und das Veranlassen der Ausführung einer Kammerkomponenten-Unterroutine, die in Folge der Überwachungs- und Bestimmungsschritte ausgeführt wird.
  • Der Betrieb der speziellen Kammerkomponenten-Unterroutinen wird nun unter Bezugnahme auf die 1A und 1D beschrieben. Die Substratpositionierungs-Unterroutine 140 umfasst einen Programmcode, um die genutzten Kammerkomponenten zu steuern, um ein Substrat in dem Substrattrageteil 18 zu laden. Die Substratpositionierungs-Unterroutine 140 kann auch die Übertragung eines Substrats in die Kammer 13 beispielsweise von einem Plasma unterstützten CVD-(„PECVD") Reaktor oder einem anderen Reaktor in dem Mehrkammersystem steuern, nachdem eine andere Verarbeitung abgeschlossen worden ist. Die Prozessgassteuerungs-Unterroutine 150 hat einen Programmcode, um die Prozessgaszusammensetzung und Flussraten zu steuern. Die Unterroutine 150 steuert die Öffnungs-/Schließposition von Sicherheitsschaltventilen und steuert auch die Massenströmungscontroller aufwärts und abwärts, um die gewünschten Gasströmungsraten zu erhalten. Alle Kammerkomponenten-Unterroutinen einschließlich der Prozessgassteuerungs-Unterroutine 150 werden durch die Kammermanager-Unterroutine 130A aufgerufen. Die Unterroutine 150 empfängt Prozessparameter von der Kammermanager-Unterroutine 130A, die sich auf die gewünschten Gasströmungsraten beziehen.
  • Typischerweise öffnet die Prozessgassteuerungs-Unterroutine 150 die Gaszufuhrleitungen und führt wiederholt folgendes aus: (i) sie liest die notwendigen Gasströmungscontroller ab, (ii) sie vergleicht die Ablesewerte mit den gewünschten Flussraten, die von der Kammermanager-Unterroutine 130A empfangen werden, und (iii) sie stellt die Flussraten der Gaszufuhrleitungen nach Bedarf ein. Ferner kann die Prozessgassteuerungs-Unterroutine 150 Schritte zur Überwachung der Gasströmungsraten auf unsichere Raten und zur Aktivierung der Sicherheits-Schaltventile umfassen, wenn ein unsicherer Zustand festgestellt wird.
  • In einigen Verfahren wird ein inertes Gas, beispielsweise Argon, in die Kammer 13 einströmen gelassen, um den Druck in der Kammer zu stabilisieren, bevor die reaktiven Prozessgase eingeführt werden. Für diese Verfahren ist die Prozessgassteuerungs-Unterroutine 150 so programmiert, dass sie die Schritte des Einströmens von inertem Gas in die Kammer 13 während einer Zeitdauer umfasst, die erforderlich ist, um den Druck in der Kammer zu stabilisieren. Die oben beschriebenen Schritte können dann ausgeführt werden.
  • Zusätzlich, wenn ein Prozessgas von einem flüssigen Precursorgas verdampft wird, beispielsweise Tetraethylortosilan (TEOS), kann die Prozessgassteuerungs-Unterroutine 150 Schritte umfassen, um ein Abgabelgas, beispielsweise Helium, durch den flüssigen Precursor in einer Glaserzeugungseinrichtung als Blasen hindurchzuführen, um das Helium in ein Flüssigkeits-Einspritzventil einzuführen. Für diese Art von Verfahren regelt die Prozessgassteuerngs-Unterroutine 150 die Strömung des Abgabegases, den Druck in der Blasenvorrichtung und die Blasvorrichtungstemperatur, um die gewünschten Prozessgas-Strömungsraten zu erhalten. Wie oben diskutiert wurde, werden die gewünschten Prozessgas-Strömungsraten an die Prozessgassteuerungs-Unterroutine 150 als Prozessparameter übertragen.
  • Ferner umfasst die Prozessgassteuerungs-Unterroutine 150 Schritte, um die erforderliche Abgabegas-Strömungsrate, Blasenvorrichtungsdruck und Blasenvorrichtungstemperatur für die erwünschte Prozessgas-Strömungsrate zu erhalten, indem sie auf eine gespeicherte Tabelle zugreift, die die erforderlichen Werte für eine vorgegebene Prozessgas-Strömungsrate enthält. Sobald die notwendigen Werte erhalten wurden, werden die Abgabegas-Strömungsrate, der Blasenvorrichtungsdruck und die Blasenvorrichtungstemperatur überwacht, mit den erforderlichen Werten verglichen und entsprechend nachgestellt.
  • Die Prozessgassteuerungs-Unterroutine 150 kann auch den Fluss eines Wärmeübertragungsgases, beispielsweise Helium (He) durch die inneren und äußeren Kanäle in der Waferhalterung mit einer unabhängigen Heliumsteuerungs-(IHC)-Unterroutine (nicht gezeigt) steuern. Die Gasströmung koppelt thermisch das Substrat mit der Halterung. In einem typischen Verfahren wird der Wafer durch das Plasma und die Reaktion, die die Schicht bilden, aufgeheizt und das Helium kühlt das Substrat über die Halterung, die Wasser gefüllt sein kann. Dies hält das Substrat unterhalb einer Temperatur, die vorher existierende Merkmale des Substrats beschädigen kann.
  • Die Drucksteuerungs-Unterroutine 160 umfasst einen Programmcode, um den Druck in der Kammer 13 durch Regeln der Größe der Öffnung des Drosselventils 26 in dem Abgasabschnitt in der Kammer zu steuern. Es gibt wenigstens zwei grundlegende Verfahren zur Steuerung der Kammer mit dem Drosselventil. Das erste Verfahren beruht auf der Charakterisierung des Kammerdrucks, wie er unter anderem von dem gesamten Prozessgasfluss, der Größe der Prozesskammer und der Pumpenkapazität abhängt. Das erste Verfahren setzt das Drosselventil 26 auf eine festgelegte Position. Das Einstellen des Drosselventils 26 auf eine feste Position kann schließlich in einem Gleichgewichtsdruck resultieren.
  • Alternativ kann der Kammerdruck beispielsweise mit einem Manometer gemessen werden, und die Position des Drosselventils 26 kann entsprechend der Drucksteuerungs-Unterroutine 360 eingestellt werden, wobei angenommen wird, dass der Steuerungspunkt innerhalb der Grenzen liegt, die durch die Gasströmungen und die Abströmungskapazität gesetzt sind. Das erste Verfahren kann zu schnelleren Kammerdruckänderungen führen, da die Messungen, Vergleichsvorgänge und Berechnungen, die mit dem letzteren Verfahren verbunden sind, nicht aufgerufen werden. Das erstere Verfahren kann erwünscht sein, wo eine genaue Steuerung des Kammerdrucks nicht erforderlich ist, während das letztere Verfahren erwünscht sein kann, wo ein genauer, reproduzierbarer und stabiler Druck erwünscht ist, beispielsweise während der Abscheidung einer Schicht.
  • Wenn die Drucksteuerungs-Unterroutine 160 aufgerufen wird, wird das gewünschte oder Zieldruckniveau als Parameter von der Kammermanager-Unterroutine 130A empfangen. Die Drucksteuerungs-Unterroutine 160 misst den Druck in der Kammer 13 durch Ablesen von einen oder mehreren, herkömmlichen Druckmanometern, die mit der Kammer verbunden sind; vergleiche den oder die Messwerte (e) mit dem Zieldruck erhält proportionale, integrale und differentiale (PID) Werte von einer gespeicherten Drucktabelle, die dem Zieldruck entsprechen, und stellt das Drosselventil 26 entsprechend den PID-Werten ein, die von der Drucktabelle erhalten wurden. Alternativ kann die Drucksteuerungs-Unterroutine 160 das Drosselventil 26 zu einer speziellen Öffnungsgröße öffnen oder schließen, um den Druck in der Kammer 13 auf einen gewünschten Druck oder Druckbereich zu regeln.
  • Die Plasmasteuerungs-Unterroutine 170 umfasst einen Programmcode, um die Frequenz und die Ausgangsleistungseinstellung an den HF-Generatoren 31A und 31B zu steuern und um die Abstimmungsnetzwerke 32A und 32B abzustimmen. Die Plasmasteuerungs-Unterroutine 370 wird wie die vorher beschriebenen, Komponenten-Unterroutineen durch die Kammermanager-Unterroutine 330A aufgerufen.
  • Ein Beispiel eines Systems, das einige oder alle Unterroutinen und Routinen umfassen kann, die oben beschrieben wurde, wer das ULTIMATM-System, das von Applied Materials, Inc., Santa Clara, Californien hergestellt wird, und dass zur Durchführung dervorliegenden Erfindung konfiguriert ist. Weitere Details solch eines Systems sind in der US-Patentanmeldung 08/679/927 beschrieben. Das beschriebene System ist nur als Beispiel angegeben. Es ist eine Frage der Routine des Durchschnittsfachmanns, ein geeignetes, herkömmliches Substratverarbeitungssystem und ein Computersteuerungssystem auszuwählen, um die vorliegende Erfindung umzusetzen.
  • III. Substratvorbereitung
  • Die Vorbereitung des Substrats vor seiner Verarbeitung wird dazu verwendet, die Möglichkeit einer Kontamination und einer Beschädigung auf der Rückseite des Substrats zu reduzieren. Als ein Ausführungsbeispiel der Erfindung das Substrat von Zimmertemperatur nahezu auf Prozesstemperatur in der Prozesskammer auf, bevor das Substrat in Kontakt mit dem Substrataufnahmeteil 19 gebracht wird. Wenn die Wafertemperatur nahe bei der Prozesstemperatur ist, hat jegliche Ausdehnung des Substrats bereits stattgefunden, so dass die Möglichkeit einer Inkonsistenten Ausdehnung zwischen dem Substrat und dem Substrataufnahmeteil im Wesentlichen beseitigt ist. Das Resultat ist es, das eine Beschädigung und/oder Kontamination der Rückseite des Substrats im Wesentlichen während der Verarbeitung reduziert wird. Ein Mittel zur Aufheizung des Substrats auf die gewünschte Temperatur, bevor es in Kontakt mit dem Substrataufnahmeteil gebracht wird, besteht darin, es auf Hebestiften zu lagern, die in den Substrataufnahmeteil zurück gezogen werden können.
  • Ein Beispiel, dass den Betrieb solcher Hebestifte zeigt, ist in 2 gezeigt, wo verschiedene Komponenten nicht maßstabsgerecht gezeigt sind. Wenn sie wie in dem Beispiel konfiguriert sind, sind die Hebestifte 92 geeignet, das Substrat 90 in einer von drei Positionen relativ zu dem Substrataufnahmeteil zu positionieren. Die Hebestifte 92 können in der Kammer 93 angeordnet sein, und können mit einem Motor (nicht gezeigt) angetrieben werden, dass drei Positionen erreicht werden, in dem die Hebestifte 92 aus dem Substrataufnahmeteil um einen spezifischen Abstand heraus oder in diesen hinein bewegt werden. In einer ersten Position, die in 2(a) gezeigt ist, sind die Hebestifte 92 so positioniert, dass das Substrat 90 von einer Roboterschaufel an der oberen Ladeposition 57 aufgenommen werden kann. Die obere Ladeposition 57 wird so bestimmt, dass sie zur Aufnahme des Substrats 90 von der Roboterschaufel geeignet ist. In einer zweiten Position, der Verarbeitungsposition 58, die in 2(b) gezeigt ist, sind die Hebestifte 92 weiter in den Substrataufnahmeteil 90 zurückgezogen und so positioniert, dass das Substrat 90 sich nicht in den Kontakt mit dem Substrataufnahmeteile 19 befindet. In einer dritten Position, die in 2(10) als Verarbeitungsposition 56 gezeigt ist, ist das Substrat 90 in Kontakt mit dem Substrataufnahmeteil 19, wo es elektrostatisch in einer sicheren Position zur Verarbeitung enthalten sein kann.
  • Gewisse Aspekte der Erfindung können besser unter Bezugnahme auf 3 verständlich sein, die ei Flussdiagramm ist, das ein spezielles Ausführungsbeispiel darstellt. An dem Schritt 204 wird das Substrat 90 in der Kammer 13 an der oberen Ladeposition 57 empfangen, wobei es auf den Hebestiften ruht. Das Substrat 90 wird dann zu der Vorverarbeitungsposition 58 an dem Schritt 208 dadurch bewegt, dass die Hebestifte abgesenkt werden. Bei einem runden 200 oder 300 mm Substrat in der Vorverarbeitungsposition 58 kann das Substrat 90 etwa 50–150 mm oberhalb des Substrataufnahmeteils 19 sein. Wenn es höher als 150 mm liegt, kann das Substrat 90 möglicherweise eine Ladung von dem Plasma aufnehmen, bevor Abscheidungsgase in die Kammer 13 eingeführt werden. Die untere Grenze wird hauptsächlich durch den Bereich der elektrostatischen Kräfte von der elektrostatischen Halterung vorgeschrieben. Es gibt keine Einschränkung a priori dafür, wie nahe das Substrat 90 bei dem Substrataufnahmeteil 19 liegen kann, vorausgesetzt, dass ein Kontakt zwischen ihnen stattfindet, wobei das Substrat unaufgenommen bleibt.
  • Sobald das Substrat ordnungsgemäß positioniert ist, werden anfängliche Gase in die Prozesskammer bei dem Schritt 208 einströmen gelassen. Solche anfänglichen Gase können beispielsweise ein inertes Gas (beispielsweise Argon) umfassen, von denen ein Plasma an den Schritt 212 gezündet werden kann. Das Plasma kann ein Hochdichte-Plasma sein, was in diesem Zusammenhang bedeutet, dass es eine Ionendichte hat, die gleich oder größer als 1011 Ionen/cm3 ist. Das Substrat 90 wird durch das Plasma beheizt, und die Temperatur des Substrats 90 wird an dem Schritt 216 überwacht. Eine kleine Wärmemenge kann von dem Substrat 90 abgestrahlt werden, und einige können durch die Hebestifte 92 abgeleitet werden. Im Fall hoher Kammerdrücke kann zusätzlich eine kleine Menge an Konvektions- oder Leitungs-Wärmetransfer mit den Gasen stattfinden, die das Plasma aufrechterhalten. Es gibt verschiedene Verfahren zur Überwachung der Substrattemperatur und die Erfindung ist nicht auf spezielles Verfahren beschränkt. Beispielsweise kann der Substrataufnahmeteil 19 mit einem Infrarotsensor ausgestattet sein. Wenn das Substrat 90 genügend dicht bei dem Infrarotsensor ist, kann die Temperatur von der Infrarotemission von dem Substrat 90 gemessen werden, möglicherweise unter Bezugnahme auf vorher abgeleitete Beziehungen, die für bestimmte Abstände zwischen dem Substrat und dem Infrarotsensor erstellt wurden. In einem alternativen Ausführungsbeispiel wird eine festgelegte Zeitdauer verwendet, um es dem Substrat 90 zu ermöglichen, um die gewünschte Temperatur zu erreichen. Diese Zeit kann beispielsweise durch Überprüfung der Prozessausgangsparameter oder durch Überprüfung des Auftretens einer rückseitigen Kontamination an dem Substrat, nachdem es verarbeitet wird, optimiert wird. Solch ein Auftreten wird auf Minimum herabgesetzt, wenn das Substrat durch das Plasma in einer optimalen Zeit aufgeheizt wird.
  • Es gibt verschiedene Überlegungen, die bei der Bestimmung der spezifischen Temperatur der Hebestifte 92 nützlich sind. In einem Ausführungsbeispiel können sie so ausgeführt sein, dass sie elektrisch leitfähig sind. Dies hat den Effekt, dass die äußere Oberfläche des Substrats 90 geerdet wird, wodurch die Ansammlung von Ladung auf dem Substrat 90 unterdrückt wird, während es sich in einem direkten Kontakt mit dem Plasma befindet. Die Leitfähigkeit der Hebestifte 92 ist vorzugsweise innerhalb eines Bereiches, der ausreicht, um eine Ladungsansammlung zu verhindern, jedoch nicht so groß ist, dass die Gefahr einer Funkenbildung mit dem Plasma vorhanden ist. Wenn die elektrische Leitfähigkeit so gering ist, können die Hebestifte einen zu großen Widerstand haben, um das Substrat 90 ausreichend zu erden, während eine zu hohe Leitfähigkeit die Möglichkeit einer unerwünschten Bildung erhöht.
  • Eine weitere Überlegung betrifft den Kompromiss zwischen dem Wunsch, den Kontaktbereich mit den Substraten 90 auf ein Minimum herabzusetzen, während auch eine ausreichende Unterstützung für das Substrat bereitgestellt wird. Diese kann durch Veränderung verschiedener Parameter erreicht werden, einschließlich der Querschnittsfläche der Hebestifte 92 und auch der Anzahl der Hebestifte 92. Um den Kontaktbereich auf ein Minimum herabzusetzen, können die Hebestifte 92 in einigen Ausführungsbeispielen abgerundet sein, wo sie das Substrat kontaktieren. In einem Ausführungsbeispiel werden vier Hebestifte in einer quadratischen Anordnung verwendet, um ein kreisförmiges Substrat zu lagern. In einem Ausführungsbeispiel, das für die Verarbeitung eines kreisförmigen Substrats mit einem m-Durchmesser von 300 mm geeignet ist, hat jeder der Hebestifte einen kreisförmigen Querschnitt mit einem Durchmesser von weniger als 1 cm. Das Material, das für die Herstellung der Hebestifte 92 verwendet wird, sollte so ausgewählt werden, dass es durch das Plasma nicht beschädigt noch durch das Plasma kontaminiert wird. Geeignete Materialien umfassen Aluminiumoxid oder SiC. Wenn an dem Schritt 220 festgestellt wird, dass die Substrattemperatur das gewünschte Niveau erreicht hat, das heißt nahezu die Prozesstemperatur, die danach verwendet werden soll, mir das Substrat 90 zu der Verarbeitungsposition 56 an dem Schritt 224 bewegt.
  • Wenn es sich in der Verarbeitungsposition 56 befindet, ist das Substrat 90 in Kontakt mit dem Substrataufnahmeteil 19, wo es durch eine elektrostatische Halterung gesichert werden kann. Die Prozessgase werden dann in die Kammer 13 an dem Schritt 228 einströmen gelassen, um die gewünschte Verarbeitung, beispielsweise eine Abscheidung oder ein Ätzvorgang, an dem Substrat 90 und dem Schritt 229 durchzuführen. Wenn das Substrat verarbeitet worden ist, wird die Strömung der Prozessgase an dem Schritt 230 ohne Beendigung des Plasmas gestoppt. Das Substrat wird danach in die Vorverarbeitungsposition an dem Schritt 231 zurückgebracht, so dass es in Kontakt mit dem Plasma bleibt, so dass Ladungen austreten können. An dem Schritt 232 wird das Plasma beendet, und das Substrat wird dann an dem Schritt 236 in die Ladeposition zurückbewegt, in dem die elektrostatische Halterung 20 entkoppelt wird und die Hebestifte 92 bewegt werden. An diesem Punkt kann das verarbeitete Substrat aus der Kammer 13 entfernt werden. Das Zeitintervall zwischen den Schritten 232 und 236 sollte so kurz sein, dass nicht genügende Zeit dafür zur Verfügung steht, dass das verarbeitete Substrat und der Substrataufnahmeteil 19 sich mit unterschiedlichen Geschwindigkeiten zusammenziehen.
  • Dieses Verfahren kann mit einem speziellen Beispiel klar gemacht werden, bei dem Ausführungsbeispiel der Erfindung verwendet werden, um eine Schicht auf einem Substrat 90 abzuscheiden. In solch einem Ausführungsbeispiel wird eine Strömung von im Wesentlichen inertem Gas in die Kammer 13 geliefert, von dem ein Plasma gebildet wird. Das Substrat 90 wird in der Vorverarbeitungsposition 58 gehalten, während es durch das Plasma aufgeheizt wird. Wenn es aufgeheizt ist, wird das Substrat 90 zu dem Substrataufnahmeteil 19 bewegt. Die Abscheidungsgase zur Ausbildung der Schicht aus dem Substrat 90 werden in die Kammer 19 erst, nachdem das Substrat zu dem Substrataufnahmeteil 19 bewegt worden ist, einströmen gelassen. Eine ähnliche Vorgehensweise kann bei Ausführungsbeispielen verwendet werden, die zur Ätzung eines Substrats 19 verwendet werden, wobei zusätzliche Gase zu der Kammer 13 zum Ätzen erst dann geliefert werden, nachdem das Substrat durch das Plasma aufgeheizt worden ist, und zu dem Substrataufnahmeteil 19 bewegt worden ist. Wie hier benutzt, bezieht sich der Begriff „im Wesentlichen inertes Gas" auf ein Gas oder eine Kombination von Gasen, die nicht zu einer merklichen Verarbeitung des Substrats 90 führen, das heißt, die nicht zu einer merklichen Abscheidung bei einem Abscheidungsverfahren oder zu einer merklichen Ätzung in einem Ätzverfahren führen.
  • In einem alternativen Ausführungsbeispiel können statt, dass ein einziger Satz von Hebestiften vorgesehen ist, der zum Bewegen des Substrats zu wenigstens drei unterschiedlichen Positionen konfiguriert ist, eine Vielzahl von Sätzen von Hebestiften vorgesehen sein, die jeweils nur zwei Positionen haben. Auf diese Weise kann ein Satz der Hebestifte verwendet werden, um das Substrat zwischen der oberen Ladeposition und der Substrataufnahmeposition zu bewegen, während der andere verwendet werden kann, um das Substrat zwischen der Vorverarbeitungsposition und der Substrataufnahmeposition zu bewegen. Andere Anordnungen können ebenfalls verwendet werden.
  • Nachdem mehrere Ausführungsbeispiele beschrieben worden sind, ist durch den Durchschnittsfachmann zu erkennen, dass verschiedene Modifikationen und alternative Anordnungen verwendet werden können. Insbesondere werden, während Ausführungsbeispiele im Detail für ein Hochdichteplasmasystem beschrieben worden sind, der Durchschnittsfachmann die allgemeine Anwendbarkeit der Erfindung auf ein beliebiges Substratverarbeitungssystem erkennen, das ein Plasma verwendet, um das Substrat aufzuheizen. Folglich sollte die vorstehende Beschreibung nicht als Einschränkung des Schutzumfangs der Erfindung genommen werden, der durch die folgenden Ansprüche defi niert ist.
  • 1B
  • To top nozzle
    zu oberer Düse
    To Top Vent
    zu oberer Entlüftung
    To cleaning system
    zu Reinigungssystem
  • 1C
  • Clean room
    Sauberer Raum
  • 1D
  • 110
    Prozessauswahleinrichtung
    120
    Prozesssequenzsteuereinrichtung
    130B
    Kammermanager
    130A
    Kammermanager für CVD-Kammer
    130C
    Kammermanager
    140
    Substratpositionierung
    150
    Prozessgassteuerung
    160
    Prozesssteuerung
    170
    Plasmasteuerung
  • 3
  • 204
    Empfange Substrat bei der Ladeposition
    208
    Bewege Substrat zu der Vorverarbeitungsposition
    210
    Lasse Anfangsgase einströmen
    212
    Zünde Plasma
    216
    Überwache Substrattemperatur
    220
    Ist Wafertemperatur annäherungsweise gleich der Verarbeitungstemperatur?
    No
    Nein
    Yes
    Ja
    224
    Bewege Substrat zu der Verarbeitungsposition
    228
    Lasse Prozessgase einströmen
    229
    Verarbeite Substrat
    230
    Stoppe Strömung der Prozessgase
    231
    Bewege Substrat zu der Vorverarbeitungsposition
    232
    Beendige Plasma
    236
    Bewege Substrat zu der Ladeposition zum Herausnehmen

Claims (12)

  1. Verfahren zur Herstellung eines Substrats zur Verarbeitung in einer Kammer, die einen Substrataufnahmeteil hat, wo das Substrat verarbeitet wird, wobei das Verfahren umfasst: Positionieren des Substrats in der Kammer nicht auf dem Substrataufnahmeteil; Bereitstellen eines Gasflusses zu der Kammer; Zünden eines Plasmas mit dem Gasfluss, um das Substrat aufzuheizen; dadurch gekennzeichnet, dass das Substrat zu dem Substrataufnahmeteil zur Verarbeitung bewegt wird, nachdem das Substrat durch das Plasma auf eine Temperatur aufgeheizt worden ist, die im Wesentlichen gleich der Verarbeitungstemperatur ist, bei der das Substrat verarbeitet wird.
  2. Verfahren umfassend: Positionieren des Substrats in der Kammer nicht in dem Substrataufnahmeteil; Bereitstellen eines Zuflusses von einem im Wesentlichen inerten Gas zu der Kammer, Ausbilden eines Plasmas von dem inerten Gasfluss; Bereithalten des Substrats nicht auf dem Substrataufnahmeteil, während das Plasma das Substrat aufheizt; Bewegen des Substrats zu dem Substrataufnahmeteil, nachdem das Substrat auf eine Temperatur aufgeheizt worden ist, die im Wesentlichen gleich der Verarbeitungstemperatur ist, bei der das Substrat verarbeitet wird; und danach Einströmenlassen eines zusätzlichen Gases in die Kammer, um die Verarbeitung des Substrates zu beginnen.
  3. Verfahren nach Anspruch 2, worin das zusätzliche Gas ein Abscheidungsgas aufweist, und worin das verarbeitende Substrat das Abscheiden einer Schicht auf dem Substrat umfasst.
  4. Verfahren nach Anspruch 2, worin das zusätzliche Gas ein Ätzgas umfasst, und worin das verarbeitende Substrat das Ätzen des Substrats umfasst.
  5. Verfahren nach Anspruch 1 oder 3, worin das Positionieren des Substrats in der Kammer, das Ablegen des Substrats auf einer Vielzahl von Liftzapfen aufweist.
  6. Verfahren nach Anspruch 5, worin die Liftzapfen elektrisch leitfähig sind.
  7. Verfahren nach Anspruch 6, worin die Liftzapfen aus Aluminium oder AIN oder SiC zusammengesetzt sind.
  8. Verfahren nach Anspruch 1 oder 3, worin das Plasma ein Hoch-Dichte-Plasma ist.
  9. Verfahren nach Anspruch 2, ferner umfassend das Überwachen einer Temperatur des Substrats, um festzustellen, wann das Substrat zu dem Substrataufnahmeteil bewegt werden soll.
  10. Verfahren nach Anspruch 9, worin das Überwachen der Temperatur des Substrats die Bestimmung der Infrarot-Emissionen von dem Substrat umfasst.
  11. Ein Computerprogramm, das in einem Computer lesbaren Speichermedium eingebettet ist, um den Betrieb eines Substratverarbeitungssystems zu steuern, das eine Kammer umfasst, die einen Substrataufnahmeteil, ein Plasmageneratorsystem und ein Gasabgabesystem hat, das konfiguriert ist, Gase in die Kammer einzuführen, wobei das Computerprogramm Codemittel umfasst, die, wenn sie von dem Substratverarbeitungssystem ausgeführt werden, die Schritte entsprechend dem Verfahren nach einem der vorhergehenden Ansprüche ausführen kann.
  12. Substratverarbeitungssystem umfassend: ein Gehäuse, das eine Kammer definiert; ein Plasmageneratorsystem, das betriebsmäßig mit der Kammer gekoppelt ist; einen Substrataufnahmeteil in der Kammer, der konfiguriert ist, um ein Substrat während der Substratverarbeitung zu halten; ein Gasabgabesystem das konfiguriert ist, um Gase in die Kammer einzuführen; ein Drucksteuersystem, um einen ausgewählten Druck in der Kammer aufrecht zu erhalten; einen Kontroller zum Steuern des Plasmageneratorsystems, des Gasabgabesystem und des Drucksteuersystems; und wobei der Kontroller ein Computer lesbares Medium umfasst, das ein Computer lesbares Programm darin eingebettet hat, um den Betrieb des Substratverarbeitungssystems zu steuern, wobei das Computer lesbare Programm Befehle umfasst, um das Verfahren nach einem der Ansprüche 1 bis 10 auszuführen.
DE60130092T 2001-01-26 2001-10-15 Verfahren zum Erwärmen von Plättchen Expired - Lifetime DE60130092T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US771085 1985-08-30
US09/771,085 US6514870B2 (en) 2001-01-26 2001-01-26 In situ wafer heat for reduced backside contamination

Publications (2)

Publication Number Publication Date
DE60130092D1 DE60130092D1 (de) 2007-10-04
DE60130092T2 true DE60130092T2 (de) 2008-08-28

Family

ID=25090651

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60130092T Expired - Lifetime DE60130092T2 (de) 2001-01-26 2001-10-15 Verfahren zum Erwärmen von Plättchen

Country Status (7)

Country Link
US (2) US6514870B2 (de)
EP (1) EP1227171B1 (de)
JP (1) JP4316833B2 (de)
KR (1) KR100870852B1 (de)
AT (1) ATE371047T1 (de)
DE (1) DE60130092T2 (de)
TW (1) TW540087B (de)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100897771B1 (ko) * 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
JP4330949B2 (ja) * 2003-07-01 2009-09-16 東京エレクトロン株式会社 プラズマcvd成膜方法
KR100749375B1 (ko) * 2003-07-01 2007-08-14 동경 엘렉트론 주식회사 플라즈마 화학 증착 장치
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
TW200620471A (en) * 2004-08-31 2006-06-16 Tokyo Electron Ltd Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
JP4718189B2 (ja) * 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
JP5020230B2 (ja) * 2006-02-24 2012-09-05 東京エレクトロン株式会社 Ti系膜の成膜方法および記憶媒体
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US8426763B2 (en) * 2009-04-23 2013-04-23 Micron Technology, Inc. Rapid thermal processing systems and methods for treating microelectronic substrates
JP2010251769A (ja) * 2010-05-24 2010-11-04 Olympus Corp 基板保持装置及び基板の保持方法
TWI594667B (zh) 2011-10-05 2017-08-01 應用材料股份有限公司 對稱電漿處理腔室
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
KR102093838B1 (ko) * 2012-12-26 2020-03-26 에스케이실트론 주식회사 에피택셜 반응기
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
JP6573231B2 (ja) * 2016-03-03 2019-09-11 パナソニックIpマネジメント株式会社 プラズマ処理方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4269137A (en) 1979-03-19 1981-05-26 Xerox Corporation Pretreatment of substrates prior to thin film deposition
JPS62185877A (ja) 1986-02-12 1987-08-14 Toray Ind Inc 真空薄膜形成装置
JPH04257227A (ja) 1991-02-08 1992-09-11 Sony Corp 配線形成方法
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
AU5257696A (en) 1995-04-13 1996-11-07 Xmx Corporation Gas-impermeable, chemically inert container structure for fo od and volatile substances and the method and apparatus prod ucing the same
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6209481B1 (en) 1996-08-30 2001-04-03 University Of Maryland Baltimore County Sequential ion implantation and deposition (SIID) system
JPH10135186A (ja) 1996-10-29 1998-05-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
JPH11145148A (ja) * 1997-11-06 1999-05-28 Tdk Corp 熱プラズマアニール装置およびアニール方法
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6221781B1 (en) * 1999-05-27 2001-04-24 Fsi International, Inc. Combined process chamber with multi-positionable pedestal
JP2001160558A (ja) 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition

Also Published As

Publication number Publication date
KR20020063141A (ko) 2002-08-01
TW540087B (en) 2003-07-01
US20020102864A1 (en) 2002-08-01
JP4316833B2 (ja) 2009-08-19
EP1227171B1 (de) 2007-08-22
JP2002305236A (ja) 2002-10-18
US6704913B2 (en) 2004-03-09
KR100870852B1 (ko) 2008-11-27
ATE371047T1 (de) 2007-09-15
US20030070619A1 (en) 2003-04-17
US6514870B2 (en) 2003-02-04
EP1227171A1 (de) 2002-07-31
DE60130092D1 (de) 2007-10-04

Similar Documents

Publication Publication Date Title
DE60130092T2 (de) Verfahren zum Erwärmen von Plättchen
DE69908101T2 (de) Verfahren zum beschichten und ätzen einer dielektrischen schicht
DE69835479T2 (de) Abscheidung von einem fluorierten Siliziumglas
DE69819030T2 (de) Doppelfrequenz cvd verfahren und vorrichtung
JP4230563B2 (ja) 低誘電率膜用高堆積率レシピ
US6660662B2 (en) Method of reducing plasma charge damage for plasma processes
DE69837124T2 (de) Abscheidungsverfahren von einer mit Halogen dotierten Si02-Schicht
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
US7004107B1 (en) Method and apparatus for monitoring and adjusting chamber impedance
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
JP2002513863A (ja) チタン膜堆積用cvd装置および方法
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
DE69927146T2 (de) Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie
EP0822585A2 (de) Kontrolle der Eiferspannung einer Siliziumdioxid-Schicht durch Fluorierung
US6559052B2 (en) Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6524969B2 (en) High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6083569A (en) Discharging a wafer after a plasma process for dielectric deposition
DE69932409T2 (de) Thermisches CVD Verfahren zur Erzeugung eines mit Kohlenstoff dotierten Siliziumoxidfilms mit niedriger dielektrischer Konstante

Legal Events

Date Code Title Description
8328 Change in the person/name/address of the agent

Representative=s name: KIRSCHNER, K., DIPL.-PHYS., PAT.-ANW., 82041 OBERH

8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING