ATE371047T1 - Verfahren zum erwärmen von plättchen - Google Patents

Verfahren zum erwärmen von plättchen

Info

Publication number
ATE371047T1
ATE371047T1 AT01124617T AT01124617T ATE371047T1 AT E371047 T1 ATE371047 T1 AT E371047T1 AT 01124617 T AT01124617 T AT 01124617T AT 01124617 T AT01124617 T AT 01124617T AT E371047 T1 ATE371047 T1 AT E371047T1
Authority
AT
Austria
Prior art keywords
substrate
heating plates
chamber
receiving portion
processing
Prior art date
Application number
AT01124617T
Other languages
English (en)
Inventor
Kent Rossman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of ATE371047T1 publication Critical patent/ATE371047T1/de

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Liquid Crystal Substances (AREA)
  • Medicines Containing Plant Substances (AREA)
AT01124617T 2001-01-26 2001-10-15 Verfahren zum erwärmen von plättchen ATE371047T1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/771,085 US6514870B2 (en) 2001-01-26 2001-01-26 In situ wafer heat for reduced backside contamination

Publications (1)

Publication Number Publication Date
ATE371047T1 true ATE371047T1 (de) 2007-09-15

Family

ID=25090651

Family Applications (1)

Application Number Title Priority Date Filing Date
AT01124617T ATE371047T1 (de) 2001-01-26 2001-10-15 Verfahren zum erwärmen von plättchen

Country Status (7)

Country Link
US (2) US6514870B2 (de)
EP (1) EP1227171B1 (de)
JP (1) JP4316833B2 (de)
KR (1) KR100870852B1 (de)
AT (1) ATE371047T1 (de)
DE (1) DE60130092T2 (de)
TW (1) TW540087B (de)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100897771B1 (ko) * 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US7220312B2 (en) 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
JP4330949B2 (ja) * 2003-07-01 2009-09-16 東京エレクトロン株式会社 プラズマcvd成膜方法
KR100749375B1 (ko) * 2003-07-01 2007-08-14 동경 엘렉트론 주식회사 플라즈마 화학 증착 장치
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4739215B2 (ja) * 2004-08-31 2011-08-03 東京エレクトロン株式会社 酸化膜の形成方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
JP4718189B2 (ja) * 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
JP5020230B2 (ja) * 2006-02-24 2012-09-05 東京エレクトロン株式会社 Ti系膜の成膜方法および記憶媒体
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US8426763B2 (en) 2009-04-23 2013-04-23 Micron Technology, Inc. Rapid thermal processing systems and methods for treating microelectronic substrates
JP2010251769A (ja) * 2010-05-24 2010-11-04 Olympus Corp 基板保持装置及び基板の保持方法
TWI638587B (zh) 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
KR102093838B1 (ko) * 2012-12-26 2020-03-26 에스케이실트론 주식회사 에피택셜 반응기
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
JP6573231B2 (ja) * 2016-03-03 2019-09-11 パナソニックIpマネジメント株式会社 プラズマ処理方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4269137A (en) 1979-03-19 1981-05-26 Xerox Corporation Pretreatment of substrates prior to thin film deposition
JPS62185877A (ja) 1986-02-12 1987-08-14 Toray Ind Inc 真空薄膜形成装置
JPH04257227A (ja) 1991-02-08 1992-09-11 Sony Corp 配線形成方法
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
WO1996033098A2 (en) 1995-04-13 1996-10-24 Xmx Corporation Gas-impermeable, chemically inert container structure and method of producingthe same
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6209481B1 (en) 1996-08-30 2001-04-03 University Of Maryland Baltimore County Sequential ion implantation and deposition (SIID) system
JPH10135186A (ja) 1996-10-29 1998-05-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
JPH11145148A (ja) * 1997-11-06 1999-05-28 Tdk Corp 熱プラズマアニール装置およびアニール方法
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6221781B1 (en) * 1999-05-27 2001-04-24 Fsi International, Inc. Combined process chamber with multi-positionable pedestal
JP2001160558A (ja) 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition

Also Published As

Publication number Publication date
KR100870852B1 (ko) 2008-11-27
DE60130092T2 (de) 2008-08-28
TW540087B (en) 2003-07-01
JP2002305236A (ja) 2002-10-18
EP1227171B1 (de) 2007-08-22
US20020102864A1 (en) 2002-08-01
KR20020063141A (ko) 2002-08-01
US6704913B2 (en) 2004-03-09
US6514870B2 (en) 2003-02-04
US20030070619A1 (en) 2003-04-17
DE60130092D1 (de) 2007-10-04
EP1227171A1 (de) 2002-07-31
JP4316833B2 (ja) 2009-08-19

Similar Documents

Publication Publication Date Title
ATE371047T1 (de) Verfahren zum erwärmen von plättchen
DE602004024882D1 (de) Turverlauf
WO2007130909A3 (en) Uv assisted thermal processing
ATE440376T1 (de) Verarbeitungssystem und verfahren zum thermischen behandeln eines substrats
TW200708172A (en) Substrate stage mechanism and substrate processing apparatus
GB0511692D0 (en) Heat transfer apparatus
DE60227756D1 (de) Verfahren und Vorrichtung zum Erwärmen von Glastafeln vor dem Tempern
BR0015206A (pt) Método e aparelho para remoção de ferrugem e pintura de uma superfìcie metálica
ATE307095T1 (de) Verfahren und vorrichtung zum biegen einer glasscheibe
EP1016488A3 (de) Heizgerät zum Schweissen
NO20051376L (no) Fremgangsmate for a redistribuere varmefluksen til prosessror inn i prosessvarmere og prosessvarmere inkluderende dette
DE60210138D1 (de) Wärmetauscher mit vorrichtung zum ausgleich von wärmedehnungen
DK1335995T3 (da) Fremgangsmåde til fremstilling af en fordampningskilde
ATA3642002A (de) Vorrichtung zum erwärmen eines wärmeträgers
AU7267301A (en) Method and means for heat treating cutting tools
DE50313138D1 (de) Schrumpfvorrichtung
DE60116087D1 (de) Verfahren zum erhitzen von dampf
DE60224077D1 (de) Vorrichtung zum vorwärmen von rohreifen
DE50303007D1 (de) Vorrichtung zur Behandlung von metallischen Werkstücken mit Kühlgas
SG95695A1 (en) Method for detecting abnormality in process for exchanging heat
DE502004004046D1 (de) Vorrichtung zum Verdampfen von Materialien
DK1367028T3 (da) Fremgangsmåde til fremstilling af en præform under anvendelse af et varmebehandlingsapparat
SG96665A1 (en) An apparatus and method for cleaning glass substrates using a cool hydrogen flame
ATE410526T1 (de) Verfahren und vorrichtung zum wärmebehandeln von werkstücken
ATE514660T1 (de) Vorrichtung zum beheizen von ofenanlagen

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties