JP4230563B2 - 低誘電率膜用高堆積率レシピ - Google Patents

低誘電率膜用高堆積率レシピ Download PDF

Info

Publication number
JP4230563B2
JP4230563B2 JP15464698A JP15464698A JP4230563B2 JP 4230563 B2 JP4230563 B2 JP 4230563B2 JP 15464698 A JP15464698 A JP 15464698A JP 15464698 A JP15464698 A JP 15464698A JP 4230563 B2 JP4230563 B2 JP 4230563B2
Authority
JP
Japan
Prior art keywords
gas
chamber
deposition
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP15464698A
Other languages
English (en)
Other versions
JPH10340900A (ja
Inventor
ケー. ナーワンカー プラヴィン
ムルゲシュ ラクスマン
サヒン ターグト
オーツィック マシィク
チャオ ジャンミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10340900A publication Critical patent/JPH10340900A/ja
Application granted granted Critical
Publication of JP4230563B2 publication Critical patent/JP4230563B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は集積回路の製造に関する。より詳細には、本発明は、半導体基板上の高アスペクト比の溝(trench)内に低誘電率のフッ素ドープ絶縁膜を堆積させる方法と装置とを含む技術を提供する。
【0002】
【従来の技術】
最近の半導体デバイス製造の主要ステップの1つは、ガスの化学反応による、半導体基板上への薄膜(thin film)の形成である。そのような堆積プロセスは、化学気相成長(chemical vapor deposition = CVD)と呼ばれている。サーマルCVDプロセスでは、反応ガスを基板表面に送り、その表面で熱により誘発される化学反応を発生させて、所望の膜を造る。
【0003】
その表面反応を起こさせるのに必要とされる温度は、堆積チャンバ内のガスからプラズマが生成されれば、下げることができる。プラズマは、基板表面近傍の反応ゾーンに無線周波(RF)エネルギーを印加することによりガスの解離を促進し、よって反応核種のプラズマを生成する。プラズマ核種の反応性は化学反応発生に要するエネルギーを低減し、その結果、CVDプロセスに必要な温度を低下させる。
【0004】
プラズマCVDプロセスの相対的に低いこの温度は、堆積金属層上への絶縁層形成や、他の絶縁層形成にとって、そのようなプロセスを理想的なものにする。実際、より高い温度は、一般的にサーマルCVDプロセスにおいて、より高い堆積率をもたらす一方、プラズマ支援(plasma-assisted)プロセスにおいて、より低い温度は、より高い堆積率をもたらし得る。
【0005】
チップ上における半導体デバイス密度は、数十年前にそのようなチップが最初に導入されて以来、劇的に高まってきた。チップ上のデバイス密度を高めるひとつの方法のは、デバイス当たりの面積を減らすことである。普通、デバイス面積が減ると、アスペクト比、すなわちデバイス上のフィーチャの、幅に対する高さが大きくなることが多い。このことは、デバイスの動作に必要な電流を運ぶのに十分な断面積を維持するメタライゼーショントレース(metallization traces)に関して特に該当する。
【0006】
更に、デバイス面積の減少に伴って、デバイスのフィーチャ間の間隔は狭くなる。今日のデバイスでは、フィーチャ間の間隔が1μm未満である寸法形状を持つものが多い。これらの効果が組み合わさり、誘電体材料で充填されることにあずかる間隔の狭い高アスペクト比のギャップが形成される。
【0007】
狭くて高アスペクト比のギャップは、従来技術のCVDプロセスで充填することが困難である。何故なら、隣接するフィーチャのコーナーに、オーバーハングとして蓄積するCVD材料が、ギャップが充填される前に、両側からギャップを閉鎖することが多いからである。図1(A)は、プロセス途中の基板の垂直断面図を示す。基板は導体層(conductive layer)115を備えている。この層は基板100上に予め堆積させてある。基板100はウェーハ、具体的には半導体ウェーハ、更に具体的にはシリコンウェーハでよい。誘電体層130の第1の部分が基板上に堆積させてある。図示のように、誘電体材料はエッジ135に蓄積して、オーバーハング140を形成している。
【0008】
【発明が解決しようとする課題】
図1(B)は、層150の堆積終了時点の、基板の垂直断面図を示す。オーバーハング140は共に成長して、内部ギャップ145を残す。このギャップは、デバイスの製造、動作、および信頼性に関する問題を引き起こす可能性がある。このギャップ形成を避けようとして、種々の方法が用いられてきた。その方法のひとつは、CVD誘電体層を部分的に堆積させてから、低融点のガラス層上でスピン(回転)させ、次にこのガラス層を加熱してギャップに流し込んで充填する。その他には、ギャップが充填されるまで、ギャップが開口状態に維持するように、堆積とスパッタリングを遂次または同時に行なう方法がある。堆積とエッチングのプロセスを同時に行なって、ギャップが充填されるまで、ギャップを開口状態に維持してもよい。
【0009】
フッ素(F)はエッチング核種であるので、フッ素は層の堆積と同時にエッチングを行ない、ギャップが充填されるまでギャップを開口状態に維持する助けとなる。堆積とエッチングを同時に行なうと、フッ素ドープ酸化ケイ素(silicon oxide)膜のギャップ充填力を向上させることができ、その結果、隣接する金属層間のアスペクト比が1.8から4までのギャップを、膜で十分に充填することができる。当該技術に精通する者にはよく知られているように、フッ素ドープ酸化ケイ素(silicon oxide)膜は、基本的にはフッ素によって変性された(modified)二酸化ケイ素(silicon dioxide)であるが、ケイ素対酸素の局部的または全体的化学成分量比が変化してもよく、また非晶相でも、結晶相でも、またはそれらの組合せであってもよい。
【0010】
デバイス密度が高いことに伴う今1つの問題は、導体層間の寄生容量効果(parasitic capacitive effects)に関する。導体層間の間隔を小さくすると、しばしばコンデンサの極板を接近させたような効果を生じ、その結果、望ましくない容量が増加し、望ましくないいくつかの影響が発生する。例えば、導体トレース(conductive trace)の抵抗容量時定数(resistive-capacitive (RC) time constant)が増加して、回路の同一動作速度のためには、より大きな電力が必要になるが、あるいは導体層同士が容量的に結合して「クロストーク」を生じる可能性がある。導体層間の絶縁層の誘電率を低くすれば、容量を減らすことにより、このような望ましくない効果を減らすことができよう。
【0011】
誘電率を低下させるための多くの試みが、これまでに提案されている。より有望な解決策のひとつは、酸化ケイ素(SiOx)層内に、フッ素、または他のハロゲン元素、例えば塩素、臭素を取り込むことである。膜中にハロゲンを取り込む例は、出願番号第08/548,391号、出願日1995年10月25日、発明の名称「ハロゲンドープ酸化シリコン膜の膜安定性を改善するための方法と装置」、および出願番号第 08/538,696号、出願日1995年10月2日、発明の名称「より高い安定性を有するフッ素ドープ膜を堆積するためのSiF4の使用」であって、これらは Applied Materials, Inc.へ譲渡されている。
【0012】
酸化ケイ素膜の好ましいハロゲンドーパントであるフッ素は、それがSiO−F網状構造全体の分極率を低下させる陰性元素(electronagative element)なので、酸化ケイ素膜の誘電率を下げると考えられている。フッ化酸化ケイ素(fluorinated silicon oxide)膜は、フッ化ケイ素ガラス(FSG)膜とも呼ばれている。残念ながら、FSG層は堆積に比較的長時間を要することがある。
【0013】
図2は、シラン(SiH4)に対する4フッ化ケイ素(SiF4)の相対濃度の増加とともに、与えられた厚さの誘電体堆積に要する時間が増加し、時間または層厚の増加とともに、堆積率が減少することを示す。このことは、層の成長に伴ってプラズマが層の表面を加熱することがその理由で生ずるものと考えられる。フッ素は層のエッチャントとして働き、温度が高いほど、また、フッ素濃度が高いほど、エッチングが活発になる。
【0014】
層の堆積率に影響を及ぼすもうひとつの要因は、温度が高いほど、層が急速に解離してプラズマに逆戻りする可能性があることである。この解離は、起こり得るプラズマエッチングとフッ素エッチングに付加するものである。
【0015】
しかし、温度が高いほど成長中の層に取り込まれるフッ素は多いと考えられる。層内へ取り込まれない、或いは取り込まれが弱いフッ素は、自由フッ素のままである可能性がある。自由フッ素は水を吸収して、層の誘電率を高める可能性があり、フッ化水素酸を作り、これが層上の金属層と酸化物層をアタック(攻撃)する。
【0016】
取り込まれたフッ素は層の誘電率を低下させるが、これは望ましい特性である。しかし、温度が高いほどフッ素取り込みは増加し、層のエッチング率と解離率も高まる。従って、フッ素の取り込みを最大にするための望ましい温度は、魅力に欠ける遅い堆積率もたらす可能性がある。
【0017】
図3は、連続堆積させたフッ素ドープ層の誘電率が厚さとともに低下することを示す。従って、単一ステップで堆積させた層401は、図4に示したように勾配のある誘電率を持つかもしれない。層は全体として、合計4つの副層として示されているが、これは代表例である。誘電率は、高い初期値から低い最終値へ、単調減少するようである。これは、層の表面温度が時間とともに上昇し、このことが層内のフッ素濃度を高め、誘電率を低下させることに起因すると考えられている。
【0018】
このように、メーカーは、誘電率を下げるため、種々の誘電体層に、特に金属間誘電体層内に、フッ素を含ませることを望んでいる。また、これらの層は、できるだけ短時間内に堆積されること、しかも層全体にわたって誘電率がかなり均一であることが望ましい。また、これらの層は、アスペクト比が1.8より大きく、フィーチャ間が0.5ミクロン未満であるギャップを充填することが更に望ましい。
【0019】
【課題を解決するための手段】
本発明は、基板上の高アスペクト比の溝(trench)内に、4未満のほぼ均一な誘電率を有するハロゲンドープ二酸化ケイ素の層を堆積させるための効率的なプロセスを提供することにより、上記問題を解決する。この材料は、化学的気相から、Applied Materials, Inc. の Ultima System のような、好ましくは高密度プラズマ化学気相堆積(HDP−CVD)システムによって堆積される。
【0020】
高アスペクト比の溝は、シリコンウェーハ上へのアルミニウム堆積とパターニングなどの先行ステップによって形成することができ、溝の高さは、その幅の少なくとも約1.8倍である。そのような溝内のギャップは、約0.5から0.18μm、あるいはそれ以下、と狭くすることができる。ボイドを残すことなく溝を充填するため、HDP−CVDシステムは、プラズマ支援堆積プロセスにおいて、SiH4と酸素などの堆積ガス、SiF4などのハロゲン含有ガスと、アルゴンなどのスパッタリングガスを用いる。HDP−CVDシステムは、プラズマへ送られるRFエネルギーを供給する、RFソース電源とRFバイアス電源とを備えている。ソースRFエネルギーとバイアスRFエネルギーとの組合せは、高密度プラズマを提供する間、基板上に予め存在するフィーチャの損傷防止を支援する。
【0021】
層は、堆積させられるのと同時にエッチングされる。エッチングは、非反応性プラズマ核種(スパッターエッチングとして知られる)と反応性プラズマ核種(エッチャント)の両方によって行なわれる。プラズマへ印加されるRFバイアスパワーは、層形成中に基板の表面温度を下げて、エッチャント核種の反応性を低下させるために、そして、プラズマのスパッタリング成分を減少させるために、プロセス中は減らされる。基板の表面温度を下げると、いくつかのプロセス条件のもとでは、正味堆積率が増加する。このことは、高アスペクト比の溝が充填される間、溝を開口状態に維持することにより、効率的なギャップ充填特性を提供する。このプロセスにより、現行プロセスで可能なよりも高い堆積率で、低誘電率のハロゲンドープニ酸化ケイ素層がもたらされる。
【0022】
一実施例において、堆積プロセス中に基板の表面温度を下げて、エッチングの率に対する堆積の率を高めるために、RFバイアスパワーが下げられる。このバイアスパワーは、堆積システム内に存在する条件下で堆積層の急速な成長を促進するのに十分なレベルに維持される。この実施例においては、正味堆積率を更に高めるため、堆積ガスとエッチャントガスの流量を増加させてもよい。
【0023】
もう1つの実施例において、FSG膜を堆積させるため、少なくとも、シリコンソース、酸素ソース、およびフッ素ソースを含むプロセスガスが反応チャンバへ導入される。プロセスガスは更に、アルゴンなどの非反応性スパッタリングガスを含んでよい。FSG膜の第1部分の誘電率が確実に低くなるように、また、溝が充填される間、デバイスフィーチャ間のギャップを開口状態に維持するよう、適切な堆積対エッチング比を確実に保つために、フッ素対シリコンの初期比は十分高い。層の堆積中、堆積対エッチング比を高めるため、また層を時間的に適切に堆積させることを確実にするため、堆積ガスに対するエッチャント含有ガスの濃度が下げられる。
【0024】
堆積対エッチング比は多数の要因の組合せである。プラズマは、チャンバガスを解離させてプラズマとするソース成分と、プラズマ核種を形成中の層の表面へ移動させたり、そこから移動させるバイアス成分とを有する。バイアス成分は、堆積イオンを層表面へ搬送して層材料内へ結合させ、層材料をスパッタリングによって打撃してゆるめ、エッチャントイオンを表面へ搬送し、エッチングによって何らかの量の層材料を除去する。
【0025】
堆積対エッチング比は、エッチング率を実質的に一定にして堆積率を増加させるか、または堆積率を実質的に一定にしてエッチング率を減少させて、大きくしてよい。RFバイアスエネルギーを減少させると、エッチャント核種の活動低下によりエッチング率が低下するという理由、そして基板表面の温度低下により堆積率が低下するという理由の、両方によって、堆積対エッチング比が増加し、このことが層の成長率を高める。
【0026】
本発明の目的と利点を更に理解するためには、添付図面に関連して以下の詳細な説明を参照すべきである。
【0027】
【発明の実施の形態】
I.まえがき
一実施例において、ドープしてないシリコンガラスの誘電率より低い誘電率の、二酸化ケイ素のハロゲンドープした層を標準のHDP−CVDシステムにおいて堆積させる。これによってできる層は、他の方法によってできる類似の層よりも誘電率が均一で自由フッ素が少ない。
【0028】
II.CVDシステムの一例
図5は、本発明による誘電体層が堆積可能なHDP−CVDシステム10の一実施例を示す。システム10は、チャンバ13、真空システム70、ソースプラズマシステム80A、バイアスプラズマシステム80B、ガス給送システム33、および遠隔プラズマクリーニングシステム50を含む。
【0029】
チャンバ13の上部は、アルミナまたは窒化アルミニウムなどの誘電体材料製のドーム14を含む。ドーム14は、プラズマプロセス領域16の上側境界を画成する。プラズマプロセス領域16は、基板17の上面と基板支持部材18とによって底での境界が定められる。
【0030】
ドーム14上には、ヒータープレート23とコールドプレート24が載置されて、熱的に結合されている。ヒータープレート23とコールドプレート24により、ドーム温度を、約100℃から200℃の範囲にわたって約±10℃以内に制御することができる。これによって、様々なプロセスのためのドーム温度を最適化することができる。例えば、クリーニングプロセスまたはエッチングプロセスのためには、堆積プロセスのためよりも高い温度にドームを維持する必要があるかも知れない。ドーム温度を正確に制御することによって、チャンバ内の剥がれ落ち(フレーク)や微粒子の数を減らし、被堆積層と基板との間の付着を改善することができる。
【0031】
チャンバ13の下部は、チャンバを真空システムへ接続する本体部材22を含む。基板支持部材18の基部21は、ボディ部材22上に取付けられて、ボディ部材22とともに連続内面を形成する。基板は、ロボットブレード(図示せず)を用いてチャンバ13の側面にある挿入/取りだし開口(図示せず)を通して、チャンバ13に搬入搬出される。モーター(図示せず)は、ウェーハを上下するリフトピン(図示せず)を上下するリフトピンプレート(図示せず)を上下する。基板は、チャンバ13へ搬入されると、上昇したリフトピン上に載置され、次に基板支持部材18の基板受け部19まで降ろされる。基板受け部19は、基板処理中に基板を基板支持部材18に固定する静電チャック20を含む。
【0032】
真空システム70は、スロットルボディ25を含み、このスロットル本体は、2枚ブレード式スロットル弁26を格納しており、ゲート弁27とターボ分子ポンプ28に取付けられている。当初、1995年12月12日に出願され、出願番号第08/574,839号が付与されて、1996年9月11日に再出願され、出願番号第08/712724号が付与された、同時係属中の共同譲渡された米国特許出願、発明の名称「対称チャンバ」に記載されているように、ガス流に対するスロットル本体25の障害は最小限であり、対称的な圧送が可能であることが特記される。ゲート弁27は、ポンプ28をスロットルボディ25から隔離することができ、スロットル弁26が全開のとき排気流容量を制限することによってチャンバ圧力を制御することもできる。スロットル弁、ゲート弁、およびターボ分子ポンプのこの編成により、チャンバ圧力を約1から100ミリtorrまで、正確にかつ安定して制御することができる。
【0033】
ソースプラズマシステム80Aは、トップコイル29とサイドコイル30を含み、これらはドーム14に取付けられている。対称接地シールド(図示せず)が両コイル間の電気的結合を低減する。トップコイル29は、トップソースRFジェネレータ31Aから給電される一方、サイドコイル30はサイドソースRFジェネレータ31Bから給電され、各コイルの独自のパワーレベルと運転周波数が可能である。このデュアルコイルシステムにより、チャンバ13内の半径方向イオン密度の制御が可能であり、これによって、プラズマの均一性が改善される。サイドコイル30とトップコイル29は普通、誘導的に駆動され、相補型電極を必要とはしない。具体的な実施例において、トップソースRFジェネレータ31Aは、公称2メガヘルツで、2500ワットまでのRFパワーを供給し、サイドソースRFジェネレータ31Bは、公称2メガヘルツで5000ワットまでのRFパワーを供給する。プラズマ生成効率を改善するため、トップとサイドのRFジェネレータの運転周波数を、公称運転周波数からずらしてもよい。(例えば、それぞれ1.7〜1.9MHz、および1.9〜2.1MHzにずらす)。
【0034】
バイアスプラズマシステム80Bは、バイアスRFジェネレータ31Cとバイアスマッチングネットワーク32Cを含む。バイアスプラズマシステム80Bは、基板受け部19をボディ部材22に結合し、これらは相補型電極として働く。バイアスプラズマシステム80Bは、ソースプラズマシステム80Aによって生成されたプラズマ核種の、基板表面への移送を高める働きをする。具体的な実施例において、バイアスRFジェネレータは、13.56MHzで最高5000ワットまでのRFパワーを供給する。
【0035】
プロセスゾーン16内で生成されるRF電界に加えて、プロセスゾーン16内には直流(DC)電界を生成してもよい。例えば、本体部材22に対して負のDC電位を、基板受け部19に提供すると、正電荷を持つイオンの、基板17の表面への移送を促進することができる。
【0036】
両RFジェネレータ31Aと31Bは、ディジタル制御シンセサイザを含み、約1.7から約2.1MHzの周波数範囲にわたって作動する。各ジェネレータは、当該技術に普通に精通する者が理解しているように、チャンバとコイルからジェネレータへ反射して戻るパワーを測定して、最少の反射パワーが得られるように運転周波数を調節するRF制御回路(図示せず)を含む。RFジェネレータは普通、50Ωの特性インピーダンスを持つ負荷とともに作動するように設計されている。RFパワーを、ジェネレータとは異なる特性インピーダンスを持つ負荷から反射してもよい。これによって、負荷に伝えられるパワーを減らすことができる。加えて、負荷からジェネレータへ反射されるパワーが、ジェネレータに過負荷をかけてジェネレータを損傷する可能性がある。プラズマインピーダンスの範囲は、他の要因の中でもとりわけプラズマイオン密度に依存して5オーム未満から900オーム超まで許容されるので、また、反射されるパワーは周波数の関数でもあり得るので、反射されるパワーに応じてジェネレータの周波数を調節することによって、RFジェネレータからプラズマへ伝えられるパワーを増加させて、ジェネレータを保護する。反射されるパワーを減らして効率を改善するもうひとつの方法は、マッチングネットワークを用いることである。
【0037】
マッチングネットワーク32Aと32Bは、ジェネレータ31Aと31Bの出力インピーダンスを、それぞれのコイル29と30にマッチさせる。RF制御回路は、負荷の変化に応じてマッチングネットワーク内のキャパシタの値を変化させてジェネレータが負荷にマッチするように、両マッチングネットワークをチューニングすることができる。このRF制御回路は、負荷からジェネレータへ反射されるパワーが特定の限度を超えたとき、マッチングネットワークをチューニングすることができる。常にマッチさせて、RF制御回路がマッチングネットワークをチューニングすることを効果的に不能にするひとつの方法は、反射されるパワーの限度を、反射されるパワーの何れの予期値(expected value)より高く設定することである。これによって、マッチングネットワークをその最も直近の条件で一定に保持することにより、特定の条件下でプラズマの安定化を助長することができる。
【0038】
他の対策でプラズマの安定化を助長してもよい。例えば、RF制御回路を用いて、負荷(プラズマ)へ送られるパワーを判定し、層の堆積中、送られるパワーを実質的に一定に保つようにジェネレータの出力パワーを増減してもよい。
【0039】
ガス給送システム33は、いくつかのソースからガス給送ライン38(一部分のみ図示)を介して基板処理用チャンバへガスを供給する。ガスは、ガスリング37、トップノズル45、およびトップベント46を介してチャンバ13へ導入される。図6は、チャンバ13の部分断面略図であって、ガスリング37の詳細を追加的に示す。
【0040】
図6は、第1と第2のガスソース34Aと34B、および第1と第2のガス流量コントローラ35A’と35B’が、ガス給送ライン38(一部分のみ図示)を介して、ガスリング37内のリングプレナム36へガスを供給する一実施例を示す。ガスリング37は、基板全体にわたって均一なガス流を供給する複数のガスノズル39と40(2個のみ図示)を備えている。ノズルの長さとノズルの角度は、ガスリング37を交換することによって変えることができる。これによって、個々のチャンバ内での特定のプロセスのために、均一性プロフィルとガス利用効率とを、あつらえることができる。具体的な実施例において、ガスリング37は、合計24個のガスノズル、すなわち12個の第1ガスノズル40と、12個の第2ガスノズル39とを備える。
【0041】
ガスリング37は複数の第1ガスノズル40(1個のみ図示)を備え、これら第1ガスノズルは好ましい実施例において、複数の第2ガスノズル39と同一平面上にあり、かつ第2ノズルより短い。一実施例において、第1ガスノズル40は、本体プレナム41から1種類以上のガスを受け取り、第2ガスノズル39は、ガスリングプレナム36から1種類以上のガスを受け取る。いくつかの実施例においては、第1ガスノズルが酸化ガスの給送に用いられ、第2ガスノズルがソースガスの給送に用いられる場合のように、ガスをチャンバ13内へ噴射する前に、本体プレナム41内のガスとガスリングプレナム36内のガスとを混合しないことが望ましい。他の実施例においては、ボディプレナム41とガスリングプレナム36との間にアパチャー(開口部)(図示せず)を設けることによって、ガスをチャンバ13へ放射する前に混合することができる。一実施例において、第3と第4のガスソース34Cと34D、および第3と第4のガス流量コントローラ35Cと35D’が、ガス給送ライン38を介して、ガスをボディプレナムへ供給する。追加の弁、例えば43B(他の弁は図示せず)で、ガス流量コントローラからチャンバへのガスを遮断してもよい。
【0042】
いくつかの実施例において、シランや4フッ化ケイ素などの可燃性、毒性、または腐食性の、ガスを用いてもよい。これらの例では、堆積後のガス給送ライン内の残留ガスを除去することが望ましい。これは、例えば、弁43Bのような3方弁を用いてチャンバ13を給送ライン38から隔離して、給送ライン38を真空フォアライン44に排気して行ってもよい。図5に示したように、他の類似した、43Aと43Cのような弁を、35Aと35Cのような他のガス給送ライン上に組み込んでもよい。そのような3方弁は、(3方弁とチャンバとの間の)排気されないガス給送ラインの容積を最小にするため、できるだけチャンバ13に近づけて配置するのがよい。また、2方弁(オンオフ弁)(図示せず)を、質量流量コントローラ(MFC)とチャンバとの間、またはガスソースとMFCとの間に配置してもよい。
【0043】
再び図5を参照すると、チャンバ13は、トップノズル45とトップベント46も備えている。トップノズル45とトップベント46とによって、ガスの上部の流れと側部の流れの独立制御が可能となり、膜の均一性を改善し、膜の堆積パラメータとドーピングパラメータの微調整が可能になる。トップベント46は、ガス給送システムからチャンバ内へ流入するガスが通るトップノズル45周りの環状開口部である。一実施例において、第1のガスソース34Aは、第2のガスノズル39とトップノズル45に供給を行なうシランソースである。ソースノズルのMFC35A’は、第2ガスノズル39へ給送されるシランの量を制御し、トップノズルのMFC 35Aは、トップガスノズル45へ給送されるシランの量を制御する。同様に、2個のMFC 35Bと35B’は、ソース34Bのような単一の酸素ソースからトップベント46と第1ガスノズル40の両方への酸素の流量の制御に用いられることができる。トップノズル45とトップベント46とに供給される各ガスは、チャンバ13へ流入させる前は、別々にしたままでもよく、さもなければ、チャンバ13へ流入させる前にトッププレナム48内で混合してもよい。同一のガスの別々のソースを使って、チャンバの各部に供給してもよい。
【0044】
遠隔マイクロ波発生プラズマクリーニングシステム(remote microwave-generated cleaning system)50は、チャンバの構成要素から堆積残留物を定期的に清掃するために設けられている。このクリーニングシステムは、リアクターキャビティ53内に、フッ素、4フッ化ケイ素、またはその同等物等のクリーニングガスのソース34Eからプラズマを生成する遠隔のマイクロ波ジェネレータ51を含む。このプラズマから得られる反応性の核種は、アプリケーターチューブ55を介してクリーニングガス供給ポート54を通してチャンバ13へ送られる。クリーニングプラズマを収容するために用いられる(例えば、キャビティ53やアプリケーターチューブ55の)材料は、プラズマのアタックに対する耐性を持たねばならない。望ましいプラズマ核種の濃度はリアクターキャビティ53からの距離とともに減少するので、リアクターキャビティ53と供給ポート54との間の距離は、できるだけ短くするのがよい。遠隔のキャビティ内でクリーニングプラズマを発生させると、効率的なマイクロ波ジェネレータを用いることができ、元の場所のプラズマ内に存在するかも知れないグロー放電の温度、放射、または衝撃に、チャンバ構成要素をさらすことがない。従って、元の場所でのプラズマクリーニングプロセスで必要とされ得るように、静電チャック20のような比較的敏感な構成要素を、ダミーウェーハによってカバーしたり、別途保護したりする必要がない。クリーニングプロセスまたは他のプロセス中、ターボ分子真空ポンプ28をチャンバから隔離するため、ゲート弁27を閉じることができる。この構成において、フォアライン44は、普通は機械的真空ポンプである遠隔真空ポンプによって発生するプロセス真空を提供する。チャンバからターボ分子ポンプを、ゲート弁を用いて隔離することによって、チャンバ清掃プロセス、または他のプロセスに起因する腐食性化合物や他の潜在的な有害効果から、ターボ分子ポンプが保護される。
【0045】
システムコントローラ60は、システム10の運転を制御する。好ましい実施例において、コントローラー60は、ハードディスクドライブ、フロッピーディスクドライブ(図示せず)、およびカードラック(図示せず)のようなメモリ62を含む。カードラックは、シングルボードコンピュータ(BSC)(図示せず)、アナログおよびディジタル入出力ボード(図示せず)、インターフェイスボード(図示せず)、およびステッパーモーターコントローラーボード(図示せず)、を含むことができる。システムコントローラは、ボード、カードケージ、およびコネクターの寸法とタイプ、を定義しているVersa Modular European(VME)標準に適合する。VME標準はまた、バス構造を、16ビットデータバスと24ビットアドレスバスを有するもの、と定義している。システムコントローラ31は、ハードディスクドライブに記憶されたコンピュータプログラム、またはフロッピーディスクに記憶されたプログラムのような他のコンピュータプログラムの制御のもとで作動する。コンピュータプログラムは、特定のプロセスのタイミング、ガスの混合、RFパワーレベル、および他のパラメータを指定(dictate)する。ユーザーとシステムコントローラとの間のインターフェイスは、図7に示すように、陰極線管(CRT)65のようなモニター、およびライトペン66を介する。
【0046】
図7は、図5に例示したCVDチャンバに関連して用いられる、例としてのシステムのユーザーインターフェイスの一部を示す。システムコントローラ60は、メモリ62に接続されたプロセッサ61を含む。好ましくは、メモリ62はハードディスクドライブがよいが、もちろん、ROM、PROMなど、他の種類のメモリでもよい。
【0047】
システムコントローラ60は、コンピュータプログラムの制御のもとで作動する。コンピュータプログラムは、特定のプロセスのタイミング、温度、ガス流、RFパワーレベル、および他のパラメータを指定する。ユーザーとシステムコントローラとのインターフェイスは、図7に示すように、CRTモニター65、1本のライトペン66、および2本のライトペン66を介する。好ましい実施例において、2台のモニター65と65A、および2本のライトペン66と66Aを用い、1台のモニター(65)はクリーンルーム壁にオペレータ用として取付け、壁の後ろのもう1台のモニター(65A)はサービス技術者用である。両モニターには同じ情報が同時に表示されるが、ライトペンは1本だけ(例えば66)が使用可能である。オペレータが特定の画面または機能を選択するためには、表示画面上の1つの領域に触れて、ペンのボタン(図示せず)を押す。接触された領域がライトペンによって選択されたことは、例えば領域の色を変化させたり、新しいメニューを表示させたりして確認される。
【0048】
コンピュータプログラムコードは、68000アッセンブリ言語、C、C++、またはパスカルなど、コンピュータが読める任意の従来技術のプログラム言語で書くことができる。適当なプログラムコードが、従来のテキストエディタを用いて単一ファイルまたは複数のファイルに入力され、コンピュータのメモリシステムなど、コンピュータで使用可能な媒体に記憶、つまり組み込まれる。入力されたコードテキストが高レベル言語である場合、コードはコンパイルされ、得られたコンパイラーコードは次いで、予めコンパイルされたウィンドウズライブラリールーチンのオブジェクトコードにリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するには、システムユーザーはオブジェクトコードを起動して、コンピュータがコードをメモリ内にロードするようにさせ、そこからCPUがコードを読み出して実行し、プログラム内に識別されたタスクを実行する。
【0049】
図8は、コンピュータプログラム300の階層制御構造のブロック図である。ユーザーは、ライトペンインターフェイスを用いて、CRTモニター上に表示されたメニューまたは画面に応じて、プロセスセット番号とプロセスチャンバ番号をプロセスセレクターサブルーチン310に入力する。プロセスセットは、指定されたプロセスを果たすのに必要なプロセスパラメータの予め定められたセットであって、予め定められたセット番号によって識別される。プロセスセレクターサブルーチン310は、(i)マルチチャンバシステム内の希望のプロセスチャンバ、そして(ii)希望のプロセスを実行するためのプロセスチャンバを運転するのに必要なプロセスパラメータの希望のセット、を識別する。特定のプロセスを実行するためのプロセスパラメータは、例えば、プロセスガス成分と流量、温度、圧力、RFパワーレベルなどのプラズマ条件、およびチャンバドーム温度であって、ユーザーへはレシピの形で与えられる。レシピで規定されたパラメータは、ライトペン/CRTモニターインターフェイスを利用して入力される。
【0050】
プロセスをモニターするための信号は、システムコントローラのアナログ入力ボードとディジタル入力ボードによって与えられ、プロセス制御用信号は、システムコントローラ60のアナログ出力ボードとディジタル出力ボード上に出力される。
【0051】
プロセスシーケンサーサブルーチン320は、識別されたプロセスチャンバとプロセスパラメータをプロセスセレクターサブルーチン310から受けるための、そして種々のプロセスチャンバの運転制御のためのプログラムコードを含んでいる。複数のユーザーが、プロセスセット番号とプロセスチャンバ番号を入力することができるし、また、一人のユーザーが複数のプロセスセット番号とプロセスチャンバ番号を入力することができ、これによってシーケンサーサブルーチン320は、選択されたプロセスを希望の順序でスケジュールするように作動する。好ましくは、シーケンサーサブルーチン320は、(i)チャンバが使用中であるか否か判定するため、プロセスチャンバの運転をモニターするステップ、(ii)使用中のチャンバ内で何のプロセスが実行中であるか判定するステップ、および(iii)プロセスチャンバを利用可能であることと、実行すべきプロセスのタイプとに基づいて希望のプロセスを実行するステップ、のそれぞれを実行するためのプログラムコードを含む。ポーリングなど、プロセスチャンバをモニターする従来方法を用いることができる。どのプロセスを実行すべきかのスケジュールを立てる場合、シーケンサーサブルーチン320は、選択されたプロセスに関する希望のプロセス条件と比較して使用中のプロセスチャンバの現在の条件を考慮するように、またはリクエストを入力した各特定のユーザーの「年齢」を考慮するように、またはスケジュール上の優先順位を決定するためにシステムプログラマが含めることを望む他の任意の関連要因を考慮するように設計することができる。
【0052】
シーケンサーサブルーチン320は、どのプロセスチャンバとプロセスセットの組合せが次に実行されようとしているかを判定した後、特定のプロセスセットパラメータをチャンバマネージャーサブルーチン330A−Cへ転送してプロセスセットを実行させるが、このサブルーチン330A−Cは、シーケンサーサブルーチン320によって決定されたプロセスセットに従って、チャンバ13と、場合によっては他のチャンバ(図示せず)での複数のプロセスタスクを制御する。
【0053】
チャンバ構成部品サブルーチンの例としては、基板位置決めサブルーチン340、プロセスガス制御サブルーチン350、圧力制御サブルーチン360、およびプラズマ制御サブルーチン370がある。当該技術に通常に精通した者は、チャンバ13での実行を希望するプロセスが何であるかによって、他のチャンバ制御サブルーチンを含め得ることを認識するであろう。運転中、チャンバマネージャーサブルーチン330Aは、実行中の特定のプロセスセットに従って、プロセス構成要素サブルーチンを選択的にスケジュールするか、呼出す。チャンバマネージャーサブルーチン330Aによるスケジューリングは、どのプロセスチャンバとプロセスセットを実行すべきかのスケジューリングにおいて、シーケンサーサブルーチン320によって用いられるのと類似する方法で行われる。普通、チャンバマネージャーサブルーチン330Aは、種々のチャンバ構成要素をモニターするステップ、実行すべきプロセスセットに関するプロセスパラメータに基づいて、どの構成要素を運転する必要があるかを判定するステップ、およびモニタリングステップと判定ステップに応答してチャンバ構成要素サブルーチンを実行させるステップ、を含む。
【0054】
ここで、特定のチャンバ構成要素サブルーチンの動作を、図8を参照して説明する。基板位置決めサブルーチン340は、基板を基板支持部材18上へロードするために用いられるチャンバ構成要素を制御するためのプログラムコードを含む。基板位置決めサブルーチン340はまた、他のプロセスが完了した後、マルチチャンバシステムにおける、例えばプラズマ強化CVD(PECVD)リアクタまたは他のリアクタからチャンバ13内への基板の移送を制御してもよい。
【0055】
プロセスガス制御サブルーチン350は、プロセスガスの成分と流量とを制御するためのプログラムコードを含む。サブルーチン350は、安全遮断弁の開閉位置を制御するとともに、希望のガス流量を得るため質量流量コントローラの立上げ立下げを行なう。プロセスガス制御サブルーチン350を含め、すべてのチャンバ構成要素サブルーチンは、チャンバマネージャーサブルーチン330Aによって起動される。サブルーチン350は、希望のガス流量に関連してチャンバマネージャーサブルーチン330Aからプロセスパラメータを受け取る。
【0056】
普通、プロセスガス制御サブルーチン350は、ガス給送ラインを開くことによって作動し、(i)必要な質量流量コントローラを読むこと、(ii)読取り値を、チャンバマネージャーサブルーチン330Aから受け取った希望流量と比較すること、および(iii)必要に応じてガス給送ラインの流量を調節すること、を繰り返す。更に、プロセスガス制御サブルーチン350は、不安全な流量に関してガス流量をモニターするステップと、不安全状態が検出された場合、安全遮断弁を作動させるステップを含んでもよい。
【0057】
いくつかのプロセスにおいて、チャンバ13へ反応性のプロセスガスを導入する前に、チャンバ内の圧力を安定させるため、アルゴンなどの不活性ガスをチャンバ内へ流し込む。このようなプロセスにおいて、チャンバ内圧力の安定化に要する時間だけ不活性ガスをチャンバ13へ流入させるステップを含むように、プロセスガス制御サブルーチン350がプログラムされる。次いで、上記の各ステップを実行してよい。
【0058】
更に、プロセスガスを、液体の前駆体、例えばテトラエトキシシラン(TEOS)から気化させる場合、プロセスガス制御サブルーチン350は、ヘリウムのような給送ガスをバブラーアセンブリ内の液体の前駆体を通してバブル化するためのステップ、またはヘリウムを液体噴射弁へ導入するためのステップを含むことができる。このタイプのプロセスに関して、プロセスガス制御サブルーチン350は、希望のプロセスガス流量を得るため、給送ガスの流れ、バブラー内圧力、およびバブラーの温度を調節する。上で検討したように、希望のプロセスガス流量はプロセスパラメータとしてプロセスガス制御サブルーチン350へ転送される。
【0059】
更に、プロセスガス制御サブルーチン350は、与えられたプロセスガス流量に関する必要な数値を含んで保存されているテーブルにアクセスして、希望のプロセスガス流量に関する必要な給送ガス流量、バブラー圧力、およびバブラー温度を得るためのステップを含む。一旦必要な数値が得られると、給送ガス流量、バブラー圧力、およびバブラー温度は、モニターされ、所要値と比較され、適宜調節される。
【0060】
プロセスガス制御サブルーチン350は、ウェーハチャック内の内側通路と外側通路を通るヘリウム(He)のような熱伝達ガスの流れを、独立したヘリウム制御(IHC:independent helium control)サブルーチン(図示せず)によって制御してもよい。このガス流は、チャックに基板を熱的に結合する。代表的なプロセスにおいて、ウェーハは、層を形成するプラズマと化学反応とによって加熱され、ヘリウムがチャックを介して基板を冷却するが、このチャックは水冷式であってもよい。これにより、基板上の既存フィーチャを損傷する可能性のある温度未満に、基板温度を保持することができる。
【0061】
圧力制御サブルーチン360は、チャンバ13の排気部内のスロットル弁の開度を調節することによってチャンバ内の圧力を制御するためのプログラムコードを含む。スロットル弁によってチャンバを制御する、少なくとも2つの基本的な方法がある。第1の方法は、チャンバ圧力がとりわけ、合計プロセスガス流、チャンバのサイズ、および圧送容量に関連するので、チャンバ圧力を特性化することに依存する。第1の方法では、スロットル弁26を定位置に設定する。スロットル弁26を定位置に設定すると、最終的結果は定常状態圧力となる。
【0062】
代替として、チャンバ圧力を、例えばマノメーターで測定し、制御点が、ガス流と排気容量によって設定される境界内にあるものと見なして、スロットル弁26の位置を圧力制御サブルーチン360によって調節してもよい。前者の方法では、後者の方法に係わる測定、比較、および計算は起動されないので、チャンバ圧力の変化が速くなる可能性がある。前者の方法は、チャンバ圧力の正確な制御が要求されないところで望ましい一方、後者の方法は、層を堆積させる間など、正確で、繰り返し可能で、安定した圧力が求められる場合に望ましいかもしれない。
【0063】
圧力制御サブルーチン360が起動されると、希望の(または目標の)圧力レベルを、チャンバマネージャーサブルーチン330Aからパラメータとして受け取る。圧力制御サブルーチン360は、チャンバ13に接続された1台またはそれ以上の従来の圧力マノメーターを読み、測定値を目標値と比較し、目標圧力に対応して、記憶された圧力テーブルから比例、積分、および微分の各値(PID)を求め、圧力テーブルから得たPID値に従ってスロットル弁26を調節する。代替として、圧力制御サブルーチン360は、チャンバ13内の圧力を、希望の圧力または圧力範囲に調節するため、スロットル弁26を特定の開度に合わせるように開閉することができる。
【0064】
プラズマ制御サブルーチン370は、RFジェネレータ31Aと31Bの周波数とパワー出力の設定を制御するための、そしてマッチングネットワーク32Aと32Bをチューニングするためのプログラムコードを含む。プラズマ制御サブルーチン370は、先に説明したチャンバ構成要素サブルーチンと同様に、チャンバマネージャーサブルーチン330Aによって起動される。
【0065】
上記のいくつかのサブシステムやルーチンの一部またはすべてを組込むことができるシステムの一例は、本発明を実施するように構成された、Applied Materials 製の Ultima System であろう。
【0066】
III.構造例
図9は、フィーチャを含む、本発明の集積回路600の断面略図を示す。図9に示すように、集積回路600は、NMOSトランジスタ603とPMOSトランジスタ606を含み、これらはフィールド酸化物領域620によって相互に電気的に隔離されている。各トランジスタ603と606は、ソース領域612、ゲート領域15、およびドレイン領域618を備えている。
【0067】
プリメタル誘電体層621が、トランジスタ603と606を金属層631から隔てており、金属層631と両トランジスタ間の接続はコンタクト624により行なわれる。金属層631は、集積回路600に含まれる4つの金属層631から634の内の1つである。金属層631から634の各々は、それぞれ介在する金属間誘電体層627、628、と629によって隣接する金属層から分離されていて、アルミニウム堆積とパターニング等の処理ステップによって形成してよい。隣接する金属層は選択された開口部においてバイア626によって接続されている。金属層634の上に堆積させられているのは、平坦化されたパッシベーション層640である。
【0068】
本発明の実施例は、特に金属間誘電体層(IMD)に有用であるが、集積回路600に示した各誘電体層にも用いることができる。導電性トレースのアスペクト比が高くなり、これらトレース間のギャップ空間が減るにつれて、関連する各IMD層が水平面のみならず垂直面にも重なることは、注目に値する。集積回路600は説示目的のため単純化してある。当該技術に精通する者の中には、本発明を用いて他の集積回路、例えばマイクロプロセッサ、用途を特定した集積回路(ASIC)、メモリデバイス、等を製造することができよう。更に、本発明の方法は、他の技術、例えばBiCMOS、NMOS、バイポーラその他を用いて集積回路を製造するのに利用することができる。
【0069】
IV.低誘電率FSG膜の堆積
図10(A)から(C)までは、本発明に従ってプロセスした基板の垂直断面図である。図2の曲線を参照して図10(A)から(C)の構造に関して説明されているギャップ充填理論は、FSG層形成の単純化モデルにも適用する。アスペクト比の高い溝を充填する場合、その形状寸法、反応性核種の表面濃度、および層核種の反応性は、層の形成中に変化する。これは、溝701の底まで及ぶ活性核種の拡散と、プラズマによって高められた溝内での反応の両方によると思われる。普通、基板のフィールド702(上面)上への初期堆積率は、溝701の底部での初期堆積率の約1.2から1.4倍である。堆積中にはエッチャントが存在するので、フィーチャ706のコーナー705の所の誘電体層703はファセット(斜面)704を形成し、溝710(図10(B))は、図10(C)に示すように、ギャップを残さずに充填する。
【0070】
堆積率とエッチング率は、両方とも温度に依存するので、温度を制御することによって堆積率対エッチング率の比に影響を及ぼすことができる。RFパワーと反応熱が合同して基板を加熱する。基板とウェーハホルダーとの間にヘリウムガスを流して基板は冷却されるが、閉ループのウェーハホルダ冷却システムは、基板温度を一定に確保することはない。
【0071】
次に図11において、本発明の実施例に従って基板100上に形成された絶縁膜800を示す。絶縁膜800は、回路600の誘電体層のいずれにも使用可能であり、全体として比較的速い率で成長させられる、低誘電率で、良好なギャップ充填特性と高い安定性を持ち、形状追従層を提供するフッ素ドープ酸化ケイ素膜である。更に、誘電率は、層800の800A部分と800B部分を通じてほぼ一定である。これは、図4に示した、層内で誘電率が変化する従来技術の層とは対照的である。
【0072】
図12は、図11に示したような絶縁層を堆積させるための好ましい実施例に用いられるプロセス例のフローチャートを示す。本発明に従って絶縁層800を形成するには、基板17(図5)を、真空ロックドア(図示せず)を通してプロセスチャンバ13内の基板支持部材18(図12、ステップ902)上にロードする。基板が正しく位置決めされると、ガス流が確立される(ステップ903)。プロセスガスは、約2から10ミリtorr、好ましくは約4ミリtorrのチャンバ圧力で導入されるのが好ましい。薄膜800の堆積中を通じて、真空ポンプ28の容量を一定に保ちながら、ステッパーモーター(図示せず)によってスロットル弁26を操作して、圧力を維持してよい。
【0073】
ガス流と圧力が確立されると、チャンバ内にプラズマを確立するため、RFソースバイアスが印加される(ステップ904)。ソースRFが確立された後、フルバイアスRFを印加する(ステップ906)前にバイアスRFをプリセットする(適切な運転状態を確立するため、比較的低いレベルでオンにする)(ステップ905)。バイアスRFが確立されると、SiF4ガス流が確立される(ステップ907)。バイアスRFが確立されるや否や、基板上には多少の堆積が発生するが、その直後にSiF4の流れが続くので、実質的にすべての堆積層がフッ素を含有する。
【0074】
層の第1の部分は、ステップ908で基板上に堆積させられる。層の第1の部分が堆積された後、バイアスRFパワーを約10%低くし(ステップ909)、これによってウェーハ加熱を低減する。層のもう1つの部分を堆積させる場合、SiH4、O2、およびSiF4の各ガス流を増加させ(ステップ910)、層の第2の部分を堆積させる(ステップ911)。
【0075】
このプロセスガスは、SiH4、SiF4、酸素、およびアルゴンまたは同様の不活性ガスを含む。本発明に従って膜を形成するため、他のシリコンソース(例えばTEOSなど)およびフッ素ソース(例えばCF4など)を用いてもよい。具体的な一実施例において、プロセスチャンバ10へは、SiH4とSiF4の割合が概ね、ステップ908においてはSiF4 0.75部対SiH4 1部、ステップ911においてはSiF4 0.81部対SiH4 1部で導入される。プロセスガスは、5から100sccmのSiF4、15から120sccmのSiH4、および25から300sccmのO2で形成してよい。アルゴンは約10から50sccm、好ましくは、約45から50sccmの流量で導入してよい。これらの流量は、直径が約127mmから300mmまでのウェーハを収容するのに適する約20リッターの容積を持つチャンバ用として与えられる。当該技術に精通する者は、チャンバのサイズとともにガス流量が変化し、それに従って調節するのがよいことを認識するであろう。
【0076】
膜800の堆積中(ステップ908と911)、基板の表面温度は0から500℃、好ましくは約350℃が望ましい。これらのタイプの膜は、高い温度で堆積させた場合には高い品質を持つので(熱的に成長させた膜にかなり近い)、基板上の既存構造の損傷を引き起こさないようにして、基板表面温度をできるだけ高くすることが望ましい。しかし、基板上に既に存在する層のため、温度に上限があるかも知れない。例えば、アルミニウム層を持つ基板は、この層に損傷が生ずる恐れがあるので、一般に約350から400℃を超えて加熱しない。このシステムにおいては、基板の下面の下方の静電チャック(20、図5)内にある外側冷却リングと内側冷却リングの中を循環する、ヘリウムのような熱移送ガスによって、基板は冷却される。静電チャックは、当該技術に精通する者には周知の方法で、静電気力を用いて基板を保持する。
【0077】
高い堆積率を可能にする温度に基板を維持することが更に望ましい。基板の表面上の堆積ガスの発熱反応と同様に、プラズマは基板表面を加熱する可能性がある。層の化学成分、層を形成する速度、および基板上の既存フィーチャへの損傷はすべて、基板表面での温度に依存するので、この温度に寄与するパラメータを制御することが重要である。冷却システムは、ウェーハホルダー内を循環する水の温度を65℃に維持するが、基板の表面温度はこれより高くなる可能性があり、基板とウェーハホルダーを横断して温度勾配が生じる。バイアスプラズマエネルギーが基板を加熱するので、バイアスプラズマパワーを下げると、加熱率が下がり、基板の表面温度が下がる。これにより、層の成長率が高まる。
【0078】
堆積中、トップノズル45とソースガスノズル39を介してアルゴンガスがチャンバに導入される。誘導プラズマを形成するため、SRFジェネレータ31Aと31BによってRFエネルギーがトップコイル29とサイドコイル30に与えられる。SRFジェネレータ31Aと31Bとは、このプロセスを通じてトップコイルとサイドコイルにRFエネルギーを与え続ける。SRFジェネレータ31Aと31Bとが、公称周波数約2MHzで、約0から5000ワット、好ましくは約4400ワットで駆動される。このパワーは、例えば、約1.8MHzで駆動されるトップコイル29と、例えば2.1MHzで駆動されるサイドコイル30とに振り分けられる。トップコイルが約1300ワット、サイドコイルが約3100ワットを得るようにしてよい。更に、BRFジェネレータ31Cは、約13.56MHzの周波数と、ステップ908の間、約3350ワットのパワー、ステップ911の間、約3000ワットのパワーで駆動される。BRFジェネレータ31Cからのパワーが基板受け部19へ配給される一方、チャンバ本体部材22が容量結合用の相補型電極を提供する。
【0079】
表1は、本発明による1つのステップ堆積プロセスのレシピを示す。その結果として得られる層は、図11に示した層に類似した層であり、約3.5の実質的に均一な誘電率を有する。各ステップの1つのパラメータは、堆積対エッチング(D/E)比である。このD/E比は、この考察に関しては、次のように定義する:
D/E=Ds/(Ds+Ds+b
ここで、Dsは、ソースRFだけが与えられた場合の堆積率、D(s+b)はソースRFとバイアスRFの両方が与えられた場合の堆積率である。本発明のこの実施例は、アスペクト比が約4:1である0.25ミクロン未満(sub-2.5μm)のデバイスに用いることができる。チャンバ圧力は約2から10ミリtorr、好ましくは約4から5ミリtorrでよい。10ミリtorrを超えると、ギャップを形成しないで溝を充填することはできない。
【0080】
【表1】
Figure 0004230563
今1つの実施例において、RFバイアスパワーレベルを調節せずに堆積対エッチング特性を改変するためには、フッ素対シリコン比が調節される。4フッ化ケイ素とシランを、堆積シーケンスの初期に、概ねSiF4 0.70部対SiH41部の比でチャンバ内へ導入する。SiF4対SiH4の比は、プロセスの終期までには、ほぼ0.50に低減する。この比は、初期状態から終期状態へと、ステップ状に、または連続的に変化させることができる。堆積プロセス中、ウェットエッチング率比(WERR)を用いてチャンバ条件が較正されて、基板温度を約350から400℃までの範囲にした。当該技術に精通する者には周知のように、WERR法は、堆積したSiO2層のエッチング率を、熱的に成長させたSiO2層のエッチング率と比較する。フッ素の初期濃度が比較的高いと、約1.5の初期堆積対エッチング比をもたらす一方、フッ素の最終濃度が、約2.0の最終的な堆積対エッチング比をもたらす。その結果できる層の比誘電率は均一で、約3.5である。この場合の堆積対エッチング比の変化は、相対的フッ素濃度に起因する。チャンバ条件、例えば堆積温度を変えると、堆積対エッチング比、ひいては堆積率が変化する。
【0081】
上記方法は、公称5リッターのチャンバ内の200mmウェーハに適しているが、本発明の方法は、上述の具体的パラメータによって制限されるものではない。当該技術に通常に精通する者は、ガス流量などの処理パラメータが、異なったチャンバと異なったプロセス条件とに関して変化し得ること、また、本発明の精神から逸脱することなく、別の反応体ソースを使用可能であることを認識するだろう。例えば、上記のプロセスは、150mmあるいは300mmのウェーハ用に、または異なる容積が排気容量を持つチャンバ、あるいはプロセスゾーンから離れてプラズマを発生するチャンバ用に適合させることができる。本発明によって絶縁層を堆積させる他の同等のまたは代替の方法は、当該技術に精通する者には明らかであろう。例えば、本発明の実施例に従って生産される膜は、膜を更に安定させるために、加熱サイクルを含んでもよい。これら同等のもの、および代替のものを、本発明の範囲に含めることが意図されている。その他のバリエーションは、当業者には明らかであろう。従って、添付の請求項以外のことは、本発明を制限しないものと意図する。
【図面の簡単な説明】
【図1】(A)は、プロセス途中である従来技術基板の垂直断面図であり、高アスペクト比の溝を画成するフィーチャのエッジ上の堆積物蓄積を示す。(B)は、(A)の従来技術基板の垂直断面図であり、堆積プロセス完了時に高アスペクト比の溝内に形成されたボイドを示す。
【図2】SiF4対SiH4の種々の比に関する、FSG層の成長率を表すグラフである。
【図3】SiF4:SiH4比を一定の0.5として成長させた、FSG層の膜厚に対する誘電率の低下を示すグラフである。
【図4】SiF4:SiH4の名目的な比を一定の0.5として堆積させた従来技術のFSG層の垂直断面図であり、比誘電率の変化を示す。
【図5】本発明によるHDP−CVDシステムの一実施例の略図である。
【図6】図5に例示したCVD処理チャンバに関連して用いることのできるガスリングの断面略図である。
【図7】図5に例示したCVD処理チャンバに関連して用いることのできるモニターとライトペンの略図である。
【図8】図5に例示したCVD処理チャンバを制御するのに用いるプロセス制御コンピュータプログラム製品例のフローチャートである。
【図9】本発明による集積回路の断面略図である。
【図10】(A)、(B)、(C)は、本発明に従って、ギャップ内に堆積中の絶縁膜の断面略図である。
【図11】本発明に従って堆積された絶縁膜の断面略図である。
【図12】本発明のプロセスに従うひとつのプロセスを用いて絶縁膜を施す際に実行されるステップを説明するフローチャートである。

Claims (7)

  1. 基板上に膜を形成する方法であって:
    (a)ケイ素含有ガス、ハロゲン含有ガス、および酸素を、第1の流量でチャンバへ流入させるステップと;
    (b)RFソースジェネレータを用いて、前記チャンバ内にプラズマを生成するステップと;
    (c)RFバイアスジェネレータを用いて、第1のバイアスパワーレベルでRFバイアスパワーを、前記プラズマへ印加するステップと;
    (d)第1の堆積対エッチング比(deposition-to-etch ratio)で膜の第1の部分を、基板上に堆積させるステップと;
    (e)前記ケイ素含有ガス、前記ハロゲン含有ガス、および前記酸素の前記第1の流量を、第2の流量へ増加させるステップと;
    (f)前記RFバイアスパワーを、第2のバイアスパワーレベルへ低減するステップと;
    (g)前記第1の堆積対エッチング比より大きい第2の堆積対エッチング比で、基板上に膜の第2の部分を堆積させるステップと;を含む方法。
  2. 前記RFソースジェネレータが、2MHzのソース周波数と12から16W/cm2のソースパワーレベルで作動し、前記RFバイアスジェネレータが、13.56MHzの周波数と7から13W/cm2のバイアスパワーレベルで作動する、請求項1に記載の方法。
  3. 前記ケイ素含有ガスがSiH4であり、前記ハロゲン含有ガスがSiF4である、請求項1に記載の方法。
  4. 前記プロセスガスが更にスパッタリングガスを含む、請求項1に記載の方法。
  5. フッ素ソースが4フッ化ケイ素(SiF4)であり、ケイ素ソースがシラン(SiH4)である、請求項1に記載の方法。
  6. シリコンソースがSiH4を含み、ハロゲンソースがフッ素を含む、請求項1に記載の方法。
  7. SiF4対SiH4比が、前記膜の第1の部分の堆積では0.6から0.8の間であり、前記膜の第2の部分の堆積では0.4から0.6の間である、請求項3に記載の方法。
JP15464698A 1997-06-03 1998-06-03 低誘電率膜用高堆積率レシピ Expired - Fee Related JP4230563B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/868,595 US6136685A (en) 1997-06-03 1997-06-03 High deposition rate recipe for low dielectric constant films
US08/868595 1997-06-03

Publications (2)

Publication Number Publication Date
JPH10340900A JPH10340900A (ja) 1998-12-22
JP4230563B2 true JP4230563B2 (ja) 2009-02-25

Family

ID=25351965

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15464698A Expired - Fee Related JP4230563B2 (ja) 1997-06-03 1998-06-03 低誘電率膜用高堆積率レシピ

Country Status (2)

Country Link
US (1) US6136685A (ja)
JP (1) JP4230563B2 (ja)

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998838A (en) * 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6455232B1 (en) * 1998-04-14 2002-09-24 Applied Materials, Inc. Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6129819A (en) * 1998-11-25 2000-10-10 Wafertech, Llc Method for depositing high density plasma chemical vapor deposition oxide in high aspect ratio gaps
US6444593B1 (en) * 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6252303B1 (en) * 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
WO2001018830A1 (de) * 1999-09-06 2001-03-15 Forschungszentrum Jülich GmbH Dünnschichtkondensator
US6268274B1 (en) * 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6376391B1 (en) * 1999-12-30 2002-04-23 Novellus Systems Inc Pulsed or tailored bias for filling gaps with low dielectric constant material
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
JP2001338976A (ja) * 2000-05-26 2001-12-07 Fujitsu Ltd 半導体装置の製造方法
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20030162363A1 (en) * 2002-02-22 2003-08-28 Hua Ji HDP CVD process for void-free gap fill of a high aspect ratio trench
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US7008803B2 (en) * 2002-10-24 2006-03-07 International Business Machines Corporation Method of reworking structures incorporating low-k dielectric materials
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6914015B2 (en) * 2003-10-31 2005-07-05 International Business Machines Corporation HDP process for high aspect ratio gap filling
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
ITMI20062271A1 (it) * 2006-11-27 2008-05-28 Stmicroeletronics S R L Processo di deposizione al plasma con parametri di processo variabili
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR100870567B1 (ko) * 2007-06-27 2008-11-27 삼성전자주식회사 플라즈마를 이용한 이온 도핑 방법 및 플라즈마 이온 도핑장치
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP2009177023A (ja) * 2008-01-25 2009-08-06 Nec Corp 多孔質絶縁膜及びその形成方法並びに半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140186544A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8993446B2 (en) * 2013-04-23 2015-03-31 Globalfoundries Inc. Method of forming a dielectric film
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9455136B2 (en) * 2015-01-23 2016-09-27 Infineon Technologies Austria Ag Controlling the reflow behaviour of BPSG films and devices made thereof
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6606609B2 (ja) * 2016-06-20 2019-11-13 東京エレクトロン株式会社 被処理体を処理する方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPS61276977A (ja) * 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
KR910006164B1 (ko) * 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) * 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing

Also Published As

Publication number Publication date
JPH10340900A (ja) 1998-12-22
US6136685A (en) 2000-10-24

Similar Documents

Publication Publication Date Title
JP4230563B2 (ja) 低誘電率膜用高堆積率レシピ
JP4237845B2 (ja) 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
US6200911B1 (en) Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
KR101027265B1 (ko) Hdp-cvd 다단계 갭충진 프로세스
KR100870853B1 (ko) 플라즈마 공정용 플라즈마 전하의 손상을 감소시키는 방법
US6335288B1 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6596653B2 (en) Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20050048801A1 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050181632A1 (en) HDP-CVD deposition process for filling high aspect ratio gaps
EP1071833B1 (en) Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
JP5019676B2 (ja) Hdpcvd処理によるトレンチ充填

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081125

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081204

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131212

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees