JP4237845B2 - 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化 - Google Patents

最適なkのhdp−cvd処理のためのレシピステップのシーケンス化 Download PDF

Info

Publication number
JP4237845B2
JP4237845B2 JP15465398A JP15465398A JP4237845B2 JP 4237845 B2 JP4237845 B2 JP 4237845B2 JP 15465398 A JP15465398 A JP 15465398A JP 15465398 A JP15465398 A JP 15465398A JP 4237845 B2 JP4237845 B2 JP 4237845B2
Authority
JP
Japan
Prior art keywords
chamber
fluorine
gas
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP15465398A
Other languages
English (en)
Other versions
JPH118230A (ja
Inventor
オーツィック マシィク
ムルゲシュ ラクスマン
ナーワンカー プラヴィン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH118230A publication Critical patent/JPH118230A/ja
Application granted granted Critical
Publication of JP4237845B2 publication Critical patent/JP4237845B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/06Glass compositions containing silica with more than 90% silica by weight, e.g. quartz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2201/00Glass compositions
    • C03C2201/06Doped silica-based glasses
    • C03C2201/08Doped silica-based glasses containing boron or halide
    • C03C2201/12Doped silica-based glasses containing boron or halide containing fluorine
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2203/00Production processes
    • C03C2203/40Gas-phase processes
    • C03C2203/42Gas-phase processes using silicon halides as starting materials
    • C03C2203/46Gas-phase processes using silicon halides as starting materials fluorine containing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Manufacture, Treatment Of Glass Fibers (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、集積回路の製造に関する。より詳細には、本発明は、低い誘電率を有する高品質のフッ素ドープ絶縁薄膜の堆積のための方法と装置を含む技術を提供する。
【0002】
【従来の技術】
半導体デバイスの形状寸法は小さくなり続けており、製造されるウェーハ当たりのデバイスの数が増加し、デバイス速度も高くなっている。現在、フィーチャ間の間隔が0.25ミクロン(μm)未満のデバイスが製造されており、さらには、デバイスフィーチャ間の間隙が0.18ミクロンのデバイスもある。これらフィーチャの一例は、金属層上にパターン化された導電性のラインすなわちトレースである。誘電体でできた非導体層、例えば二酸化ケイ素が、パターン化された金属層の間と上に堆積されることが多い。この誘電体層はいくつかの目的があり、物理的または化学的な損傷から金属層を保護すること、他の層から金属層を絶縁すること、導電性フィーチャを相互に絶縁すること、が含まれる。これら導電性フィーチャ間の間隔すなわちギャップが小さくなるにつれて、誘電材料でギャップを充填することがが次第に困難となる。
【0003】
アルミニウムトレースでのウェーハ処理は、ウェーハの温度を、アルミニウムに損傷が発生する温度未満に保つ必要がある。アルミニウムは、アルミニウム化合物の形成を含め、アルミニウムの溶解、または化学的アタックにより損傷する。化学的気相堆積(chemical vapor deposition = CVD)は普通、層を形成するのに必要な反応を誘発するための高い温度が必要である。堆積温度を下げるため、各種の方法が用いられる。いくつかの方法では、反応性の高い堆積ガスの使用に重点をおいている。また、他の方法では堆積システムへ電磁エネルギーをかける。電磁エネルギーをかけることにより、反応核種を成長層に対して動かすことで、堆積ガスの反応に要する温度を下げ、堆積層を改善するという両方が可能になる。
【0004】
より低い誘電率を得るとともに、ギャップを誘電材料で充填するための多くの試みが、これまでに提案されている。有望な解決策の一つは、二酸化ケイ素層へハロゲン元素を取り込むことである。膜へのハロゲン取り込みの例は、米国特許出願第 08/548,391号、出願日1995年10月25日、発明の名称「ハロゲンドープ酸化ケイ素膜の膜安定性改善のための方法と装置」と、米国特許出願第 08/538,696号、出願日1995年10月2日、発明の名称「Fドープ膜を堆積するためのSIF4の使用」とに記載されており、いずれもApplied Materials, Inc. へ譲渡されている。
【0005】
酸化ケイ素膜の好ましいハロゲンドーパントであるフッ素は、それがSiOF網状構造全体の分極率を低下させる陰性元素(electronagative element)なので、酸化ケイ素膜の誘電率を下げると考えられている。フッ素ドープ酸化ケイ素(fluorinated silicon oxide)膜は、フッ化ケイ素ガラス(FSG)膜とも呼ばれている。
【0006】
フッ素を二酸化ケイ素層へ組込むと、誘電率が下がるとともに、堆積薄膜のギャップ充填特性も改善できる。フッ素はエッチング核種なので、フッ素が堆積している時に、フッ素が膜をエッチングすると考えられる。この同時堆積/エッチング効果は、ギャップのコーナー部を優先的にエッチングするので、ギャップが開いたままに保たれ、ギャップはボイドの無いFSGで充填される。
【0007】
残念ながら、FSG層に伴ういくつかの問題がある。その一つは、形成不良のFSG層は、大気中から、または堆積プロセスに伴う反応生成物から、水分を吸収する可能性があることである。水分の吸収によってFSGの誘電率は上昇する。吸収された水分は、後続のウェーハ処理ステップにも影響を及ぼす。多くの用途において、FSG層は約450℃未満では著しく水蒸気を脱着しないことが望ましい。
【0008】
【発明が解決しようとする課題】
水分の吸収と脱着を低減するために用いる技術のひとつは、FSGの堆積後にウェーハを焼成することである。焼成は、FSG層の堆積直後に同一チャンバ内で、あるいは後刻オーブン内で行なってよい。焼成によってFSG層内の水分はある程度追い出されるが、状況によっては層が水分を再吸収する可能性がある。例えば、FSG堆積と焼成後、速やかにウェーハ処理を続行する場合には、水分の再吸収は問題にならないかも知れない。しかし、製造環境によっては、FSG堆積に続くウェーハ処理が、何日も、あるいは何週間も行なわれないことがあるので、水分の再吸収条件が潜在的にもたらされる。ウェーハ処理のステップ間には、プロセス作業待ち、分散製造(すなわち一つの処理ステップが工場内の或る場所で行なわれ、次の処理ステップが工場内の別の場所で行なわれる)、または設備のメンテナンスなどによる遅延によって、時間が取られる。
【0009】
キャップ層は、FSG層内への水分の吸収を低減するひとつの方法を提供する。キャップ層は普通、FSG層上へ堆積される非ドープケイ素ガラス(USG)層であり、キャップを堆積させる前にFSG層を焼成するものとしないものとがある。キャップを、別の堆積チャンバまたはポンプダウン内で施すか、または同一チャンバでFSG層堆積に続くようにして、ストリームライン化(合理化)してもよい。キャップ層は、多くの条件下で、水分吸収から良好な保護を提供することができる。しかし、キャップ層の追加は、ウェーハ製造プロセスに時間を追加することになる。場合によっては、例えば、合計の層堆積時間がかなり長い場合、キャップ層追加時間は有意ではない。ウェーハのスループット(堆積チャンバ内で1時間当たりに処理されるウェーハの枚数)の増加にともなって、キャップ層堆積時間は合計堆積時間の有意な部分になる場合がある。そのような場合、キャップ層を堆積するステップをなくして、合計の層堆積時間を短縮することが望ましいかもしれない。
【0010】
腐食は、FSG層に伴うもう一つの問題である。フッ素がFSG格子へ弱く結合しているか、あるいは表面に自由フッ素として蓄積していると、フッ素は水と結合してフッ化水素酸(HF)を生じる可能性がある。HFは、デバイスの他のフィーチャ、例えば金属トレースまたは反射防止層を腐食し、破壊さえする可能性がある。
【0011】
腐食問題克服のために用いられる一つの技術は、FSGの堆積前に、ウェーハ上にライナーを形成することである。典型的なライナーは、デバイスのフィーチャとFSGとの間の障壁として作用する薄いUSG層である。厚いライナーほどこの機能を良好に果たす。ライナーはUSGでできているので、その誘電率はFSG層のそれより高く、導体トレース間の層の誘電率を低く保つため、より薄いライナーが望ましい。ライナーの最良の厚さは、層の腐食防止と低誘電率との妥協によって決まる。焼成やキャップ層の場合と同様、処理時間短縮のため、ライナーの形成時間はできるだけ短くすることが望ましい。
【0012】
いくつかのFSG層に係わる更なる問題は、これらが不安定なことである。つまり、層特性が時間とともに変化することである。例えば、形成不良のFSG層は、外気に暴露されると、層内に曇りや泡沫を形成する。ウェーハを比較的乾いた空気に短時間暴露しても曇りは生じないが、同じウェーハを同じ時間、より湿った空気に暴露したり、乾いた空気に長時間暴露すると、曇りが発生する可能性がある。近年のデバイス製造には分散された処理を用いることが多く、ウェーハは異なる何ヵ所かで数週間にわたって処理される。曇りが発生したウェーハは普通、処理工程から外され、生産工程のその時点に至るまでのすべての材料と処理の価値を喪失する。メーカーの仕様によっては、そのようなウェーハが、少なくとも3週間外気に暴露されても曇りを生じないことが重要である。
【0013】
曇りの発生は微妙で困難な問題である。ウェーハのバルク抵抗でさえ、ウェーハの曇り形成傾向に影響を及ぼす場合がある。曇りの形成は、堆積中のウェーハ温度に関係があるかも知れず、それは、層内へ水分とフッ素がどのように取り込まれるかに影響を及ぼすかもしれない。いくつかの処理チャンバは、処理中にウェーハを所定の位置に保持するため、静電チャック(e-チャック)を用いる。ウェーハの抵抗は、ウェーハがどれ程強く保持されるか、つまりどれ程良好にe−チャックへ熱的に結合するかに影響を及ぼす。曇り形成のメカニズムが何であろうと、ウェーハの抵抗は、プロセスフローを抑制するとともに歩留まりを低下させる可能性のあるもう一つの変数をウェーハ製造工程に追加する。
【0014】
また一般的に言って、FSG層堆積中のフッ素濃度が高いほど、曇り形成の傾向が強い。従って、チップメーカーは、潜在的な曇り形成問題を回避することだけが理由で、比較的低い濃度のフッ素を用いる場合がある。もしメーカーがより安定なFSG薄膜製造をあてにすることができれば、フッ素濃度を高めることができ、より低い誘電率のFSG層がもたらす利点を享受できよう。
【0015】
上記のことから、誘電率が低く、可能な限り短時間で良好にギャップを充填できる特性を有する酸化物膜の形成が望ましいことが分かる。また、ハロゲンドープ酸化物膜の安定性を高め、それによって、ウェーハの抵抗にかかわらず、膜内の水分吸収と曇りを低減する方法を提供することが望ましい。
【0016】
【課題を解決するための手段】
本発明は、低い誘電率を有し、ウェーハの抵抗に対して感度が低い、安定性のある、ハロゲンドープ酸化ケイ素膜を生産するためのプロセスと装置とを提供する。一実施例において、この層は、高密度プラズマ化学気相成長(HDP−CVD)システム内で堆積される。安定した膜の形成には、チャンバへフッ素を流入させる前のステップの順序が重要である。
【0017】
ステップのこの順序は、一実施例において、チャンバ内へウェーハを導入するステップと、一種類または複数種類のプロセスガスでチャンバの初期圧力を設定するステップとが含まれる。次に、プラズマ結合構造体へ無線周波(RF)エネルギーを加えて、プラズマを形成または発生させる。堆積に先立って、プラズマがウェーハを、100℃を超える温度に加熱する。
【0018】
堆積チャンバへフッ素を流入させる前に、加熱したウェーハ上に比較的薄いUSG層を堆積させる。この薄層はライナーとして作用し、フィーチャ間の容量を不都合なほど増加させることなく、下地基板を保護する。本発明のひとつの目的は、フッ素が最初にチャンバへ導入されるとき、ウェーハの表面が依然として熱く、少なくとも100℃を確保することである。フッ素の流入開始後、プラズマのパワーを下げ、ウェーハを冷却し、堆積対エッチング比を高めることにより、層の正味堆積率を高める。次に、フッ素含有ガスの流量を増加させることにより、チャンバ内のフッ素濃度、つまりは層内へのフッ素の取り込みを増加させる。この順序によって、自由フッ素が少なく誘電率の低い層が提供される。自由フッ素の低減は層の安定性を高め、層形成後の曇りや泡沫の形成を低減する。
【0019】
本発明の目的と利点の更なる理解のため、添付の図面を参照して、以下詳細に説明する。
【0020】
【発明の実施の形態】
I.まえがき
本発明は、低誘電率と良好なギャップ充填特性とを有する絶縁層の堆積を可能にする。この層は、比誘電率が3.5未満であり、幅が0.18μm未満で、高さが0.8μm以上のギャップを充填する。この層は、数日間大気に暴露されても、層内に曇りが形成せず、比誘電率も目立つほど増加せず、安定している。この層安定性は、基板のバルク抵抗とは比較的無関係である。
【0021】
II.CVDシステムの一例
図1は、この発明による誘電体層が堆積可能な高密度プラズマ化学的気相成長(HDP−CVD)システム10の一実施例を示す。システム10は、チャンバ13、真空システム70、ソースプラズマシステム80A、バイアスプラズマシステム80B、ガス給送システム33、および遠隔プラズマクリーニングシステム50を含む。
【0022】
チャンバ13の上部は、アルミナまたは窒化アルミニウムなどの誘電体材料製のドーム14を含む。ドーム14は、プラズマプロセス領域16の上側境界を画成する。プラズマプロセス領域16は、基板17の上面と基板支持部材18とによって底での境界が定められる。
【0023】
ドーム14上には、ヒータープレート23とコールドプレート24が載置されて、熱的に結合されている。ヒータープレート23とコールドプレート24により、ドーム温度を、約100℃から200℃の範囲にわたって約±10℃以内に制御することができる。これによって、様々なプロセスのためのドーム温度を最適化することができる。例えば、クリーニングプロセスまたはエッチングプロセスのためには、堆積プロセスのためよりも高い温度にドームを維持する必要があるかも知れない。ドーム温度を正確に制御することによって、チャンバ内の剥がれ落ち(フレーク)や微粒子の数を減らし、被堆積層と基板との間の付着を改善することができる。
【0024】
チャンバ13の下部は、チャンバを真空システムへ接続する本体部材22を含む。基板支持部材18の基部21は、ボディ部材22上に取付けられて、ボディ部材22とともに連続内面を形成する。基板は、ロボットブレード(図示せず)を用いてチャンバ13の側面にある挿入/取りだし開口(図示せず)を通して、チャンバ13に搬入搬出される。モーター(図示せず)は、ウェーハを上下するリフトピン(図示せず)を上下するリフトピンプレート(図示せず)を上下する。基板は、チャンバ13へ搬入されると、上昇したリフトピン上に載置され、次に基板支持部材18の基板受け部19まで降ろされる。基板受け部19は、基板処理中に基板を基板支持部材18に固定する静電チャック20を含む。
【0025】
真空システム70は、スロットルボディ25を含み、このスロットル本体は、2枚ブレード式スロットル弁26を格納しており、ゲート弁27とターボ分子ポンプ28に取付けられている。当初、1995年12月12日に出願され、出願番号第08/574,839号が付与されて、1996年9月11日に再出願され、出願番号第08/712,724号が付与された、同時係属中の共同譲渡された米国特許出願、発明の名称「対称チャンバ」に記載されているように、ガス流に対するスロットル本体25の障害は最小限であり、対称的な圧送が可能であることが特記される。ゲート弁27は、ポンプ28をスロットルボディ25から隔離することができ、スロットル弁26が全開のとき排気流容量を制限することによってチャンバ圧力を制御することもできる。スロットル弁、ゲート弁、およびターボ分子ポンプのこの編成により、チャンバ圧力を約1から100ミリtorrまで、正確にかつ安定して制御することができる。
【0026】
ソースプラズマシステム80Aは、トップコイル29とサイドコイル30を含み、これらはドーム14に取付けられている。対称接地シールド(図示せず)が両コイル間の電気的結合を低減する。トップコイル29は、トップソースRFジェネレータ31Aから給電される一方、サイドコイル30はサイドソースRFジェネレータ31Bから給電され、各コイルの独自のパワーレベルと運転周波数が可能である。このデュアルコイルシステムにより、チャンバ13内の半径方向イオン密度の制御が可能であり、これによって、プラズマの均一性が改善される。サイドコイル30とトップコイル29は普通、誘導的に駆動され、相補型電極を必要とはしない。具体的な実施例において、トップソースRFジェネレータ31Aは、公称2メガヘルツで、2500ワットまでのRFパワーを供給し、サイドソースRFジェネレータ31Bは、公称2メガヘルツで5000ワットまでのRFパワーを供給する。プラズマ生成効率を改善するため、トップとサイドのRFジェネレータの運転周波数を、公称運転周波数からずらしてもよい。(例えば、それぞれ1.7〜1.9MHz、および1.9〜2.1MHzにずらす)。
【0027】
バイアスプラズマシステム80Bは、バイアスRFジェネレータ31Cとバイアスマッチングネットワーク32Cを含む。バイアスプラズマシステム80Bは、基板受け部19をボディ部材22に結合し、これらは相補型電極として働く。バイアスプラズマシステム80Bは、ソースプラズマシステム80Aによって生成されたプラズマ核種の、基板表面への移送を高める働きをする。具体的な実施例において、バイアスRFジェネレータは、13.56MHzで最高5000ワットまでのRFパワーを供給する。
【0028】
プロセスゾーン16内で生成されるRF電界に加えて、プロセスゾーン16内には直流(DC)電界を生成してもよい。例えば、本体部材22に対して負のDC電位を、基板受け部19に提供すると、正電荷を持つイオンの、基板17の表面への移送を促進することができる。
【0029】
両RFジェネレータ31Aと31Bは、ディジタル制御シンセサイザを含み、約1.7から約2.1MHzの周波数範囲にわたって作動する。各ジェネレータは、当該技術に普通に精通する者が理解しているように、チャンバとコイルからジェネレータへ反射して戻るパワーを測定して、最少の反射パワーが得られるように運転周波数を調節するRF制御回路(図示せず)を含む。RFジェネレータは普通、50オームの特性インピーダンスを持つ負荷とともに作動するように設計されている。RFパワーを、ジェネレータとは異なる特性インピーダンスを持つ負荷から反射してもよい。これによって、負荷に伝えられるパワーを減らすことができる。加えて、負荷からジェネレータへ反射されるパワーが、ジェネレータに過負荷をかけてジェネレータを損傷する可能性がある。プラズマインピーダンスの範囲は、他の要因の中でもとりわけプラズマイオン密度に依存して5オーム未満から900オーム超まで許容されるので、また、反射されるパワーは周波数の関数でもあり得るので、反射されるパワーに応じてジェネレータの周波数を調節することによって、RFジェネレータからプラズマへ伝えられるパワーを増加させて、ジェネレータを保護する。反射されるパワーを減らして効率を改善するもうひとつの方法は、マッチングネットワークを用いることである。
【0030】
マッチングネットワーク32Aと32Bは、ジェネレータ31Aと31Bの出力インピーダンスを、それぞれのコイル29と30にマッチさせる。RF制御回路は、負荷の変化に応じてマッチングネットワーク内のキャパシタの値を変化させてジェネレータが負荷にマッチするように、両マッチングネットワークをチューニングすることができる。このRF制御回路は、負荷からジェネレータへ反射されるパワーが特定の限度を超えたとき、マッチングネットワークをチューニングすることができる。常にマッチさせて、RF制御回路がマッチングネットワークをチューニングすることを効果的に不能にするひとつの方法は、反射されるパワーの限度を、反射されるパワーの何れの予期値(expected value)より高く設定することである。これによって、マッチングネットワークをその最も直近の条件で一定に保持することにより、特定の条件下でプラズマの安定化を助長することができる。
【0031】
他の対策でプラズマの安定化を助長してもよい。例えば、RF制御回路を用いて、負荷(プラズマ)へ送られるパワーを判定し、層の堆積中、送られるパワーを実質的に一定に保つようにジェネレータの出力パワーを増減してもよい。
【0032】
ガス給送システム33は、いくつかのソースからガス給送ライン38(一部分のみ図示)を介して基板処理用チャンバへガスを供給する。ガスは、ガスリング37、トップノズル45、およびトップベント46を介してチャンバ13へ導入される。図6Bは、チャンバ13の部分断面略図であって、ガスリング37の詳細を追加的に示す。
【0033】
一実施例において、第1と第2のガスソース34Aと34B、および第1と第2のガス流量コントローラ35A’と35B’が、ガス給送ライン38(一部分のみ図示)を介して、ガスリング37内のリングプレナム36へガスを供給する一実施例を示す。ガスリング37は、基板全体にわたって均一なガス流を供給する複数のガスノズル39と40(2個のみ図示)を備えている。ノズルの長さとノズルの角度は、ガスリング37を交換することによって変えることができる。これによって、個々のチャンバ内での特定のプロセスのために、均一性プロフィルとガス利用効率とを、あつらえることができる。具体的な実施例において、ガスリング37は、合計24個のガスノズル、すなわち12個の第1ガスノズル40と、12個の第2ガスノズル39とを備える。
【0034】
ガスリング37は複数の第1ガスノズル40(1個のみ図示)を備え、これら第1ガスノズルは好ましい実施例において、複数の第2ガスノズル39と同一平面上にあり、かつ第2ノズルより短い。一実施例において、第1ガスノズル40は、本体プレナム41から1種類以上のガスを受け取り、第2ガスノズル39は、ガスリングプレナム36から1種類以上のガスを受け取る。いくつかの実施例においては、第1ガスノズルが酸化ガスの給送に用いられ、第2ガスノズルがソースガスの給送に用いられる場合のように、ガスをチャンバ13内へ噴射する前に、本体プレナム41内のガスとガスリングプレナム36内のガスとを混合しないことが望ましい。他の実施例においては、ボディプレナム41とガスリングプレナム36との間にアパチャー(開口部)(図示せず)を設けることによって、ガスをチャンバ13へ放射する前に混合することができる。一実施例において、第3と第4のガスソース34Cと34D、および第3と第4のガス流量コントローラ35Cと35D’が、ガス給送ライン38を介して、ガスをボディプレナムへ供給する。追加の弁、例えば43B(他の弁は図示せず)で、ガス流量コントローラからチャンバへのガスを遮断してもよい。
【0035】
いくつかの実施例において、シランや4フッ化ケイ素などの可燃性、毒性、または腐食性の、ガスを用いてもよい。これらの例では、堆積後のガス給送ライン内の残留ガスを除去することが望ましい。これは、例えば、弁43Bのような3方弁を用いてチャンバ13を給送ライン38から隔離して、給送ライン38を真空フォアライン44に排気して行ってもよい。図6Aに示したように、他の類似した、43Aと43Cのような弁を、35Aと35Cのような他のガス給送ライン上に組み込んでもよい。そのような3方弁は、(3方弁とチャンバとの間の)排気されないガス給送ラインの容積を最小にするため、できるだけチャンバ13に近づけて配置するのがよい。また、2方弁(オンオフ弁)(図示せず)を、MFCとチャンバとの間、またはガスソースとMFCとの間に配置してもよい。
【0036】
再び図1を参照すると、チャンバ13は、トップノズル45とトップベント46も備えている。トップノズル45とトップベント46とによって、ガスの上部の流れと側部の流れの独立制御が可能となり、膜の均一性を改善し、膜の堆積パラメータとドーピングパラメータの微調整が可能になる。トップベント46は、ガス給送システムからチャンバ内へ流入するガスが通るトップノズル45周りの環状開口部である。一実施例において、第1のガスソース34Aは、第2のガスノズル39とトップノズル45に供給を行なうシランソースである。ソースノズルの質量流量コントローラ(MFC)35A’は、第2ガスノズル39へ給送されるシランの量を制御し、トップノズルのMFC 35Aは、トップガスノズル45へ給送されるシランの量を制御する。同様に、2個のMFC 35Bと35B’は、ソース34Bのような単一の酸素ソースからトップベント46と第1ガスノズル40の両方への酸素の流量の制御に用いられることができる。トップノズル45とトップベント46とに供給される各ガスは、チャンバ13へ流入させる前は、別々にしたままでもよく、さもなければ、チャンバ13へ流入させる前にトッププレナム48内で混合してもよい。同一のガスの別々のソースを使って、チャンバの各部に供給してもよい。
【0037】
遠隔マイクロ波発生プラズマクリーニングシステム(remote microwave-generated cleaning system)50は、チャンバの構成要素から堆積残留物を定期的に清掃するために設けられている。このクリーニングシステムは、リアクターキャビティ53内に、フッ素、4フッ化ケイ素、またはその同等物等のクリーニングガスのソース34Eからプラズマを生成する遠隔のマイクロ波ジェネレータ51を含む。このプラズマから得られる反応性の核種は、アプリケーターチューブ55を介してクリーニングガス供給ポート54を通してチャンバ13へ送られる。クリーニングプラズマを収容するために用いられる(例えば、キャビティ53やアプリケーターチューブ55の)材料は、プラズマのアタックに対する耐性を持たねばならない。望ましいプラズマ核種の濃度はリアクターキャビティ53からの距離とともに減少するので、リアクターキャビティ53と供給ポート54との間の距離は、できるだけ短くするのがよい。遠隔のキャビティ内でクリーニングプラズマを発生させると、効率的なマイクロ波ジェネレータを用いることができ、元の場所のプラズマ内に存在するかも知れないグロー放電の温度、放射、または衝撃に、チャンバ構成要素をさらすことがない。従って、元の場所でのプラズマクリーニングプロセスで必要とされ得るように、静電チャック20のような比較的敏感な構成要素を、ダミーウェーハによってカバーしたり、別途保護したりする必要がない。クリーニングプロセスまたは他のプロセス中、ターボ分子真空ポンプ28をチャンバから隔離するため、ゲート弁27を閉じることができる。この構成において、フォアライン44は、普通は機械的真空ポンプである遠隔真空ポンプによって発生するプロセス真空を提供する。チャンバからターボ分子ポンプを、ゲート弁を用いて隔離することによって、チャンバ清掃プロセス、または他のプロセスに起因する腐食性化合物や他の潜在的な有害効果から、ターボ分子ポンプが保護される。
【0038】
システムコントローラ60は、システム10の運転を制御する。好ましい実施例において、コントローラー60は、ハードディスクドライブ、フロッピーディスクドライブ(図示せず)、およびカードラック(図示せず)のようなメモリ62を含む。カードラックは、シングルボードコンピュータ(BSC)(図示せず)、アナログおよびディジタル入出力ボード(図示せず)、インターフェイスボード(図示せず)、およびステッパーモーターコントローラーボード(図示せず)、を含むことができる。システムコントローラは、ボード、カードケージ、およびコネクターの寸法とタイプ、を定義しているVersa Modular European(VME)標準に適合する。VME標準はまた、バス構造を、16ビットデータバスと24ビットアドレスバスを有するもの、と定義している。システムコントローラ31は、ハードディスクドライブに記憶されたコンピュータプログラム、またはフロッピーディスクに記憶されたプログラムのような他のコンピュータプログラムの制御のもとで作動する。コンピュータプログラムは、特定のプロセスのタイミング、ガスの混合、RFパワーレベル、および他のパラメータを指定(dictate)する。ユーザーとシステムコントローラとの間のインターフェイスは、図3に示すように、陰極線管(CRT)65のようなモニター、およびライトペン66を介する。
【0039】
図3は、図1に例示したCVDチャンバに関連して用いられる、例としてのシステムのユーザーインターフェイスの一部を示す。システムコントローラ60は、メモリ62に接続されたプロセッサ61を含む。好ましくは、メモリ62はハードディスクドライブがよいが、もちろん、ROM、PROMなど、他の種類のメモリでもよい。
【0040】
システムコントローラ60は、コンピュータプログラムの制御のもとで作動する。コンピュータプログラムは、特定のプロセスのタイミング、温度、ガス流、RFパワーレベル、および他のパラメータを指定する。ユーザーとシステムコントローラとのインターフェイスは、図3に示すように、CRTモニター65、1本のライトペン66、および2本のライトペン66を介する。好ましい実施例において、2台のモニター65と65A、および2本のライトペン66と66Aを用い、1台のモニター(65)はクリーンルーム壁にオペレータ用として取付け、壁の後ろのもう1台のモニター(65A)はサービス技術者用である。両モニターには同じ情報が同時に表示されるが、ライトペンは1本だけ(例えば66)が使用可能である。オペレータが特定の画面または機能を選択するためには、表示画面上の1つの領域に触れて、ペンのボタン(図示せず)を押す。接触された領域がライトペンによって選択されたことは、例えば領域の色を変化させたり、新しいメニューを表示させたりして確認される。
【0041】
コンピュータプログラムコードは、68000アッセンブリ言語、C、C++、またはパスカルなど、コンピュータが読める任意の従来技術のプログラム言語で書くことができる。適当なプログラムコードが、従来のテキストエディタを用いて単一ファイルまたは複数のファイルに入力され、コンピュータのメモリシステムなど、コンピュータで使用可能な媒体に記憶、つまり組み込まれる。入力されたコードテキストが高レベル言語である場合、コードはコンパイルされ、得られたコンパイラーコードは次いで、予めコンパイルされたウィンドウズライブラリールーチンのオブジェクトコードにリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するには、システムユーザーはオブジェクトコードを起動して、コンピュータがコードをメモリ内にロードするようにさせ、そこからCPUがコードを読み出して実行し、プログラム内に識別されたタスクを実行する。
【0042】
図4は、コンピュータプログラム300の階層制御構造のブロック図である。ユーザーは、ライトペンインターフェイスを用いて、CRTモニター上に表示されたメニューまたは画面に応じて、プロセスセット番号とプロセスチャンバ番号をプロセスセレクターサブルーチン310に入力する。プロセスセットは、指定されたプロセスを果たすのに必要なプロセスパラメータの予め定められたセットであって、予め定められたセット番号によって識別される。プロセスセレクターサブルーチン310は、(i)マルチチャンバシステム内の希望のプロセスチャンバ、そして(ii)希望のプロセスを実行するためのプロセスチャンバを運転するのに必要なプロセスパラメータの希望のセット、を識別する。特定のプロセスを実行するためのプロセスパラメータは、例えば、プロセスガス成分と流量、温度、圧力、RFパワーレベルなどのプラズマ条件、およびチャンバドーム温度であって、ユーザーへはレシピの形で与えられる。レシピで規定されたパラメータは、ライトペン/CRTモニターインターフェイスを利用して入力される。
【0043】
プロセスをモニターするための信号は、システムコントローラのアナログ入力ボードとディジタル入力ボードによって与えられ、プロセス制御用信号は、システムコントローラ60のアナログ出力ボードとディジタル出力ボード上に出力される。
【0044】
プロセスシーケンサーサブルーチン320は、識別されたプロセスチャンバとプロセスパラメータをプロセスセレクターサブルーチン310から受けるための、そして種々のプロセスチャンバの運転制御のためのプログラムコードを含んでいる。複数のユーザーが、プロセスセット番号とプロセスチャンバ番号を入力することができるし、また、一人のユーザーが複数のプロセスセット番号とプロセスチャンバ番号を入力することができ、これによってシーケンサーサブルーチン320は、選択されたプロセスを希望の順序でスケジュールするように作動する。好ましくは、シーケンサーサブルーチン320は、(i)チャンバが使用中であるか否か判定するため、プロセスチャンバの運転をモニターするステップ、(ii)使用中のチャンバ内で何のプロセスが実行中であるか判定するステップ、および(iii)プロセスチャンバを利用可能であることと、実行すべきプロセスのタイプとに基づいて希望のプロセスを実行するステップ、のそれぞれを実行するためのプログラムコードを含む。ポーリングなど、プロセスチャンバをモニターする従来方法を用いることができる。どのプロセスを実行すべきかのスケジュールを立てる場合、シーケンサーサブルーチン320は、選択されたプロセスに関する希望のプロセス条件と比較して使用中のプロセスチャンバの現在の条件を考慮するように、またはリクエストを入力した各特定のユーザーの「年齢」を考慮するように、またはスケジュール上の優先順位を決定するためにシステムプログラマが含めることを望む他の任意の関連要因を考慮するように設計することができる。
【0045】
シーケンサーサブルーチン320は、どのプロセスチャンバとプロセスセットの組合せが次に実行されようとしているかを判定した後、特定のプロセスセットパラメータをチャンバマネージャーサブルーチン330A−Cへ転送してプロセスセットを実行させるが、このサブルーチン330A−Cは、シーケンサーサブルーチン320によって決定されたプロセスセットに従って、チャンバ13と、場合によっては他のチャンバ(図示せず)での複数のプロセスタスクを制御する。
【0046】
チャンバ構成部品サブルーチンの例としては、基板位置決めサブルーチン340、プロセスガス制御サブルーチン350、圧力制御サブルーチン360、およびプラズマ制御サブルーチン370がある。当該技術に通常に精通した者は、チャンバ13での実行を希望するプロセスが何であるかによって、他のチャンバ制御サブルーチンを含め得ることを認識するであろう。運転中、チャンバマネージャーサブルーチン330Aは、実行中の特定のプロセスセットに従って、プロセス構成要素サブルーチンを選択的にスケジュールするか、呼出す。チャンバマネージャーサブルーチン330Aによるスケジューリングは、どのプロセスチャンバとプロセスセットを実行すべきかのスケジューリングにおいて、シーケンサーサブルーチン320によって用いられるのと類似する方法で行われる。普通、チャンバマネージャーサブルーチン330Aは、種々のチャンバ構成要素をモニターするステップ、実行すべきプロセスセットに関するプロセスパラメータに基づいて、どの構成要素を運転する必要があるかを判定するステップ、およびモニタリングステップと判定ステップに応答してチャンバ構成要素サブルーチンを実行させるステップ、を含む。
【0047】
ここで、特定のチャンバ構成要素サブルーチンの動作を、図4を参照して説明する。基板位置決めサブルーチン340は、基板を基板支持部材18上へロードするために用いられるチャンバ構成要素を制御するためのプログラムコードを含む。基板位置決めサブルーチン340はまた、他のプロセスが完了した後、マルチチャンバシステムにおける、例えばPECVDリアクタ、または他のリアクタからチャンバ13内への基板の移送を制御してもよい。
【0048】
プロセスガス制御サブルーチン350は、プロセスガスの成分と流量とを制御するためのプログラムコードを含む。サブルーチン350は、安全遮断弁の開閉位置を制御するとともに、希望のガス流量を得るため質量流量コントローラの立上げ立下げを行なう。プロセスガス制御サブルーチン350を含め、すべてのチャンバ構成要素サブルーチンは、チャンバマネージャーサブルーチン330Aによって起動される。サブルーチン350は、希望のガス流量に関連してチャンバマネージャーサブルーチン330Aからプロセスパラメータを受け取る。
【0049】
普通、プロセスガス制御サブルーチン350は、ガス給送ラインを開くことによって作動し、(i)必要な質量流量コントローラを読むこと、(ii)読取り値を、チャンバマネージャーサブルーチン330Aから受け取った希望流量と比較すること、および(iii)必要に応じてガス給送ラインの流量を調節すること、を繰り返す。更に、プロセスガス制御サブルーチン350は、不安全な流量に関してガス流量をモニターするステップと、不安全状態が検出された場合、安全遮断弁を作動させるステップを含んでもよい。
【0050】
いくつかのプロセスにおいて、チャンバ13へ反応性のプロセスガスを導入する前に、チャンバ内の圧力を安定させるため、アルゴンなどの不活性ガスをチャンバ内へ流し込む。このようなプロセスにおいて、チャンバ内圧力の安定化に要する時間だけ不活性ガスをチャンバ13へ流入させるステップを含むように、プロセスガス制御サブルーチン350がプログラムされる。次いで、上記の各ステップを実行してよい。
【0051】
更に、プロセスガスを、液体の前駆体、例えばテトラエトキシシラン(TEOS)から気化させる場合、プロセスガス制御サブルーチン350は、ヘリウムのような給送ガスをバブラーアセンブリ内の液体の前駆体を通してバブル化するためのステップ、またはヘリウムを液体噴射弁へ導入するためのステップを含むことができる。このタイプのプロセスに関して、プロセスガス制御サブルーチン350は、希望のプロセスガス流量を得るため、給送ガスの流れ、バブラー内圧力、およびバブラーの温度を調節する。上で検討したように、希望のプロセスガス流量はプロセスパラメータとしてプロセスガス制御サブルーチン350へ転送される。
【0052】
更に、プロセスガス制御サブルーチン350は、与えられたプロセスガス流量に関する必要な数値を含んで保存されているテーブルにアクセスして、希望のプロセスガス流量に関する必要な給送ガス流量、バブラー圧力、およびバブラー温度を得るためのステップを含む。一旦必要な数値が得られると、給送ガス流量、バブラー圧力、およびバブラー温度は、モニターされ、所要値と比較され、適宜調節される。
【0053】
プロセスガス制御サブルーチン350は、ウェーハチャック内の内側通路と外側通路を通るヘリウム(He)のような熱伝達ガスの流れを、独立したヘリウム制御(IHC:independent helium control)サブルーチン(図示せず)によって制御してもよい。このガス流は、チャックに基板を熱的に結合する。代表的なプロセスにおいて、ウェーハは、層を形成するプラズマと化学反応とによって加熱され、ヘリウムがチャックを介して基板を冷却するが、このチャックは水冷式であってもよい。これにより、基板上の既存フィーチャを損傷する可能性のある温度未満に、基板温度を保持することができる。
【0054】
圧力制御サブルーチン360は、チャンバ13の排気部内のスロットル弁の開度を調節することによってチャンバ内の圧力を制御するためのプログラムコードを含む。スロットル弁によってチャンバを制御する、少なくとも2つの基本的な方法がある。第1の方法は、チャンバ圧力がとりわけ、合計プロセスガス流、チャンバのサイズ、および圧送容量に関連するので、チャンバ圧力を特性化することに依存する。第1の方法では、スロットル弁26を定位置に設定する。スロットル弁26を定位置に設定すると、最終的結果は定常状態圧力となる。
【0055】
代替として、チャンバ圧力を、例えばマノメーターで測定し、制御点が、ガス流と排気容量によって設定される境界内にあるものと見なして、スロットル弁26の位置を圧力制御サブルーチン360によって調節してもよい。前者の方法では、後者の方法に係わる測定、比較、および計算は起動されないので、チャンバ圧力の変化が速くなる可能性がある。前者の方法は、チャンバ圧力の正確な制御が要求されないところで望ましい一方、後者の方法は、層を堆積させる間など、正確で、繰り返し可能で、安定した圧力が求められる場合に望ましいかもしれない。
【0056】
圧力制御サブルーチン360が起動されると、希望の(または目標の)圧力レベルを、チャンバマネージャーサブルーチン330Aからパラメータとして受け取る。圧力制御サブルーチン360は、チャンバ13に接続された1台またはそれ以上の従来の圧力マノメーターを読み、測定値を目標値と比較し、目標圧力に対応して、記憶された圧力テーブルから比例、積分、および微分の各値(PID)を求め、圧力テーブルから得たPID値に従ってスロットル弁26を調節する。代替として、圧力制御サブルーチン360は、チャンバ13内の圧力を、希望の圧力または圧力範囲に調節するため、スロットル弁26を特定の開度に合わせるように開閉することができる。
【0057】
プラズマ制御サブルーチン370は、RFジェネレータ31Aと31Bの周波数とパワー出力の設定を制御するための、そしてマッチングネットワーク32Aと32Bをチューニングするためのプログラムコードを含む。プラズマ制御サブルーチン370は、先に説明したチャンバ構成要素サブルーチンと同様に、チャンバマネージャーサブルーチン330Aによって起動される。
【0058】
上記のいくつかのサブシステムやルーチンの一部またはすべてを組込むことができるシステムの一例は、この発明を実施するように構成された、Applied Materials 製の Ultima System であろう。
【0059】
III.構造例
図5は、本発明の、フィーチャを取り込んだ集積回路500の断面略図を示す。集積回路500は、シリコンウエーハ、ガリウム−砒素ウエーハ、または他のウエーハ等の半導体ウエーハ上に製作されることができる。図5に示すように、集積回路500は、NMOSトランジスタ503とPMOSトランジスタ506を含み、これらはフィールド酸化物領域507によって相互に電気的に隔離されている。各トランジスタ503と506は、ソース領域508、ゲート領域509、およびドレイン領域510を備えている。
【0060】
プリメタル誘電体層511が、トランジスタ503と506を金属層M1から隔てており、金属層M1と両トランジスタ間の接続はコンタクト512により行なわれる。金属層M1は、集積回路500に含まれる4つの金属層M1-M4の内の1つである。金属層M1-M4の各々は、それぞれ介在する金属間誘電体(IMD)層513A−Cによって隣接する金属層から分離されていて、アルミニウム堆積とパターニング等の処理ステップによって形成してよい。隣接する金属層は選択された開口部においてバイア514によって接続されている。金属層M4上に堆積させられているのは、平坦化されたパッシベーション層515である。
【0061】
この発明の実施例は、特に(IMD)層に有用であるが、集積回路500に示した各誘電体層にも用いることができる。簡略化した集積回路500は説示を目的とするだけである。当該技術に精通する者の中には、この発明を用いて他の集積回路、例えばマイクロプロセッサ、用途を特定した集積回路、メモリデバイス、等を製造するこための本方法を実施することができよう。更に、この発明の方法は、他の技術、例えばBiCMOS、NMOS、バイポーラその他を用いて集積回路を製造するのに利用することができる。
【0062】
IV.堆積レシピステップの順序化( sequencing
ここで図6を参照すると、ウェーハ606上のギャップ607内に、本発明の本実施例に従って、絶縁膜602が形成されている。好ましい一実施例において、ウェーハ606はケイ素ウェーハである。絶縁膜602は、USGライナー603と、FSGバルク層604と、FSGスキン層605とから成っている。USGライナー603と、FSGバルク層604と、FSGスキン層605とは、実質的に連続した一つの堆積プロセスで形成される。USGライナー603は、約150Åと600Åの間、より好ましくは、約300Åと400Åの間である。USG層603と、FSGバルク層604と、FSGスキン層605の形成前と形成中のレシピステップの適切な順序が、絶縁膜602の優れた安定性を確保するのである。この場合、反射防止層619が金属ライン601上に載っている。好ましい一実施例において、反射防止層619は窒化チタン層でよい。
【0063】
一実施例において、レシピステップの適切な順序は、内部でUSGの堆積前に基板がプラズマにより適切な温度に加熱されるHDP−CVDシステムに関連する。しかし、基板の加熱は、RFプラズマに加えて、電気抵抗ヒーター、放射ランプヒーター、反応熱、または他の手段によって行なってもよい。基板表面は、フッ素がチャンバに導入される際、ほどよく熱く保たれる。HDP−CVDプロセスにおいて、プラズマは堆積特性を高めるが、堆積層をスパッタリングによってある程度または全部除去してしまうかもしれない。このプロセスを同時スパッタリング(cosputtering)と称する。適切なRFパワーレベルは、とりわけ、プロセス圧力、基板温度、使用する堆積ガスの種類、ウェーハ上の既存のパターン化層に応じて選ばれる。プラズマ内にはフッ素が存在するので同様な効果が発生する。フッ素核種は、FSG層の堆積中にFSG層を同時エッチングする。これは、堆積率対エッチング率の比として表すことができる。この比が1より大きければ、結果は正味の堆積であり、1より小さければ、材料の堆積よりエッチングの方が速いことを示す。従って、高くて、狭く、間隔の狭い金属トレース(0.18μmのギャップによって同様なトレースから分離されている、高さ1μm程度のトレース)または他の同様な(高アスペクト比の)フィーチャを有するウェーハ上にFSG層を堆積する場合、堆積中はトレース間のギャップが開口状態に保たれて、ウェーハ上のトレースや他のフィーチャを過度にスパッタリングまたは過度にエッチングすることなく、意図する層でギャップを充填できるように、適切なRFパワーレベルとフッ素プラズマ濃度とを選択することが重要である。普通、大部分のスパッタリングとエッチングは、トレースのコーナー部608で発生する。また、ギャップが充填されるにつれて、アスペクト比、すなわちギャップ高さ対ギャップ幅の比が変化し、RFパワーとフッ素濃度をそれに応じて変える可能性がある。普通、堆積は、トレース609の壁よりも水平面に多く発生するので、堆積の進行とともにアスペクト比は減少する。
【0064】
基板温度はサーモスタットによって制御可能である、すなわち、基板温度は特定の運転条件に関して特性化することができる。下記の例では、堆積システムの運転条件の特性化に応じて、基板がプラズマによって加熱される。酸化物層形成中の基板表面温度を特性化するよう、種々のシステム運転条件下で成長させた酸化物膜の、湿りエッチング相対比(WERR = wet etch relative ratio)を用いてもよい。これらの方法は、当該技術分野では周知である。
【0065】
図7は、本発明のステップの順序の一実施例を示すフローチャートである。フッ素が最初にチャンバへ導入されるときの基板温度は、ガラス層の成長面へのフッ素取り込みの良否に影響を及ぼすので、重要である。従って、ハロゲン含有ガス流の開始前の基板予熱に係わるステップの順序が極めて重要である。基板がアルミニウムフィーチャを既に有している場合、アルミニウムフィーチャを損傷せずに充分に高い温度でFSGの初期層を形成するのに充分なだけ、基板を加熱することが特に重要である。下記のプロセスは、特定の内側容積を持つ堆積チャンバ内公称直径200mmのシリコンウェーハに関するが、当該技術に精通する者は、このプロセスが、変数の中でもとりわけ、種々の基板材料、種々の基板サイズ、種々のチャンバ容積に関して変更可能であることを理解するであろう。
【0066】
基板を堆積システム内に配置し(ステップ701)、アルゴンを、95sccmの流量でガスノズル39へ、15sccmの流量でトップノズル45へ導入する。これらのガス流によって、スロットル弁設定を固定した状態で、約50ミリtorrのチャンバ初期圧力が確立される(ステップ702)。この初期圧力で、トップコイル29へ1,000ワットのRFパワーを印加することによってプラズマが点火される(ステップ703)。安定したプラズマを確立するため1秒間をおいた後、スロットル弁を開いて、チャンバ圧力を3から5ミリtorrの堆積圧力まで下げる。このスロットル弁は、堆積システムの先の特性化に基づいて、意図する圧力が得られるように、特定の期間、ある設定位置に開いておくことができる。チャンバ圧力を堆積圧力に設定するのと同時に、既にトップコイルにかけられている1,000ワットに加えて、サイドコイルに2、000ワットのRFパワーをかけることにより、合計のプラズマエネルギーが増加する(ステップ704)。
【0067】
次のステップにおいて、トップコイルのパワーは1500ワットへ、サイドコイルのパワーは3500ワットへ増加され、酸素は初期流量30sccmでチャンバへの流入を開始される(ステップ705)。0.5秒後に、e−チャックに負電圧が印加され(ステップ706)、e−チャックは基板を吸収してe−チャックに密着させる。正でなく負の電圧を印加することによって、正に帯電したプラズマ核種の、基板への輸送が高められる。このe−チャックは、熱移送ガスを運ぶことを意図された内側と外側の冷却リングを備えている。基板とe−チャックとを熱的に結合するため、内側と外側のチャンネルを流通させるガスはヘリウムが望ましい。e−チャックは、e−チャック内の通路(図示せず)を流れる水、または水/グリコール混合液のような熱移送液体によって冷却(または実施例によっては加熱)してよい。この熱移送液体は、冷却システムによって約60℃の温度に保たれる。ヘリウムはまだ冷却リングを通って流れないので、基板とe−チャックとの間には、ほとんど熱移送がない。このことは、冷却ヘリウムが流れていると仮定した場合に比べて、基板はより急速にヒートアップする。
【0068】
基板はプラズマで6秒間予熱される(ステップ706)。この期間中に、酸素の流れは、126sccmに増加され、オキシダイザノズルからは、110sccmの率で流れ、トップベントからは16sccmの率で流れる。システム構成要素の熱容量と、関連時間の短かさとが、プロセスのこのステップのための、フィードバックに基づく温度制御システムを用いることを困難にしている。従って、プラズマ加熱と、基板およびチャックの熱容量と、プラズマのパワーおよび圧力との間の関係を把握することが重要である。例えば、より高い圧力のプラズマは、他の条件を同様として同一期間維持した場合、より多くの熱が基板へ移送され、基板上のアルミニウムトレースなどの既存のフィーチャが損傷を受ける。
【0069】
基板の予熱後、ソースガスノズル39からの30sccmと、トップノズル45からの5sccmとを含む35sccmの率で、のシランがチャンバへ導入される(ステップ707)。これによって、FSG堆積の準備として、予熱された基板上に厚さ約300Åから400ÅのUSG層が3秒間で成長する。このライナー層の堆積後、ヘリウムがe−チャック20内の冷却チャンネルに流通されて(ステップ708)、基板が水冷チャックに熱的に結合され、これによって基板を冷却する。これらのチャンネル内のヘリウム圧力はチャンバ圧力より高いので、基板をチャックから離す力をもたらすが、e−チャックの基板に及ぼす吸引力は、吸着はウェーハをチャック上に保持するのに充分である。
【0070】
次のステップは、堆積システムへフッ素を導入する(ステップ709)。フッ化ケイ素が、比較的低い流量5sccmで、1秒間、オキシダイザノズル40から導入される。とりわけ、これは、SiF4 質量流量コントローラから下流の給送ラインを充填する。SiF4 流の始まりに存在する初期のフッ素核種は、先行する予熱ステップ706の結果、約、少なくとも100℃を超える基板表面上で反応すると考えられる。これにより、ガラス格子内でフッ素がしっかり結合された初期FSG層610(図6)が得られる。この初期FSG層の厚さは、プラズマ加熱されたウエーハの熱容量を含めて多くの要因に依存するとともに、ほんの数層の原子層、つまり厚さ約100Åでよい。自由フッ素(ガラス中でしっかりと結合されていないフッ素)は、それが金属トレースや、反射防止層、特には窒化チタン反射防止層を腐食する可能性があるので好ましくない。SiF4 流の始まりに存在する比較的低濃度のフッ素は、層のこの部分へ自由フッ素が堆積する可能性を制限する。
【0071】
主堆積ステップの準備時、スロットル弁を制御する圧力フィードバックループが起動される。すなわち、先行するステップにおいて、スロットル弁は、固定位置に設定され、この選定された位置は、先に検討されたように、チャンバ容積、排気容量、そしてガス流に適合する。ここで、スロットル弁位置は、圧力計の圧力読みに従って制御されて、チャンバ圧力は6ミリ torr に維持される。このことは、堆積プロセス中に、より高い精度と安定性、そしてチャンバ圧力の高い再現性を提供する。
【0072】
ここで、RFバイアスパワーレベルは、主堆積ステップを予期して、低減される(ステップ710)。RFバイアスパワーレベルは、トップコイル29に対して900Wに設定され、サイドコイル30に配送される2300Wに設定される。SiF4 流は10sccmに増加され、シラン流は、ノズル39およびトップノズル45から、それぞれ45sccm、4.5sccmに増加される。酸素流は、オキシダイザ・ノズル40およびトップベント46から、それぞれ84sccm、5.7sccmに減少される。これらの条件は、既存の基板フィーチャがスパッターエッチングもしくはフッ素エッチングによってオーバーエッチングされずに、狭いギャップを充填するために、所望のFSG堆積のための適切な圧力に設定してこれを維持するよう、2秒間保持される。ライナーの表面は前述の通り、依然として相対的に熱いので、この時間の間に厚さが約200Åの相対的に高品質のFSG層がライナーの上に形成されると考えられる。基板表面の相対的に高い温度、堆積ガス流の低い流量、および低いRSバイアスパワーは何れも、初期FSG層の品質に関与する。
【0073】
FSG層の大部分は主堆積ステップ中に堆積される(ステップ711)。ウェーハ温度は、このステップの方がその前のステップより低く、堆積対エッチングの比を高め、その結果、堆積率が高まる。このステップ中、制御限度を1500ワットに増加することにより、RFバイアスパワーマッチング制御回路は本質的にディスエーブル化される。これは、反射されるパワーが2500ワットを超えない限り、RFバイアスパワーマッチシステムは、マッチングネットワークの構成を変えようとしないことを意味する。マッチングネットワークが既に先行するステップ中にプラズマを用いてチャンバにチューニングされているので、この堆積ステップ中に反射されるパワーが2500ワットを超える可能性は少ない。ステップ711中、トップコイル29へ900ワット、サイドコイル30へ2300ワットのパワーが供給される。チャンバ圧力を6ミリtorrに維持するようにスロットル弁26が制御される一方、ソースノズル39へのアルゴン流が46sccmに減らされ、トップノズル46を通るアルゴン流が9sccmに減らされる。意図する最終厚さに依存して、堆積は約157秒間進行する。
【0074】
意図する厚さが堆積させられた後、シランとSiF4の流れが止められ(ステップ712)、これらの流れに関連するガス供給ラインは、図1に示す弁43A-Cのような3方弁を介してフォアラインバキューム44へ落とされる。これによって、これら供給ライン内の残留ガスが除去される。ガスによっては、可燃性、毒性、または腐食性があるので、これら、各供給ライン内にガスが残留することは望ましくない。また、反応性ガスによっては不安定なものがあり、それらを次のウェーハ堆積までライン内に放置して残しておくと、一貫性のない層や汚染された層をもたらす可能性がある。このステップ中、RFバイアスパワーマッチ制御限度も500ワットに下げられる。0.5秒後、e−チャック20内の冷却チャンネル内を流れているヘリウムを止め、トップRFコイル29へのパワーを1000ワットに上げる一方、サイドRFコイル30へのパワーを2000ワットに下げる(ステップ713)。これによって、FSG層の表面が加熱され、バルクFSG層内よりもガラス構造内にフッ素がより強固に結合されたFSGの薄層(スキン)が形成される。このスキン層は、バルクFSG層への水または水蒸気の移送、またはそこからの移送を低減する。酸化ケイ素、特にフッ化酸化ケイ素(これはFSG層を形成する)は親水性である、すなわち囲りの環境から水蒸気を吸収する。堆積環境中には、ある程度の水蒸気が存在する。この水蒸気は、なかんづく、ウェーハが100℃未満であるという理由で、バルクFSG層内へ取り込まれる。更に、もしスキン層がなければ、バルクFSGは空気から水分を吸収し、曇りや泡沫を形成するか、あるいは自由な、または結合の弱いフッ素と結合して腐食性のフッ化水素酸を形成するか、あるいは層の比誘電率を高める可能性がある。
【0075】
いくつかの実施例においては、次ステップのタイミングが重要である。冷却チャンネル内のヘリウム(これは流れてはいないが、それでもウェーハの裏側へある程度の圧力を及ぼす)がフォアラインバキュームへ放出され(ステップ714)、約3秒後に、e−チャックをアースしてチャックがオフにされる(ステップ715)。プラズマは、基板とe−チャック間の静電力を中和するためのイオン源を提供し、ヘリウムの残留背圧が、基板とe−チャック間のシールをおだやかに解除し、その後、すべてのヘリウムがフォアラインによって排気される。ヘリウム背圧無しでは、基板がチャックに固着して、取り出し(unloading)が困難になる。ヘリウムが充分に排気される前にe−チャックをオフにすると、基板がチャックからはじけ飛ぶ可能性がある。最後に、プラズマが存在しない状態でe−チャックをオフにすると、ウェーハとチャックとが平衡状態になって、基板をチャックから外すことができるようになるまでに、受け入れがたいほどの長時間を要する。これで、ウェーハはチャック開放(ステップ716)とチャンバからの取り出し(ステップ717)の準備完了となる。
【0076】
上記プロセスは、説明のための例に過ぎない。特定のチャンバに多くのプロセパラメータが関連し、プロセスは、カリフォルニア州、サンタクララの Applied Materials, Inc. の市販のULTIMAチャンバで行なうことを意図している。他のチャンバは、容積、排気容量、プラズマ構成、ウェーハのチャック装置などが、異なる可能性があり、その結果、圧力、ガス流量、プラズマパワー、時間、またはその他のプロセスパラメータが異なるプロセスとなり得る。更に、基板が異なるとプロセスパラメータも異なることになる。例えば、熱容量または熱伝導率が異なる基板のために意図されたプロセスは、予熱ステップが長くなったり短くなったりする。更に、アルミニウムのフィーチャが耐える温度より高い温度に耐えるフィーチャを既に有する基板は、損傷を受けずに、より高い温度に加熱することができる。更に、ケイ素ソース用のTEOSやフッ素ソースとしてF2など、他のガスをプロセスに用いることができる。
【0077】
V. テスト結果
上記のプロセス例に従って、200mmのシリコンウェーハ上に層を成長させてサンプルを用意した。サンプルのいくつかは、高さ0.8μm、間隔0.18μm(ギャップのアスペクト比は4.44:1)の金属トレースを有していた。これらのギャップは堆積層(USGライナー層、FSGバルク層、およびFSGスキン層)で充填され、裁断されてから、走査電子顕微鏡で検査された。成長中のギャップが閉じられて生じるボイドは発見されなかった。層は充分にフッ素を取り込んで、層の比誘電率は3.73に低下した。
【0078】
5枚のサンプルウェーハを21日間保存した後、曇りまたは泡沫の形成を検査するとともに、残留応力や誘電率など、膜のパラメータを非破壊検査することにより、FSG層の安定性を確認した。サンプル集団には曇りや泡沫は見いだされず、膜の応力や誘電率にも著しい変化は起こっていなかった。
【0079】
更に、約6000Åの厚さの堆積層を有する他のウェーハサンプル集団で、破壊試験法である高温測定を行なった。ウェーハを大気条件で1週間、2週間、および3週間保存した。サンプルウェーハを真空中で次第に高温に加熱しながら、サンプルから放出される水蒸気の濃度を測定した。曲面下の領域を積分すると、ウェーハから脱着される合計水蒸気の指標が得られる。500℃までの温度で、有意なガス放出または水蒸気脱着は観察されなかった。
【0080】
図8は、堆積状態(トレース801)のウェーハと、ウェットエッチングプロセスによってFSG層表面から約1700Åを除去後(トレース802)の同一ウェーハの、高温テストのグラフを示す。このエッチングでFSGスキン層が除去されたものと見なす。これらのトレースは、FSGスキン層がバルクFSG層に対する水の吸着と脱着の障壁となり、層の安定性を促進することを示している。
【0081】
本発明の方法は、上記の具体的パラメータによって制限されないことを意図する。当業者は、本発明の精神から逸脱することなく、さまざまなプロセス条件とさまざまな反応ソースが使用可能であることを理解するであろう。本発明による絶縁層堆積の、他の同等のあるいは代替の方法は、当該技術に精通する者には明らかであろう。これら同等のおよび代替の方法は、本発明の範囲に含まれることを意図する。他の変形は、当業者には明らかである。従って、添付の特許請求の範囲のみが本発明を制限することを意図する。
【図面の簡単な説明】
【図1】本発明による高密度プラズマ化学気相成長システムの一実施例の略図である。
【図2】図1に例示したCVD処理チャンバに関連して用いることのできるガスリングの断面略図である。
【図3】図1に例示したCVD処理チャンバに関連して用いることのできるモニターとライトペンの略図である。
【図4】図1に例示したCVD処理チャンバを制御するために用いられるプロセス制御コンピュータプログラム製品例のフローチャートである。
【図5】本発明の一実施例によって生産された部分的な集積回路の断面略図である。
【図6】本発明の一実施例により、半導体ウェーハ上の金属トレース上に堆積させたFSG層の断面略図である。
【図7】本発明による処理工程順序例のフローチャートである。
【図8】FSG膜堆積時と、FSGの上層除去後における、FSG膜からの水分脱着を示すグラフである。

Claims (10)

  1. 堆積システムチャンバ内の基板上に膜を形成する方法であって、
    (a)前記チャンバ内の前記基板を、少なくとも約100℃の温度に加熱するステップと、
    (b)ケイ素ガラスを堆積するのに適した条件下で、前記チャンバへケイ素含有プロセスガスと酸素含有プロセスガスとを流入させるステップと、
    (c)前記基板上へ、非ドープケイ素ガラスの比較的薄い層を形成するステップと、
    (d)前記基板温度を少なくとも約100℃とし、フッ素含有ガスを前記ケイ素含有プロセスガス及び前記酸素含有プロセスガスの流量に応じた流量で前記チャンバに流入させて、前記非ドープケイ素ガラス層上に、フッ素含有酸化ケイ素層の第1の部分を形成するステップと、
    (e)前記フッ素含有ガスの流量を増加させ、基板温度を下げて、前記第1の部分の上に前記フッ素含有酸化ケイ素層の第2の部分を形成するステップと、を含む方法。
  2. ステップ1(a)において、前記基板がプラズマによって加熱される請求項1に記載の方法。
  3. 前記非ドープケイ素ガラス層の厚さが、約300Åから約400Åの間である請求項1に記載の方法。
  4. 前記フッ素含有ケイ素ガラス層の前記第1の部分の厚さが、約300Å未満である請求項1に記載の方法。
  5. 前記フッ素含有ケイ素ガラスの前記第1の部分は、前記フッ素含有ガスの前記流れの開始時に形成される厚さ約100Å未満の低フッ素層と、前記フッ素含有ガスの前記流れのうち主要な流れの期間に前記低フッ素層上に形成される高フッ素層とを含む請求項4に記載の方法。
  6. 前記ステップ1(e)が、前記ステップ1(d)の後、約5秒未満に起こる請求項1に記載の方法。
  7. 前記フッ素含有ガスがSiFを含む請求項1に記載の方法。
  8. 前記ステップ1(c)における前記非ドープケイ素ガラス層形成するステップの後、前記基板の裏側の近傍に冷媒を流すことによって前記基板を冷却するステップを更に含む請求項1記載の方法。
  9. 前記非ドープケイ素ガラス層の厚さが、約150Åから約600Åの間である請求項1に記載の方法。
  10. 高密度プラズマCVDチャンバ内のチャック上に配置された基板膜を形成するための方法であって、
    (a)前記チャンバへソースガスを流入させる前に、不活性ガスを前記チャンバ内へ流入させ、第1のRFパワーレベルをコイルに印加したときのRFエネルギーで形成されるプラズマによって、前記基板を約100℃から約450度の温度に加熱するステップと、
    (b)非ハロゲンケイ素含有ソースガスと非ハロゲン酸素含有ソースガスとを前記チャンバへ流入させて、前記基板上に非ドープケイ素ガラス層を形成するステップと、
    (c)前記基板の裏側近傍へ冷媒チャネルを通して冷媒を流すステップと、
    (d)前記基板が約100℃を超える第2の温度にあるとき、前記チャンバへフッ素含有ガスを前記ケイ素含有ソースガス及び前記酸素含有ソースガスの流量に応じた流量で流入させて、前記非ドープケイ素ガラス層上に、フッ素ドープケイ素ガラス層の第1の部分を形成するステップと、
    (e)前記ステップ(d)の後、前記第1のRFパワーレベルを下げるステップと、
    (f)前記フッ素含有ガスの流量を増加させ、前記第1の部分の上に前記フッ素ドープケイ素ガラス層の第2の部分を形成するステップと、を含む方法。
JP15465398A 1997-06-03 1998-06-03 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化 Expired - Fee Related JP4237845B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/868,286 US5937323A (en) 1997-06-03 1997-06-03 Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US08/868286 1997-06-03

Publications (2)

Publication Number Publication Date
JPH118230A JPH118230A (ja) 1999-01-12
JP4237845B2 true JP4237845B2 (ja) 2009-03-11

Family

ID=25351375

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15465398A Expired - Fee Related JP4237845B2 (ja) 1997-06-03 1998-06-03 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化

Country Status (6)

Country Link
US (2) US5937323A (ja)
EP (1) EP0883166B1 (ja)
JP (1) JP4237845B2 (ja)
KR (1) KR100562206B1 (ja)
DE (1) DE69835479T2 (ja)
TW (1) TW406358B (ja)

Families Citing this family (658)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6228781B1 (en) 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
JPH11232338A (ja) * 1998-02-17 1999-08-27 Toshiba Corp プロセスフロー作成装置、プロセスフロー作成方法、及び、プロセスフロー作成プログラムを記録したコンピュータ読み取り可能な記録媒体
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JPH11288893A (ja) 1998-04-03 1999-10-19 Nec Corp 半導体製造装置及び半導体装置の製造方法
DE69927146T2 (de) * 1998-04-21 2006-02-02 Applied Materials, Inc., Santa Clara Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6150285A (en) 1998-06-17 2000-11-21 Advanced Micro Devices, Inc. Method for simultaneous deposition and sputtering of TEOS
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US5994778A (en) * 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
US6566757B1 (en) 1998-11-30 2003-05-20 Intel Corporation Stabilization of low dielectric constant film with in situ capping layer
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
US6528865B1 (en) * 1999-01-22 2003-03-04 Intel Corporation Thin amorphous fluorocarbon films
US6218284B1 (en) * 1999-02-01 2001-04-17 United Microelectronics, Corp. Method for forming an inter-metal dielectric layer
US6180540B1 (en) * 1999-02-18 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for forming a stabilized fluorosilicate glass layer
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
JP4053173B2 (ja) * 1999-03-29 2008-02-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及び方法
US6001746A (en) * 1999-05-20 1999-12-14 United Microelectronics Corp. Method of forming an undoped silicate glass layer on a semiconductor wafer
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6153543A (en) * 1999-08-09 2000-11-28 Lucent Technologies Inc. High density plasma passivation layer and method of application
US6165915A (en) * 1999-08-11 2000-12-26 Taiwan Semiconductor Manufacturing Company Forming halogen doped glass dielectric layer with enhanced stability
GB2356289A (en) * 1999-08-19 2001-05-16 Lucent Technologies Inc Process for deposition of low-k dielectric gap filling layer onto high aspect ratio features in integrated circuits
US6410457B1 (en) * 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6346476B1 (en) * 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
US6319814B1 (en) * 1999-10-12 2001-11-20 United Microelectronics Corp. Method of fabricating dual damascene
TW429516B (en) * 1999-10-22 2001-04-11 United Microelectronics Corp Manufacturing method for inter-metal dielectrics
US6572924B1 (en) * 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
US6432808B1 (en) * 1999-12-03 2002-08-13 Xilinx, Inc. Method of improved bondability when using fluorinated silicon glass
US6472336B1 (en) * 2000-02-23 2002-10-29 Advanced Micro Devices, Inc. Forming an encapsulating layer after deposition of a dielectric comprised of corrosive material
US6432842B2 (en) * 2000-03-30 2002-08-13 Tokyo Electron Limited Coating method and coating apparatus
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
US6380066B1 (en) 2000-03-21 2002-04-30 Chartered Semiconductor Manufacturing Ltd. Methods for eliminating metal corrosion by FSG
US20020005539A1 (en) 2000-04-04 2002-01-17 John Whitman Spin coating for maximum fill characteristic yielding a planarized thin film surface
TW501232B (en) * 2000-04-04 2002-09-01 Agere Syst Guardian Corp High density plasma-fluorinated silicon glass process stack and method of manufacture therefor
US6506690B1 (en) * 2000-04-25 2003-01-14 Agere Systems Inc. Method for forming dielectric stack including second dielectric layer with lower undoped portion and upper doped portion
US6511923B1 (en) 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6479385B1 (en) 2000-05-31 2002-11-12 Taiwan Semiconductor Manufacturing Company Interlevel dielectric composite layer for insulation of polysilicon and metal structures
US6548901B1 (en) 2000-06-15 2003-04-15 International Business Machines Corporation Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6376360B1 (en) 2000-08-18 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Effective retardation of fluorine radical attack on metal lines via use of silicon rich oxide spacers
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
EP1275133A1 (de) * 2000-10-19 2003-01-15 Robert Bosch Gmbh Vorrichtung und verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas
US6458722B1 (en) * 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6363624B1 (en) 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US6479098B1 (en) * 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
TW531893B (en) * 2001-03-13 2003-05-11 Sanyo Electric Co Semiconductor device and manufacture method therefor
US6713406B1 (en) 2001-03-19 2004-03-30 Taiwan Semiconductor Manufacturing Company Method for depositing dielectric materials onto semiconductor substrates by HDP (high density plasma) CVD (chemical vapor deposition) processes without damage to FET active devices
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6576545B1 (en) 2001-03-29 2003-06-10 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US6518646B1 (en) 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6852649B1 (en) * 2001-03-30 2005-02-08 Cypress Semiconductor Corporation Multi-step high density plasma (HDP) process to obtain uniformly doped insulating film
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6667248B2 (en) 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US7144822B1 (en) * 2002-02-06 2006-12-05 Novellus Systems, Inc. High density plasma process for optimum film quality and electrical results
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
US6696359B1 (en) 2002-08-30 2004-02-24 Micron Technology, Inc. Design layout method for metal lines of an integrated circuit
US7541283B2 (en) * 2002-08-30 2009-06-02 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7296532B2 (en) * 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
JP2004304044A (ja) * 2003-03-31 2004-10-28 Toshiba Corp フロー変換装置、フロー変換方法、製造工程管理システム、製造工程管理方法及びプログラム
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
KR101037308B1 (ko) * 2003-05-30 2011-05-27 도쿄엘렉트론가부시키가이샤 고-k 유전성 재료 에칭 방법 및 시스템
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
KR100521436B1 (ko) * 2003-11-26 2005-10-13 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US6833717B1 (en) * 2004-02-12 2004-12-21 Applied Materials, Inc. Electron beam test system with integrated substrate transfer module
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4773716B2 (ja) * 2004-03-31 2011-09-14 株式会社デンソー 半導体基板の製造方法
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR101378826B1 (ko) 2005-09-12 2014-03-28 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 사이클릭 알켄 유도체의 분해를 방지하기 위한 첨가제
JP2009507834A (ja) * 2005-09-12 2009-02-26 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 環状アルケン誘導体の分解を防止する添加剤
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN100446195C (zh) * 2006-08-23 2008-12-24 上海华虹Nec电子有限公司 改善氟硅玻璃填隙性的方法
KR100756840B1 (ko) 2006-08-31 2007-09-07 동부일렉트로닉스 주식회사 반도체소자 및 그 제조방법
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8997687B2 (en) * 2006-12-28 2015-04-07 Exatec Llc Apparatus and method for plasma arc coating
CN101289284B (zh) * 2007-04-20 2011-04-20 中芯国际集成电路制造(上海)有限公司 有效控制含氟硅玻璃层间介质层形成中产生的气泡的方法
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8283261B2 (en) 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7898852B1 (en) 2007-12-27 2011-03-01 Cypress Semiconductor Corporation Trapped-charge non-volatile memory with uniform multilevel programming
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8173213B2 (en) 2008-05-28 2012-05-08 Air Products And Chemicals, Inc. Process stability of NBDE using substituted phenol stabilizers
JP5792617B2 (ja) 2008-07-08 2015-10-14 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッドFujiFilm Electronic Materials USA, Inc. 環状アルケン誘導体を含む組成物及びそれを使用する方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102817014B (zh) * 2011-06-08 2014-07-02 无锡华润上华科技有限公司 化学气相淀积装置中硅基气体的控制方法
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60219730A (ja) * 1984-04-16 1985-11-02 Canon Inc 堆積膜の形成法
JPH0697660B2 (ja) 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
JPS61231716A (ja) 1985-04-08 1986-10-16 Hitachi Ltd 成膜装置
JPS61276977A (ja) * 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
DE3856483T2 (de) * 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
EP0299249A1 (en) * 1987-07-16 1989-01-18 Texas Instruments Incorporated Processing apparatus and method
JPH07116609B2 (ja) * 1987-11-13 1995-12-13 富士通株式会社 化学気相成長装置
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
ATE143703T1 (de) * 1990-06-26 1996-10-15 Air Liquide Verfahren zum herstellen selbsttragender formkörper aus feuerfestem metall
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
US6157083A (en) * 1996-06-03 2000-12-05 Nec Corporation Fluorine doping concentrations in a multi-structure semiconductor device
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment

Also Published As

Publication number Publication date
JPH118230A (ja) 1999-01-12
EP0883166A3 (en) 1998-12-30
US5937323A (en) 1999-08-10
DE69835479D1 (de) 2006-09-21
KR100562206B1 (ko) 2006-05-25
KR19990006486A (ko) 1999-01-25
DE69835479T2 (de) 2007-04-19
EP0883166A2 (en) 1998-12-09
TW406358B (en) 2000-09-21
US6217658B1 (en) 2001-04-17
EP0883166B1 (en) 2006-08-09

Similar Documents

Publication Publication Date Title
JP4237845B2 (ja) 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化
JP4230563B2 (ja) 低誘電率膜用高堆積率レシピ
US6704913B2 (en) In situ wafer heat for reduced backside contamination
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
US6846742B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
JP4790170B2 (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
KR101027265B1 (ko) Hdp-cvd 다단계 갭충진 프로세스
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
US6228781B1 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
JP2002198367A (ja) Hdp−cvdを使用してシリコンリッチ酸化物を堆積する制御された方法
JPH1079387A (ja) シリカ膜のフッ素化による応力制御
JP2002512440A (ja) 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081008

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081105

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20081105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081202

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081219

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121226

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121226

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131226

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees