TW501232B - High density plasma-fluorinated silicon glass process stack and method of manufacture therefor - Google Patents

High density plasma-fluorinated silicon glass process stack and method of manufacture therefor Download PDF

Info

Publication number
TW501232B
TW501232B TW090108007A TW90108007A TW501232B TW 501232 B TW501232 B TW 501232B TW 090108007 A TW090108007 A TW 090108007A TW 90108007 A TW90108007 A TW 90108007A TW 501232 B TW501232 B TW 501232B
Authority
TW
Taiwan
Prior art keywords
layer
forming
semiconductor device
dielectric layer
metal
Prior art date
Application number
TW090108007A
Other languages
Chinese (zh)
Inventor
Huili Shao
Steven Alan Lytle
Mary Drummond Roby
Kurt George Steiner
Morgan Jones Thoma
Original Assignee
Agere Syst Guardian Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Syst Guardian Corp filed Critical Agere Syst Guardian Corp
Application granted granted Critical
Publication of TW501232B publication Critical patent/TW501232B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The present invention provides a semiconductor device and a method of manufacture therefor. In one embodiment, the semiconductor device includes a metal feature located on a semiconductor substrate, wherein the metal feature has a dielectric layer encompassing it. The semiconductor device also includes a barrier layer, comprising silicon, located on the metal feature and isolating the metal feature from the dielectric layer. Thus, the barrier layer tends to inhibit the diffusion of the dielectric layer into the metal feature. Another embodiment introduces a capping layer located over the dielectric layer and a metal feature located over the capping layer.

Description

501232 _案號 90108007 年 月 //日__ 五、發明說明(1) 發明之技術領域 本發明一般係有關於一種半導體裝置,而更明確言之, 係有關於一種在半導體基板上之金屬特徵上具有富矽障壁 層之半導體裝置。 發明背景 目前半導體技術,如眾所共知,一直在不停地縮小其元 件之尺寸。包括在此一尺寸縮小之内的,是不同金屬層次 或一定金屬層次上金屬互連間之距離縮小。由於裝置之尺 寸整體縮小及目前使用層次間(inter level )介電材料,RC 延遲已變成為問題。咸信RC延遲問題,是金屬互連與所用 層次間介電材料之間發生不經意電容偶合的結果。 因此,試圖防止伴隨裝置尺寸縮小而來的RC延遲問題, 半導體製造工業目前正朝向利用低介電常數材料,如氟化 石夕玻璃(fluorinated silicon glass,FSG),作為層次間 介電材料。FSG層次間介電材料會降低電通道(runner)間 的電容偶合,進而降低電路之RC延遲,提供更快速之積體 電路。然而,在將FSG整合於習知積體電路時,欲會遭遇 若干困難。 其中一項困難,是氟在FSG介電材料中的不穩定性。有 些氟會在F S G介電材料中結合,而大部份的氟只會鬆散地 結合,或根本不結合。不幸地,鬆散結合或不結合之氟會 在積體電路遭遇大高溫變化時移動。此種大高溫變化一般 會在製造過程中發生,但也會在使用積體電路時發生。而 且,氟在積體電路内之移動會引起二個一般性問題。501232 _Case No. 90108007 // Day__ V. Description of the Invention (1) Technical Field of the Invention The present invention generally relates to a semiconductor device, and more specifically, relates to a metal feature on a semiconductor substrate. A semiconductor device having a silicon-rich barrier layer thereon. BACKGROUND OF THE INVENTION Current semiconductor technology, as is well known, has been continuously reducing the size of its components. Included in this reduction in size is the reduction in distance between metal interconnects at different metal levels or at certain metal levels. Due to the overall reduction in device size and the current use of inter-level dielectric materials, RC delay has become a problem. The problem with RC delays is the result of inadvertent capacitive coupling between the metal interconnect and the interlevel dielectric material used. Therefore, in an attempt to prevent the RC delay problem associated with the reduction in device size, the semiconductor manufacturing industry is currently moving towards the use of low dielectric constant materials, such as fluorinated silicon glass (FSG), as interlevel dielectric materials. The FSG interlayer dielectric material will reduce the capacitive coupling between electrical runners, thereby reducing the RC delay of the circuit and providing a faster integrated circuit. However, there are several difficulties in integrating FSG into conventional integrated circuits. One of the difficulties is the instability of fluorine in FSG dielectric materials. Some fluorines are bound in the F S G dielectric material, while most fluorines are only loosely bound or not bonded at all. Unfortunately, loosely bound or unbound fluorine can move when the integrated circuit experiences large high temperature changes. Such large high temperature changes typically occur during the manufacturing process, but they also occur when using integrated circuits. Moreover, the movement of fluorine in integrated circuits can cause two general problems.

O:\70W0290.ptc 第6頁 501232 Ά 90108007 Jo、q 修正 五、發明說明(2) :f Ϊ ί ί在不結合之氟侵襲位於FSG層次間介電材料 二的普:包含,金屬堆疊時發生。當金屬堆疊包含材: :’ 2!° ί而形成氟化鈦,4具有極差的黏附性 4雜 ί附性f,鈦金屬堆疊會屈曲並自FSG介電材料 ,離,而引起更多問題。理論上,包含不同材料之金材: ®也會遭遇與鈦金屬堆疊類似之問題。 另一個因氟在FSG介電材料内移動所產生的問題係在氟O: \ 70W0290.ptc Page 6 501232 Ά 90108007 Jo, q Amendment V. Description of the invention (2): f Ϊ ί ίThe unconnected fluorine attacks the dielectric material located between the FSG layers. The second is general: Included, when metal is stacked occur. When the metal stack contains materials:: '2! ° ί to form titanium fluoride, 4 has extremely poor adhesion, 4 miscellaneous f f, the titanium metal stack will buckle and separate from the FSG dielectric material, causing more problem. In theory, gold materials containing different materials: ® will also encounter similar problems as titanium stacks. Another problem caused by the movement of fluorine in FSG dielectric materials is fluorine.

U ί屬ί ΐ化合時發生。紹互連線通常係用作為半導體F m特徵。氟會試圖和铭化合形成氟化銘Uj ί連ί之2,本質有負面影響。猜想當氣與習知積體電路 内之其他金屬化合時,%會發生相同結果。積體電路 現今半導體技術目前所遭遇的另一個問題是,不处 地使#料之表面變平坦。a ίΐΓίϊτΓ:重要’但對隨著現今技術而口? t =未裝置大小而言,其重要性甚至有過之而=桎小次 热^,達到有效的平坦化以在此種次微米特^ 。如所 後續正確之光刻製程,是很重要的。’小上進行 如上所述,目前半導體技術係將一層一 材料如FSG,沉積在半導體晶片上的特徵材=4。一般為介電 係利用高密度電装方法並配合各向同 J而言, 沉積。此種各向同性成分之影 乂成分進行 電感器等等上相當明顯;這些寬,1徵如電容器、 的合其他特徵如栅極結構及積f電略設 向同性成分只會留下小突出,其…化二都小到各 T ±旦化U ί 属 ί Occurs when ΐ is combined. This interconnection is usually used as a semiconductor F m feature. Fluorine will try to combine with Minghua to form fluorinated Ming Uj 连 lian 2 of 2 which has a negative impact in nature. It is conjectured that when Qi is combined with other metals in a conventional integrated circuit, the same result will occur in%. Integrated Circuits Another problem that semiconductor technology currently encounters today is not flattening the surface of the material. a ίΐΓίϊτΓ: It ’s important. But what about speaking with today ’s technology? t = the size of the device, its importance is even more important than it = 桎 small order heat ^, to achieve an effective flattening to special in this sub-micron ^. It is important to follow the correct lithographic process. As mentioned above, the current semiconductor technology is to deposit a layer of a material, such as FSG, on a semiconductor wafer. Generally, the dielectric system is deposited using a high-density electrical assembly method in conjunction with isotropic J. This isotropic component is quite obvious in inductors and so on; these wide features, such as capacitors, and other features such as gate structure and product, are slightly left out. Isotropic components will only leave small protrusions. , Which ... both are small to T ± denier

501232 -^-M08007 月 // 曰 五、發明說明(3) 一 (C Μ P )方法即可麵层日古 平二#且有效地予以平坦化 卻呈現出完全不同的問題。 ^__ 然而,寬特徵 因為寬特徵較其所在的表面為高,沉 積:各向同性麵刻之影響而在此種特徵= 異书(anomaly)。問題是在典型 Mp ^ 表:’包括凸起區域平坦化時發生…般 速f…會在整個晶片的材料…產:υ性ί 而會影響準確&,裝置性能及裝置良率。而:^同類型 積體電路間的圖案密度之差異會導致不同的拋光速度,而 使製造更加困難、更吊貴。 半導體製造工業在過去已開發出若干試圖在CMP時使圖 案密度之影響減至最低之方法。其中一種方法是,改" 種CMP方法變▲數如下向力(d〇Wn f〇rce),載架速度及拋 塾硬度。改變各種C Μ P方法變數確有幫助;但不幸地, 這些變數改變時’晶粒(d i e )和整個晶片均勻度之間有一 折衷。而且,改變的變數並不影響拋光速度。另_種嘗 過的方法是,沉積”偽"金屬特徵來使圖案密度平齊。然 而,此種方法的有效性端視電路配置及所用介電材料之沉 積外形之特點而定。而且,”偽"技術又有耗時又成本言^ 傾向。 ^ m 因此,本技藝所需要的是一種利用包含低介電常數材料 如氟化矽玻璃(FSG)之層次間介電質之半導體裝置,其在 大高溫變化時不會遭遇與不結合氟移動有關聯的問題。本501232-^-M08007 July // Said V. Description of the invention (3) The first (C MP) method can surface the layer Rigu Ping II # and effectively flatten it, but presents a completely different problem. ^ __ However, because the wide feature is taller than the surface on which it is located, the deposit: the effect of isotropic facets on this feature = anomaly. The problem is that the typical Mp ^ table: ‘including flattening of the raised area occurs ... likely, the speed f… will be in the material of the entire wafer… production: υ properties and will affect accuracy & device performance and device yield. And: the difference in pattern density between integrated circuits of the same type will lead to different polishing speeds, making manufacturing more difficult and expensive. The semiconductor manufacturing industry has developed several methods in the past that attempt to minimize the effect of pattern density during CMP. One of the methods is to change the "CMP method", such as the following forces (d0Wn f0rce), carrier speed and throw hardness. Changing the various CMP method variables does help; but unfortunately, there is a trade-off between the 'grain (d i e) and the overall wafer uniformity when these variables are changed. Moreover, the changed variables do not affect the polishing speed. Another method that has been tried is to deposit "pseudo" metal features to even out the pattern density. However, the effectiveness of this method depends on the characteristics of the circuit configuration and the deposition profile of the dielectric material used. Also, "Pseudo" technology is time consuming and costly. ^ m Therefore, what is needed in the art is a semiconductor device that uses an interlayer dielectric containing a low dielectric constant material such as fluorinated silicon glass (FSG), which does not encounter and disassociate with fluorine during large temperature changes There are related issues. this

501232 _案號90108007 年/二月,/日 修正_ 五、發明說明(4) 技藝也需要的是一種不會遭遇先前技藝拋光技術所伴隨的 拋光速度差異及其他拋光問題之平坦化方法。 發明概述 為彌補以上所討論先前技藝之不足,本發明特提供一種 包括金屬特徵之半導體裝置及其製造方法。在有利具體例 中,半導體裝置包括沉積在金屬特徵上之介電層。介電層 包括可滲透進入金屬特徵之物質。為防止此一滲透,半導 體裝置包括位於金屬特徵上,用以將金屬特徵與介電層隔 離之障壁層。障壁層可抑制介電層之物質滲透進入金屬特 徵中。另一實例則將罩層加於介電層之上及金屬特徵加於 罩層之上。在一代替性具體例中,障壁層、介電層及罩層 都係在單一沉積室中就地沉積。 因此,在一方面,本發明提供一種在半導體裝置之金屬 特徵上具有障壁層之半導體裝置。障壁層可使低介電常數 (K )材料如氟化之矽玻璃(F SG )可靠地用於降低伴隨積體電 路之RC延遲。 在本發明之另一方面,障壁層係富矽氧化物而介電層為 具低介電常數之介電層,如氟化之矽玻璃(FSG)。障壁層 與介電層可在氬,氧及矽烷氣體之存在下形成。 罩層可包含與障壁層類似之物質並抑制介電層滲透進入 罩層上方之金屬特徵中。在有利具體例中,罩層包含富矽 氧化物。而且,在一替代具體例中,罩層可在氬,氧及石夕 烷氣體之存在下形成。 在另一方面,金屬特徵係包含銘之金屬線。而且,在另501232 _Case No. 90108007 / February, / Days Amendment_ V. Description of the Invention (4) The technique also requires a flattening method that does not encounter the polishing speed differences and other polishing problems associated with previous techniques. SUMMARY OF THE INVENTION To make up for the shortcomings of the prior art discussed above, the present invention specifically provides a semiconductor device including metal features and a method of manufacturing the same. In an advantageous embodiment, the semiconductor device includes a dielectric layer deposited on a metal feature. The dielectric layer includes a substance that is permeable to metal features. To prevent this penetration, the semiconductor device includes a barrier layer on the metal feature to isolate the metal feature from the dielectric layer. The barrier layer can inhibit the penetration of the material of the dielectric layer into the metal features. Another example is to add a cap layer over the dielectric layer and a metal feature on the cap layer. In an alternative embodiment, the barrier layer, the dielectric layer, and the cap layer are all deposited in-situ in a single deposition chamber. Therefore, in one aspect, the present invention provides a semiconductor device having a barrier layer on a metal feature of the semiconductor device. The barrier layer enables a low dielectric constant (K) material such as fluorinated silica glass (F SG) to be reliably used to reduce the RC delay accompanying the integrated circuit. In another aspect of the present invention, the barrier layer is silicon oxide-rich and the dielectric layer is a dielectric layer having a low dielectric constant, such as fluorinated silicon glass (FSG). The barrier layer and the dielectric layer can be formed in the presence of argon, oxygen, and silane gas. The cap layer may contain a substance similar to the barrier layer and inhibit the dielectric layer from penetrating into the metal features above the cap layer. In an advantageous embodiment, the cap layer comprises a silicon-rich oxide. Moreover, in an alternative embodiment, the capping layer may be formed in the presence of argon, oxygen, and petrolane gas. In another aspect, the metal feature is a metal wire including an inscription. And, in another

O:\70\70290.ptc 第9頁 501232 _案號90108007 >年月//日 修正_ 五、發明說明(5) 一方面,可有眾多個金屬特徵位於半導體基板上,且障壁 層可使該眾多個金屬特徵之每一個與介電層隔離。然而, 熟諳此技藝者將明白金屬線可包含其他類似物質。 在另一具體例中,本發明包括積體電路。積體電路,在 另一具體例中,可包括電晶體及用作為互連並電連接電晶 體以形成積體電路之金屬特徵。 也涵蓋於本發明中的是,一種使位於基板上之特徵上之 層次間層平坦化之方法。在一具體例中,此方法包括將介 電層沉積於特徵上,其中沉積之方法具有使異常除在寬特 徵外不會在任何物上形成之各向同性蝕刻成分。在另一具 體例中,介電層可為利用高密度電漿方法沉積之氟化矽酸 鹽玻璃。該方法進一步包括將光阻劑作成圖案以使相當部 份之異常曝露,將曝露之部份蝕刻以留下異常之殘留物及 一般利用傳統CMP方法平坦化以留下實質平坦之表面。 在另一代表性實例中,其提供者為一種在半導體裝置之 基板上具有金屬特徵之半導體裝置。半導體裝置包含(1) 介電層,其可包括可滲透進入位於其上的金屬特徵内, (2 )位於金屬特徵與介電層間的富矽障壁層,(3)位於介電 層上的罩層及(4)位於罩層上的金屬層,其中罩層可抑制 氟滲透進入金屬層中。而且,在另一方面,障壁層、介電 層及罩層可藉高密度電漿原地形成。 以上已相當廣泛地摘述本發明之較佳及替代具體例,故 熟諳此技藝者將可更了解以下本發明之詳細說明。以下將 說明形成本發明申請專利範圍之主題的本發明額外特點。O: \ 70 \ 70290.ptc Page 9 501232 _Case No. 90108007 > Year / Month / Day Revision_ V. Description of the invention (5) On the one hand, there may be many metal features on the semiconductor substrate, and the barrier layer may be Each of the plurality of metal features is isolated from the dielectric layer. However, those skilled in the art will understand that metal wires may contain other similar substances. In another specific example, the present invention includes an integrated circuit. The integrated circuit, in another specific example, may include a transistor and metal features used as an interconnect and electrically connected to the electronic crystal to form the integrated circuit. Also encompassed by the present invention is a method for planarizing interlevel layers on features on a substrate. In a specific example, the method includes depositing a dielectric layer on a feature, wherein the method of depositing has an isotropic etching composition that causes anomalies not to form on anything other than a wide feature. In another specific example, the dielectric layer may be a fluorinated silicate glass deposited using a high-density plasma method. The method further includes patterning the photoresist to expose a significant portion of the abnormality, etching the exposed portion to leave abnormal residues, and generally planarizing using a conventional CMP method to leave a substantially flat surface. In another representative example, the supplier is a semiconductor device having metal features on a substrate of the semiconductor device. The semiconductor device includes (1) a dielectric layer, which may include a metal feature permeable therethrough, (2) a silicon-rich barrier layer between the metal feature and the dielectric layer, and (3) a cap on the dielectric layer Layer and (4) a metal layer on the cover layer, wherein the cover layer can inhibit fluorine from penetrating into the metal layer. Moreover, on the other hand, the barrier layer, the dielectric layer, and the cap layer may be formed in situ by a high-density plasma. The preferred and alternative specific examples of the present invention have been summarized quite extensively above, so those skilled in the art will know more about the detailed description of the present invention below. Additional features of the invention that form the subject of the patentable scope of the invention will be described below.

O:\70\70290.ptc 第10頁 501232 案號90108007 夕〇年〇月//日 修正 / , — 五、發明說明(6) 熟諳本技藝者應了解的是,彼等可輕易利用所揭示之概念 及特定具體例作為設計或修改其他結構以進行本發明相同 目的的基礎。熟諳本技藝者也應了解的是,此種相當的構 造並不偏離本發明最廣大型態之精神及範圍。 附圖之簡要說明 為更完全了解本發明,現請參閱以下參照隨附圖式所作 之說明;附圖為: 圖1顯示半導體裝置在製造中間階段之剖面圖,包括在 半導體基板上形成之障壁層; 圖2顯示低壓感應偶合HDP化學蒸氣沉積(CVD)反應器之 概略圖; 圖3 A顯示圖1所示半導體裝置在金屬特徵及障壁層上沉 積實質平坦之介電層後之情形; 圖3B顯示圖1所示半導體裝置在金屬特徵上沉積具異常 之介電層後之情形; 圖3C顯示圖3B所示半導體裝置在已沉積光阻材料並作成 圖案後之情形; 圖3D顯示圖3C所示半導體裝置在移除介於約75%至約99 % 之異常後留下角狀殘留物之情形; 圖4顯示圖3A-3D所示半導體裝置在介電層上沉積罩層後 之情形; 圖5顯示在第二金屬特徵慣常沉積後之完成半導體裝 置;及 圖6顯示可根據本發明之原理製造之習知積體電路之剖O: \ 70 \ 70290.ptc P.10 501232 Case No. 90108007 Rev.//////-V. Description of the invention (6) Those skilled in the art should understand that they can easily use the disclosure The concepts and specific examples serve as a basis for designing or modifying other structures to achieve the same purpose of the present invention. Those skilled in the art should also understand that this equivalent construction does not depart from the spirit and scope of the broadest form of the invention. Brief description of the drawings For a more complete understanding of the present invention, please refer to the following description with reference to the accompanying drawings; the drawings are: FIG. 1 shows a cross-sectional view of a semiconductor device in the middle stage of manufacturing, including a barrier formed on a semiconductor substrate Figure 2 shows a schematic diagram of a low pressure inductively coupled HDP chemical vapor deposition (CVD) reactor; Figure 3 A shows the semiconductor device shown in Figure 1 after depositing a substantially flat dielectric layer on metal features and barrier layers; 3B shows the semiconductor device shown in FIG. 1 after an abnormal dielectric layer is deposited on a metal feature; FIG. 3C shows the semiconductor device shown in FIG. 3B after a photoresist material has been deposited and patterned; FIG. 3D shows FIG. 3C The semiconductor device shown in FIG. 3A shows a situation in which an angular residue is left after removing an abnormality ranging from about 75% to about 99%; FIG. 4 shows the semiconductor device shown in FIGS. 3A-3D after a cap layer is deposited on a dielectric layer; FIG. 5 shows a completed semiconductor device after conventional deposition of a second metal feature; and FIG. 6 shows a cross-section of a conventional integrated circuit that can be manufactured according to the principles of the present invention.

O:\70\70290.ptc 第11頁 501232O: \ 70 \ 70290.ptc Page 11 501232

五、發明說明(7) 面圖。 修正 詳細說明 起先请參閱圖1,.其所示者為半導體裝置1〇〇在製造中 階f Ϊ 2剖面圖。圖1中也顯示者為位於半導體基板1 2〇 i 之金屬特徵110。金屬特徵11〇可包含鋁,其為連接半 裝置100中不同括性元件之金屬線。一般而言,這些金屬11 特徵1 1 0也可包括慣常形成之鈦/氮化鈦(T i / T i N)層i丨〇 a, 1 1 0 b。應注意的是,半導體晶片基板i 2 o可為位於半導體 裝置1 0 0中之任何基板,包括晶片本身或位於晶片上方的 基板。也應注意的是,半導體裝置丨〇 0並不限於3個金屬特 徵且單一金屬特徵1 1 〇或額外眾多個金屬特徵丨丨〇可構成半 導體裝置1 00。 在金屬特徵1 1 0上形成的是障壁層1 3 0,其在有利具體例 中包含富矽氧化物。障壁層1 3 0可利用習知感應偶合高密 度電漿(HDP)方法沉積。請短暫翻閱圖2,其所示者為習知 低壓感應偶合HDP化學蒸氣沉積(CVD)反應器2 0 0。HDP CVD 反應器2 0 0典型包括室210,感應線圈2 2 0,上射頻(RF)電 力源2 30,側RF電力源2 40及下RF電力源2 5 0。 請再翻回圖1,並繼續參閱圖2,為形成障壁層130,半 導體裝置100 —般係放置在HDP CVD反應器2 0 0之室210中。 接著,將約1500瓦之電力通至上電力源230及將約2500瓦 之電力通至側電力源2 4 0,同時氬,氧及矽氣體之混合物 流過半導體裝置1 00之表面上。再者,在HDP方法已開始之 後,可將有限量之電力通至下電力源2 5 0以幫助填滿金屬V. Description of the invention (7) Plan view. Correction Detailed description Please refer to FIG. 1 first, which shows a cross-sectional view of a semiconductor device 100 in the middle stage f Ϊ 2 during manufacture. Also shown in FIG. 1 is a metal feature 110 located on a semiconductor substrate 120i. The metal feature 110 may include aluminum, which is a metal wire that connects different bracketed elements in the device 100. Generally speaking, these metal 11 features 1 1 0 may also include a conventionally formed titanium / titanium nitride (T i / T i N) layer i 1 o a, 1 1 0 b. It should be noted that the semiconductor wafer substrate i 2 o may be any substrate located in the semiconductor device 100, including the wafer itself or a substrate located above the wafer. It should also be noted that the semiconductor device 0 0 0 is not limited to 3 metal features and a single metal feature 1 1 0 or an additional plurality of metal features 1/0 may constitute a semiconductor device 100. Formed on the metal feature 110 is a barrier layer 130, which in a favorable embodiment contains a silicon-rich oxide. The barrier layer 130 can be deposited using the conventional induction coupled high density plasma (HDP) method. Please refer to Figure 2 briefly, which shows the conventional low pressure inductively coupled HDP chemical vapor deposition (CVD) reactor 2000. The HDP CVD reactor 2 0 0 typically includes a chamber 210, an induction coil 2 2 0, an upper RF power source 2 30, a side RF power source 2 40, and a lower RF power source 2 50. Please turn back to FIG. 1 and refer to FIG. 2 again. In order to form the barrier layer 130, the semiconductor device 100 is generally placed in the chamber 210 of the HDP CVD reactor 2000. Then, about 1500 watts of power are passed to the upper power source 230 and about 2500 watts of power are passed to the side power source 240, while a mixture of argon, oxygen, and silicon gas flows on the surface of the semiconductor device 100. Furthermore, after the HDP method has begun, a limited amount of power can be passed to the lower power source 2 50 to help fill the metal

O:\70\70290.ptc 第12頁 修正 3號 90108007 五、發明說明(8) 特徵1 1 0之間的間隙。 將金屬特徵110之四角削、=二I電f源通得太早,它會 用。所得為較佳且厚声氩夕^ d 4),但其他氣體也可使 壁層13〇。孰\本、/蓺度^為約50 nm及折射率為約1.51之障 可變更沉積參'本以 置之設計即可。 支与度祇要母一種改變都符合裝 置/〇0在V*質612 ’所*者為圖1所示半導體裝 ?/30上後之情形。:電:=沉 ;^用HDP方法沉積。介電層31 〇a較佳二人/ 身;^ Ϊ ίΐ'1璃(:;G)且係沉積至厚度為約二及具折θ 將約1 2 0 0互雷ί置1〇〇置入HDP CVD反應器室210之後,即 電力、7f 2 4 0万的9通至上電力源2 3 〇,約3 0 0 0瓦電力通至側O: \ 70 \ 70290.ptc Page 12 Amendment No. 3 90108007 V. Description of the invention (8) The gap between features 1 1 0. It is too early to cut the four corners of the metal feature 110, = 2I electric f source, and it will be used. The result is better and thicker argon d 4), but other gases can also make the wall layer 130.本 \ 本 、 / 蓺 度 ^ is about 50 nm and the refractive index is about 1.51. The design of the deposition parameters can be changed. As long as the support and the degree of change are all in line with the device / 〇0 in the V * quality 612 ′, the result is the situation after the semiconductor device shown in Figure 1/30. : Electric: = Shen; ^ Deposited by HDP method. The dielectric layer 31 〇a is preferably two persons / body; ^ Ϊ ίΐ'1 璃 (:; G) and is deposited to a thickness of about two and has a fold of θ. After entering the HDP CVD reactor chamber 210, the electricity, 7f 24 million 9 to 9 power to the upper power source 230, about 300 watts of power to the side

Ϊ。之混合物流過半導體裝置100表面上時完 4。、韦以形成介電層3 1 0 a之氣體混合物為s i Η4及S i F 雷Ιϊη而:,介電層31 〇a係利用會在位於特徵1 1 〇上之介 HDP曰方it /供無異常之實質平坦表面之技術沉積。然而, 伴隨介電層31〇b引起異常3 2 0 ,如圖3B所示。因為 #科$1 q P法之各向同性蝕刻成分之故,異常32〇不會在小 ' 形成。然而,異常3 2 0仍會在寬特徵1 1 〇上形Alas. The mixture flows over the surface of the semiconductor device 100 in time. The gas mixture of Wei Yi to form the dielectric layer 3 1 0 a is si Η 4 and S i F Lei ϊ 而 η and: The dielectric layer 31 〇a uses the dielectric HDP located on the feature 1 1 〇 square it / supply Technical deposition of anomalous, substantially flat surfaces. However, the accompanying dielectric layer 310b causes an abnormality 3 2 0 as shown in FIG. 3B. Because of the isotropic etching composition of # 科 $ 1 q P method, the abnormality 32 will not be formed in a small '. However, the anomalous 3 2 0 will still form on the wide feature 1 1 〇

501232 銮號 90108007 修正 五、發明說明(9) 成。異常320之寬度一般較其所在的寬特徵11〇為 徵一般係具寬度足以產生實質異常之特徵;亦^ 。寬 CMP及不利用本發明所涵蓋之方法後產生非平垣表’會在 此種特徵之代表性寬度可為大於約5 0 0 0 n m之寬及面者 而,較小寬度一其會產生需用現時所述方法來達又。麸 坦表面之異常也在本發明之範圍内 寬特 到實質平 相反地,小特徵,如圖3 B所示特徵3丨5,係在H 不留下異常’或僅留下小而尖銳之特徵如小突 f法後 傲。m &此等小結嫩Q 1 R —叙θ +。 角之特 徵。因為此等小特徵3 1 5 —般具高縱橫比,故彼等 藉習知CMP方法移除而不影響所要平坦度也不需龙 办,丄》 rO 山,你这 .一 一 巾7要進一步 报容易 姓刻。因此’後續之作圖案及飯 刻過程’如此處所提供/ 對達成實質平坦表面並不實際或者不必要。應注^ 實質平坦表=是一種可在其上進行後續光刻過程二正=矿 成在設計規格内之特徵的表面。 確形 請翻閱圖3C,其所示為光阻材料33〇之沉積,誃 料330已作圖案而使異常320之相當部份34〇曝露。一般而 言,係使用孔口寬度小於異常3 2〇之寬度之掩模使光阻劑 曝露。然後,曝露之部份340即進行習知蝕刻。例如,可 利用電漿蝕刻,反應離子蝕刻或其他類似蝕除 3 2 0之曝露部份340。在較佳具體例中,触刻移除^約韦 75%與約99%之間的異常32 0並蝕刻至介電層31 3 5 0。 姓刻後所得者為 留物3 6 〇較佳係具高 如圖3D所示,異常之殘留物36〇。殘 縱比之角狀或突出物。在所示具體501232 銮 90108007 Amendment V. Description of the invention (9). The width of the anomaly 320 is generally larger than the width of the anomaly 320. The characteristic is generally a feature that is wide enough to produce a substantial anomaly; also ^. Wide CMP and non-flat wall tables generated after not using the methods covered by the present invention will result in the representative width of such features being wider than about 500 nm, and smaller widths will result in demand. Use the method currently described to reach it again. The abnormality of the brantan surface is also wide to substantially flat within the scope of the present invention. Conversely, small features, such as features 3 丨 5 shown in Figure 3B, are left in H without abnormalities or only small and sharp. Features such as the small process f method is proud. m & These summary tender Q 1 R — θ +. Corner characteristics. Because these small features 3 1 5-generally have a high aspect ratio, they can be removed by conventional CMP methods without affecting the desired flatness, and it does not need to be done, 丄》 rO Mountain, you. 7 Further reported easy nickname. Therefore, the “subsequent patterning and rice carving process” as provided here is not practical or necessary to achieve a substantially flat surface. It should be noted that ^ substantially flat table = is a surface on which the subsequent photolithography process can be performed. Confirmation Please refer to FIG. 3C, which shows the deposition of a photoresist material 33 °. The material 330 has been patterned so that a considerable portion 34 of the abnormality 320 is exposed. In general, a photoresist is exposed by using a mask having an aperture width smaller than the width of the abnormality 320. Then, the exposed portion 340 is subjected to conventional etching. For example, plasma exposure, reactive ion etching, or the like can be used to remove the exposed portion 340 of 3 2 0. In a preferred embodiment, the anomalous 32 0 between 75% and about 99% is removed by contact etching and etched to the dielectric layer 31 3 5 0. After the last name is engraved, the remnant 3 6 0 is preferred. As shown in FIG. 3D, the abnormal residue is 36. Angle or protrusion of residual aspect ratio. Shown specifically

501232 修正 案號 90108007 五、發明說明(10) 例中’殘留物之寬度為小於約1 〇 〇 0 nm。然後,使介電層 3 1 0 b平坦化。由於彼等之高縱橫比,殘留物3 6 〇利用傳統 化學機械平坦化(C Μ P )方法即很容易移除而得實質平坦表 面。因此’若有需要,平坦化係在至少實質降低拋光速度 差異及伴隨先前技藝方法的其他拋光問題之同時完成。 在介電層310a或310b沉積及異常320(圖3Β)移除後,若 有需要,可在介電層310a之上沉積罩層410。在一有利具 體例中,罩層4 1 0包含與障壁層1 3 0類似之物質,如富矽氧 化物。與障壁層1 30及介電層31 〇a,3 1 Ob之沉積類似,罩 層4 1 0 —般係利用HDP方法沉積。而且,罩層4 1 〇較佳係沉 積至厚度約40 0 nm及具折射率為約1· 51。罩層410較佳係 藉1 5 0 0瓦通至上電力源23 0及2 5 0 0瓦通至側電力源240,同 時使氬,氧及矽烷氣體之混合物流過半導體裝置丨〇 〇之上 而沉積。HDP方法不是可以利用的唯一方法。例如,其他 習知CVD及PVD方法都可使用。然而,利用另一種非保形 (non-conformal)沉積方法時則在完成半導體裝置之前, 罩層410必須經過標準化學機械平坦化(CMP)。 因為障壁層130、介電層310及罩層410可用高密度電聚 沉積,因此這三種層全部都可在同一沉積室中就地沉積。 就地沉積通常係精由將部份完成之半導體裝置1〇〇(圖1)置 入HDP CVD反應器室210内並改變施加之射頻(RJ?)量,通電 力之位置,氣體混合物,溫度等等沉積而得圖4所示半導 體裝置。而且,就地形成層130,310,41〇,在下面特徵 具如上所討論之寬度小於約5 0 0 0 n m或其厚度使得η D p方法501232 Amendment No. 90108007 V. Description of the Invention (10) In the example, the width of the residue is less than about 100 nm. Then, the dielectric layer 3 1 0 b is planarized. Due to their high aspect ratio, the residue 36 can be easily removed using a conventional chemical mechanical planarization (CMMP) method to obtain a substantially flat surface. So 'if necessary, planarization is done while at least substantially reducing the difference in polishing speed and other polishing problems that accompany previous techniques. After the dielectric layer 310a or 310b is deposited and the anomaly 320 (FIG. 3B) is removed, if necessary, a capping layer 410 may be deposited over the dielectric layer 310a. In an advantageous embodiment, the cover layer 4 10 contains a substance similar to the barrier layer 130, such as a silicon-rich oxide. Similar to the deposition of the barrier layer 130 and the dielectric layer 31 oa, 3 1 Ob, the cap layer 4 1 0 is generally deposited by the HDP method. Moreover, the cover layer 4 10 is preferably deposited to a thickness of about 40 nm and has a refractive index of about 1.51. The cover layer 410 is preferably connected to the upper power source 2350 and 2500 watts to the side power source 240 by 1500 watts, and at the same time, the mixture of argon, oxygen and silane gas flows through the semiconductor device. 〇〇〇 While deposited. The HDP method is not the only method available. For example, other conventional CVD and PVD methods can be used. However, when using another non-conformal deposition method, the cap layer 410 must be subjected to standard chemical mechanical planarization (CMP) before the semiconductor device is completed. Because the barrier layer 130, the dielectric layer 310, and the cap layer 410 can be deposited by high-density electropolymerization, all three layers can be deposited in-situ in the same deposition chamber. In-situ deposition is usually accomplished by placing a partially completed semiconductor device 100 (Figure 1) into the HDP CVD reactor chamber 210 and changing the amount of radio frequency (RJ?) Applied, the location of the electrical power, the gas mixture, and the temperature. The semiconductor device shown in FIG. 4 is obtained by the deposition. Further, the layers 130, 310, and 41 are formed in situ, with the following features having a width less than about 5 0 0 n m as discussed above or a thickness such that the η D p method

O:\70\70290.ptc 第15頁 501232O: \ 70 \ 70290.ptc Page 15 501232

修正 :f形成需要CMP移除之異常時,特 以ΐΚΚίΐ内時,,方法之各向同性… 雷;ί坦化步驟之需要。障壁層130,介 a a 以單一加工步驟就地沉積是非常需要 它既省錢又減少加卫步驟。因此, 地 方法可提供本發明額外益處。 Γ ΐ,圖5,其所不為在第二金屬特徵S10及Ti/TiN層 積後完成之半導體裝置5〇。。完成之半導體裝 括位於半導體基板530上具n/TiN層52〇a,52〇b ίΐί if520及位於金屬特徵520上之障壁層54◦。位於 障土層540上的是介電層55〇及罩層56〇。如所示,障壁層 5^0將^屬特徵5 2 0與介電層55〇隔離並抑制氟自介電層55〇 ,透進入金屬特徵5 2 0之至少一部份。同樣地,罩層56〇會 抑制介電層5 5 0之一物質如氟滲透進入第二 Ti/TiN層510a,510b中。 獨行试及 、請短暫翻閱圖6,其所顯示為可根據本發明原理製造之 餐知積體電路600之剖面圖。積體電路可包 置,BiCMOS裝置,雙極裝置,EEPR〇M裝置,包括瞬時裝 EPROMS或任何其他類型之類似裝置。圖6也顯示者 積體電路6 0 0之元件,包括電晶體61〇,金屬特徵52〇, 壁層5 40,罩層56 0,第二金屬特徵510及介電層55〇。金 特徵5 2 0和互連結構6 2 0構成互連系統之一部份,其電^ 電晶體610而形成積體電路6 0 0。也顯示者為慣常^成之 6 2 3,62 5,源區6 33及漏區63 5,全部位於基板63 /之上。Correction: When f forms an abnormality that needs to be removed by CMP, especially when ΐΚΚίΐ is used, the method is isotropic ... Lei; ί the need for a frankization step. The barrier layer 130, which is deposited in situ in a single processing step, is highly needed, which saves money and reduces the number of guarding steps. Therefore, local methods may provide additional benefits of the invention. Γ ΐ, FIG. 5, which is not a semiconductor device 50 completed after the second metal feature S10 and Ti / TiN are laminated. . The completed semiconductor device includes an n / TiN layer 52a, 52b on a semiconductor substrate 530 and a barrier layer 54 on a metal feature 520. Located on the barrier layer 540 are a dielectric layer 55 and a cap layer 56. As shown, the barrier layer 5 ^ 0 isolates the metal feature 520 from the dielectric layer 55o and inhibits fluorine from the dielectric layer 55o from penetrating into at least a portion of the metal feature 520. Similarly, the capping layer 56 prevents the penetration of a substance such as fluorine from the dielectric layer 5 50 into the second Ti / TiN layers 510a, 510b. Independent test and please briefly refer to FIG. 6, which shows a cross-sectional view of a dining knowledge integrated circuit 600 that can be manufactured according to the principles of the present invention. Integrated circuits can include BiCMOS devices, bipolar devices, EEPROM devices, including transient EPROMS or any other type of similar device. Figure 6 also shows the components of the integrated circuit 600, including a transistor 610, a metal feature 520, a wall layer 5 40, a cap layer 560, a second metal feature 510, and a dielectric layer 550. The gold feature 5 2 0 and the interconnect structure 6 2 0 form a part of the interconnect system, and its transistor 610 forms an integrated circuit 6 0 0. Also shown are the conventional 6 2 3, 62 5, the source region 6 33 and the drain region 63 5, all located on the substrate 63 /.

501232 _案號90108007 0年八2月//日 修正_ 五、發明說明(12) 雖然本發明已詳細說明,但熟諳本技藝者應了解,彼等 在不偏離本發明最廣大型態之精神及範圍下可作各種變 化,取代及改變。501232 _Case No. 90108007 Rev. 8/0 / 0_ V. Description of the invention (12) Although the invention has been described in detail, those skilled in the art should understand that they do not depart from the spirit of the broadest form of the invention Various changes, substitutions and changes can be made under the scope.

O:\70\70290.ptc 第17頁 501232 案號 90108007 年Θ月Y曰_修正 圖式簡單說明 元件符號說明 100 半導體裝置 110 金屬特徵 120 半導體基板 130 障壁層 200 反應器 210 室 220 感應線圈 230 上射頻(RF)電力源 240 側RF電力源 250 下RF電力源 310a, 310b 介電層 315 小特徵 320 異常 330 光阻材料 340 異常之曝露部份 350 場高度 360 異常之殘留物 410 罩層 500 半導體裝置 510 第二金屬特徵 520 540 560 610 623,625 633 金屬特徵 障壁層 罩層 電晶體 盆 源區 5 3 0 半導體基板 5 5 0 介電層 6 0 0 積體電路 6 2 0 互連結構 6 3 0 基板 6 3 5 漏區O: \ 70 \ 70290.ptc Page 17 501232 Case No. 90108007 YY month Y _ correction diagram brief description element symbol description 100 semiconductor device 110 metal feature 120 semiconductor substrate 130 barrier layer 200 reactor 210 chamber 220 induction coil 230 Upper radio frequency (RF) power source 240 Side RF power source 250 Lower RF power source 310a, 310b Dielectric layer 315 Small feature 320 Anomaly 330 Photoresist material 340 Exposed part of anomaly 350 Field height 360 Anomalous residue 410 Cover layer 500 Semiconductor device 510 Second metal feature 520 540 560 610 623,625 633 Metal feature barrier layer cover transistor basin source area 5 3 0 semiconductor substrate 5 5 0 dielectric layer 6 0 0 integrated circuit 6 2 0 interconnect structure 6 3 0 Substrate 6 3 5 Drain

O:\70\70290.ptc 第18頁O: \ 70 \ 70290.ptc Page 18

Claims (1)

501232 _案號90108007 戶0年乂月//日 修正_ 六、申請專利範圍 1. 一種在半導體裝置之基板上具有金屬特徵之半導體裝 置,其包含: 位於金屬特徵上且包括可滲透進入金屬特徵之物質之 介電層;及 介於金屬特徵與介電層之間的富矽障壁層。 2. 如申請專利範圍第1項之半導體裝置,其中富矽障壁 層將金屬特徵與介電層隔離並抑制物質滲透進入金屬特 徵。 3. 如申請專利範圍第1項之半導體裝置,尚包括位於介 電層之上的罩層及位於罩層之上的金屬特徵,罩層會抑制 物質滲透進入位於罩層之上的金屬特徵中。 4. 如申請專利範圍第3項之半導體裝置,其中罩層包含 富矽氧化物。 5 .如申請專利範圍第1項之半導體裝置,其中障壁層係 富矽氧化物及介電層係具低介電常數之介電層。 6. 如申請專利範圍第5項之半導體裝置,其中具低介電 常數之介電層為經氟化之矽玻璃。 7. 如申請專利範圍第1項之半導體裝置,其中障壁層之 厚度為約50 nm。 8. 如申請專利範圍第1項之半導體裝置,尚包含眾多位 於半導體基材上之金屬特徵,其中障壁層將眾多金屬特徵 之每一個與介電層隔離。 9. 如申請專利範圍第8項之半導體裝置,其中半導體裝 置係積體電路。501232 _Case No. 90108007 Rev. 0/0/0 of the household_ VI. Patent application scope 1. A semiconductor device with a metal feature on the substrate of a semiconductor device, comprising: located on the metal feature and including a feature that is permeable to the metal feature A dielectric layer of the material; and a silicon-rich barrier layer between the metal feature and the dielectric layer. 2. The semiconductor device as claimed in item 1 of the patent application, wherein the silicon-rich barrier layer isolates the metal features from the dielectric layer and inhibits substances from penetrating into the metal features. 3. If the semiconductor device in the first scope of the patent application includes a cap layer on the dielectric layer and metal features on the cap layer, the cap layer will inhibit the substance from penetrating into the metal features on the cap layer. . 4. The semiconductor device as claimed in claim 3, wherein the cap layer comprises a silicon-rich oxide. 5. The semiconductor device according to item 1 of the patent application scope, wherein the barrier layer is a silicon-rich oxide and the dielectric layer is a dielectric layer with a low dielectric constant. 6. For a semiconductor device as claimed in item 5 of the patent application, in which the dielectric layer having a low dielectric constant is fluorinated silica glass. 7. The semiconductor device as claimed in claim 1, wherein the thickness of the barrier layer is about 50 nm. 8. For example, the semiconductor device of the first patent application scope still includes a plurality of metal features on the semiconductor substrate, wherein the barrier layer isolates each of the plurality of metal features from the dielectric layer. 9. The semiconductor device as claimed in claim 8 wherein the semiconductor device is an integrated circuit. O:\70\70290.ptc 第19頁 501232 _案號90108007 5^年月’/日 修正_ 六、申請專利範圍 1 0 ·如申請專利範圍第9項之半導體裝置,尚包含電晶體 及其中金屬特徵構成電連接電晶體之互連系統之一部份。 11. 一種形成半導體基板上包括金屬特徵之半導體裝置 之方法,包含: 在金屬特徵上形成富矽障壁層;及 在金屬特徵及障壁層上形成介電層。 1 2 .如申請專利範圍第1 1項之方法,其中形成障壁層係 包括以高密度電漿方法形成富矽氧化物。 1 3.如申請專利範圍第1 1項之方法,尚包括在介電層上 形成罩層及在罩層上形成金屬特徵,罩層抑制介電層之物 質滲透進入位於罩層上之金屬特徵。 1 4.如申請專利範圍第1 3項之方法,其中形成罩層係包 括以高密度電漿方法形成富矽氧化物層。 1 5 .如申請專利範圍第1 1項之方法,其中形成介電層係 包括以高密度電漿方法形成經氟化之矽玻璃層。 1 6,如申請專利範圍第1 1項之方法,其中形成障壁層係 包括形成障壁層至厚度為約5 0 nm。 1 7.如申請專利範圍第1 1項之方法,尚包含在半導體基 板上形成眾多金屬特徵,及形成障壁層係包括在眾多金屬 特徵之每一個上形成障壁層以隔離眾多金屬特徵之每一個 與介電層。 1 8.如申請專利範圍第1 1項之方法,尚包括形成積體成 電路。 1 9.如申請專利範圍第1 8項之方法,尚包括形成電晶體O: \ 70 \ 70290.ptc Page 19 501232 _Case No. 90108007 5 ^ Year Month '/ Day Amendment_ VI. Patent Application Scope 1 0 · If the semiconductor device in the 9th patent application scope includes a transistor and its Metal features form part of an interconnection system that electrically connects transistors. 11. A method of forming a semiconductor device including a metal feature on a semiconductor substrate, comprising: forming a silicon-rich barrier layer on the metal feature; and forming a dielectric layer on the metal feature and the barrier layer. 12. The method of claim 11 in the scope of patent application, wherein forming the barrier layer system comprises forming a silicon-rich oxide by a high-density plasma method. 1 3. The method according to item 11 of the scope of patent application, further comprising forming a capping layer on the dielectric layer and forming a metal feature on the capping layer, the capping layer inhibits the substance of the dielectric layer from penetrating into the metal feature located on the capping layer. . 14. The method according to item 13 of the patent application scope, wherein forming the capping layer comprises forming a silicon oxide-rich layer by a high-density plasma method. 15. The method of claim 11 in the scope of patent application, wherein forming a dielectric layer comprises forming a fluorinated silica glass layer by a high-density plasma method. 16. The method according to item 11 of the scope of patent application, wherein forming the barrier layer system includes forming the barrier layer to a thickness of about 50 nm. 17. The method according to item 11 of the scope of patent application, further comprising forming a plurality of metal features on the semiconductor substrate, and forming a barrier layer system includes forming a barrier layer on each of the plurality of metal features to isolate each of the plurality of metal features. With dielectric layer. 1 8. The method according to item 11 of the scope of patent application, further comprising forming an integrated circuit. 19. The method as claimed in item 18 of the scope of patent application, further comprising forming a transistor O:\70\70290.ptc 第20頁 501232 案號90108007 年’^月7 /日 修正 體 積 成 形 以 體 晶 電 接 電 成 形 括。 包份 係部 徵一 特之 屬統 金系 圍多連 範眾互 利 專成之 f形路 、及電 六 壁 障 矽 富 成 形 中 其 法 方 之 項 11 11 第 圍 範 利 專 請 中 如 物 之 層 ^¾ 介 制 抑 以 M. 0 隔 層 ^¾ 介 與 徵 特 金 將 成 形 括 包 係 層 層 壁 障 矽 富 之 徵 特 金 入 進 透 滲 質 坦 平 層 間 次 層 之 上 徵 特 之 上 板 基 體 導 半 於 位 使 種 及 上 徵 特 在 常 異 使 會 有 具 積 沉 層 介 積 含沉 包上 ,徵 法特 方在 之 化 曝 常 異 之 份 部 當 相 •,使 分以 成案 性圖 同成 向作 各劑 之阻 成光 形之 上上 面層 表電 之介 層將 電 ; 介 露 及 物。 留面 殘表 之之 常坦 異平 下質 留實 以為 刻成 #化 份坦 部平 之層 露電 曝介 將使 含 包 法 方 之 路 rpir 體 積 造 製 U&ul 種 徵 特 成 形 上 板 基 體 導 半 在 上 徵 特 在 常 異 :使 括會 包有 ,具 化積 坦沉 平, 間電 次介 層積 之沉 常上 異徵 有特 具在 使 常 異 之 份 β— it口 當 相 •,使 分以 成案 生圖 同成 向作 各劑 之阻 成光 形之 上上 面層 表電 之介 層將 ^¾ 介 及 露 曝 及 物 留 殘 之 常 異 下 留 以 刻 # 份 部 之 露 曝 將 之 作 fsrc il 可 及成 •,形 面而 表徵 之特 坦連 平互 質以 實構 為結 成連 化互 坦成 平形 層内 電層 ο 使介路 在電 體 積 O:\70\70290.ptc 第21頁 501232 _案號 90108007 年ρ月//曰_i^E._ 六、申請專利範圍 23. —種在半導體裝置之表面上具有金屬特徵之半導體 裝置,包含: 位於金屬特徵上且包括可滲透進入金屬特徵之氟之介 電層;及 介於金屬特徵與介電層之間的富矽障壁層; 位於介電層上之罩層;及 位於罩層上之金屬層,罩層抑制氟滲透進入金屬層。 24. —種形成半導體基板上包括金屬特徵之半導體裝置 之方法,包含: 在金屬特徵上形成富矽障壁層;及 在金屬特徵及障壁層上形成包括氟之介電層,富矽障 壁層可抑制氟滲透進入位於富矽障壁層上之金屬特徵;及 在介電層上形成罩層,其中富矽障壁層、介電層及罩 層係以高密度電漿就地形成。O: \ 70 \ 70290.ptc Page 20 501232 Case No. 90108007 ^^ 7 / Day Revised volume formation includes bulk crystal formation. The contract is a special type of f-shaped road that is owned by the Department of Metallurgical Industry, and is a multi-billion-dollar mutual-benefit specialist, and the method of the six methods in the formation of the electric six barrier silicon rich 11 11 The layer ^ ¾ interspersed with the M. 0 barrier ^ ¾ The introduction and the levy of special levy will form the encapsulation layer barrier silicon rich levy of special levy into the osmotic flattening interlayer sublayer. The upper plate substrate is guided in place so that the species and the singularity can be deposited on the sedimentary layer with a sedimentary layer in the normal eclipse. The implementation plan is the same as the direction of each agent. The dielectric layer on the upper surface of the upper layer will be charged; The appearance of the remaining surface is often flat and inferior, and it is thought that the inscription of # 化 份 坦 部 平平 的 露 电 介 机 will make the road containing the method of the method rpir volume to make U & ul seed forming upper plate The substrate is semi-singular and special: it is included in the spheroid, and it is calm and smooth, and the dielectricity of the interlayer dielectric is often special. Phase • Make the case-by-case plan into the same direction as the resistance of each agent into a light shape. The upper surface of the dielectric layer will be ^ ¾ and exposed, and the remaining differences are left under the engraved # 部 部The exposure of the exposed fsrc il can be made into, •, and the special surface is characterized by the shape and shape of the interlayer. The physical layer is connected to the interlayer to form the electric layer. Ο Make the dielectric path in the electrical volume O: \ 70 \ 70290.ptc Page 21 501232 _ Case No. 90108007 ρ /// said _i ^ E._ VI. Patent application scope 23. —A semiconductor device with metal features on the surface of the semiconductor device, including: Features and include fluorine that is permeable to metallic features A dielectric layer; and a silicon-rich barrier layer between the metal feature and the dielectric layer; a cap layer on the dielectric layer; and a metal layer on the cap layer, the cap layer inhibits fluorine from penetrating into the metal layer. 24. A method of forming a semiconductor device including a metal feature on a semiconductor substrate, comprising: forming a silicon-rich barrier layer on the metal feature; and forming a dielectric layer including fluorine on the metal feature and the barrier layer, the silicon-rich barrier layer may Inhibiting fluorine from penetrating into the metal features on the silicon-rich barrier layer; and forming a cap layer on the dielectric layer, wherein the silicon-rich barrier layer, the dielectric layer and the cap layer are formed in situ with a high-density plasma. O:\70\70290.ptc 第22頁O: \ 70 \ 70290.ptc Page 22
TW090108007A 2000-04-04 2001-04-03 High density plasma-fluorinated silicon glass process stack and method of manufacture therefor TW501232B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US54337000A 2000-04-04 2000-04-04

Publications (1)

Publication Number Publication Date
TW501232B true TW501232B (en) 2002-09-01

Family

ID=24167722

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090108007A TW501232B (en) 2000-04-04 2001-04-03 High density plasma-fluorinated silicon glass process stack and method of manufacture therefor

Country Status (4)

Country Link
JP (1) JP2001358217A (en)
KR (1) KR20010095310A (en)
GB (1) GB2367426A (en)
TW (1) TW501232B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US7186640B2 (en) * 2002-06-20 2007-03-06 Chartered Semiconductor Manufacturing Ltd. Silicon-rich oxide for copper damascene interconnect incorporating low dielectric constant dielectrics
KR102217242B1 (en) 2017-03-08 2021-02-18 삼성전자주식회사 Integrated circuit device and method of manufacturing the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2319820B (en) * 1994-02-23 1998-10-07 Luk Getriebe Systeme Gmbh Torque transfer system
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6277730B1 (en) * 1998-02-17 2001-08-21 Matsushita Electronics Corporation Method of fabricating interconnects utilizing fluorine doped insulators and barrier layers
JP3215382B2 (en) * 1998-02-17 2001-10-02 松下電器産業株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
GB2367426A (en) 2002-04-03
GB0108357D0 (en) 2001-05-23
JP2001358217A (en) 2001-12-26
KR20010095310A (en) 2001-11-03

Similar Documents

Publication Publication Date Title
Schmitz Low temperature thin films for next-generation microelectronics
US9768061B1 (en) Low-k dielectric interconnect systems
KR101144535B1 (en) Dielectric barrier deposition using nitrogen containing precursor
TW201118934A (en) Remote plasma processing of interface surfaces
EP3216059A1 (en) Methods and apparatus for high voltage integrated circuit capacitors
US7709960B2 (en) Dual liner capping layer interconnect structure
JP6793711B2 (en) Selectively etched self-aligned via process
CN106463456B (en) The method for being used to form the passivation protection of interconnection structure
CN106898595A (en) Interconnecting construction and its manufacture method
TW200807625A (en) Electronic structures utilizing etch resistant boron and phosphorus materials and methods to form same
CN101330045A (en) Manufacturing method of semiconductor device
US20200135407A1 (en) Back-end-of-the line capacitor
TW501232B (en) High density plasma-fluorinated silicon glass process stack and method of manufacture therefor
TW452923B (en) Diffusion preventing barrier layer in integrated circuit inter-metal layer dielectrics
US20080272445A1 (en) Low-k displacer for overlap capacitance reduction
US8716125B2 (en) Methods of in-situ vapor phase deposition of self-assembled monolayers as copper adhesion promoters and diffusion barriers
US7687392B2 (en) Semiconductor device having metal wiring and method for fabricating the same
US7755202B2 (en) Semiconductor device and method of fabricating the same
US8901711B1 (en) Horizontal metal-insulator-metal capacitor
TW200540990A (en) Ultraviolet blocking layer
TWI286814B (en) Fabrication process of a semiconductor device
TW517271B (en) Method of manufacturing semiconductor device
US7037823B2 (en) Method to reduce silanol and improve barrier properties in low k dielectric ic interconnects
TW200539354A (en) Low temperature method for metal deposition
US9082828B2 (en) Al bond pad clean method

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees