TW406358B - Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing - Google Patents
Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing Download PDFInfo
- Publication number
- TW406358B TW406358B TW087108772A TW87108772A TW406358B TW 406358 B TW406358 B TW 406358B TW 087108772 A TW087108772 A TW 087108772A TW 87108772 A TW87108772 A TW 87108772A TW 406358 B TW406358 B TW 406358B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- reaction chamber
- gas
- layer
- patent application
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 87
- 238000012163 sequencing technique Methods 0.000 title description 2
- 238000000034 method Methods 0.000 claims abstract description 113
- 239000000758 substrate Substances 0.000 claims abstract description 107
- 239000011521 glass Substances 0.000 claims abstract description 14
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 9
- 239000010703 silicon Substances 0.000 claims abstract description 9
- 238000006243 chemical reaction Methods 0.000 claims description 156
- 239000007789 gas Substances 0.000 claims description 153
- 230000008021 deposition Effects 0.000 claims description 55
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 29
- 238000011049 filling Methods 0.000 claims description 27
- 229910052736 halogen Inorganic materials 0.000 claims description 19
- 150000002367 halogens Chemical class 0.000 claims description 18
- 230000002079 cooperative effect Effects 0.000 claims description 17
- 239000010408 film Substances 0.000 claims description 15
- 239000001307 helium Substances 0.000 claims description 15
- 229910052734 helium Inorganic materials 0.000 claims description 15
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 15
- 239000000463 material Substances 0.000 claims description 13
- 230000015572 biosynthetic process Effects 0.000 claims description 12
- 238000001816 cooling Methods 0.000 claims description 12
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 claims description 12
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 11
- 239000010409 thin film Substances 0.000 claims description 9
- 230000001276 controlling effect Effects 0.000 claims description 7
- 238000010438 heat treatment Methods 0.000 claims description 6
- 239000002826 coolant Substances 0.000 claims 7
- 241000282320 Panthera leo Species 0.000 claims 2
- 229910004014 SiF4 Inorganic materials 0.000 claims 2
- YZCKVEUIGOORGS-NJFSPNSNSA-N Tritium Chemical compound [3H] YZCKVEUIGOORGS-NJFSPNSNSA-N 0.000 claims 1
- SBEQWOXEGHQIMW-UHFFFAOYSA-N silicon Chemical compound [Si].[Si] SBEQWOXEGHQIMW-UHFFFAOYSA-N 0.000 claims 1
- 239000004575 stone Substances 0.000 claims 1
- 229910052722 tritium Inorganic materials 0.000 claims 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 abstract description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract description 7
- 150000003376 silicon Chemical class 0.000 abstract 1
- 235000012431 wafers Nutrition 0.000 description 64
- 238000000151 deposition Methods 0.000 description 56
- 230000008569 process Effects 0.000 description 49
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 45
- 239000011737 fluorine Substances 0.000 description 45
- 229910052731 fluorine Inorganic materials 0.000 description 45
- 229910052751 metal Inorganic materials 0.000 description 28
- 239000002184 metal Substances 0.000 description 28
- 238000004140 cleaning Methods 0.000 description 12
- 239000003595 mist Substances 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 238000004590 computer program Methods 0.000 description 11
- 238000007726 management method Methods 0.000 description 11
- 238000005530 etching Methods 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- 239000007800 oxidant agent Substances 0.000 description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 230000001590 oxidative effect Effects 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- 230000009102 absorption Effects 0.000 description 5
- 238000010521 absorption reaction Methods 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 238000012544 monitoring process Methods 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 239000011295 pitch Substances 0.000 description 4
- 238000007639 printing Methods 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 230000033228 biological regulation Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- 230000013011 mating Effects 0.000 description 3
- WQLQSBNFVQMAKD-UHFFFAOYSA-N methane;silicon Chemical compound C.[Si] WQLQSBNFVQMAKD-UHFFFAOYSA-N 0.000 description 3
- 230000009103 reabsorption Effects 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 230000032683 aging Effects 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000001066 destructive effect Effects 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 238000002844 melting Methods 0.000 description 2
- 230000008018 melting Effects 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 230000035882 stress Effects 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000009423 ventilation Methods 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 241000052343 Dares Species 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 241000283973 Oryctolagus cuniculus Species 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910020177 SiOF Inorganic materials 0.000 description 1
- 241001422033 Thestylus Species 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000000875 corresponding effect Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 201000010099 disease Diseases 0.000 description 1
- 208000037265 diseases, disorders, signs and symptoms Diseases 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical compound [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 description 1
- 230000003116 impacting effect Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000033001 locomotion Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000000386 microscopy Methods 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000036470 plasma concentration Effects 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 231100000614 poison Toxicity 0.000 description 1
- 230000007096 poisonous effect Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000035807 sensation Effects 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 235000015170 shellfish Nutrition 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 229910052902 vermiculite Inorganic materials 0.000 description 1
- 239000010455 vermiculite Substances 0.000 description 1
- 235000019354 vermiculite Nutrition 0.000 description 1
- 208000016254 weariness Diseases 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- H01L21/205—
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C3/00—Glass compositions
- C03C3/04—Glass compositions containing silica
- C03C3/06—Glass compositions containing silica with more than 90% silica by weight, e.g. quartz
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02131—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31625—Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C2201/00—Glass compositions
- C03C2201/06—Doped silica-based glasses
- C03C2201/08—Doped silica-based glasses containing boron or halide
- C03C2201/12—Doped silica-based glasses containing boron or halide containing fluorine
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C2203/00—Production processes
- C03C2203/40—Gas-phase processes
- C03C2203/42—Gas-phase processes using silicon halides as starting materials
- C03C2203/46—Gas-phase processes using silicon halides as starting materials fluorine containing
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Life Sciences & Earth Sciences (AREA)
- Geochemistry & Mineralogy (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Manufacture, Treatment Of Glass Fibers (AREA)
- Element Separation (AREA)
Description
A7 B7 五、發明說明() 406358 發明領域: 本發明係關於積體電路的製造。更特定地,本發明提 供了-種包含了方法及設備的技術’用來沉積一高品質之 摻雜氟的絕緣層,該絕緣層具有一減低的介電常數。 發明背景: 半導體裝置的幾何形狀在尺寸上持績的縮小,在所製 的每-晶圓上提供更多的裝置及更快的裝置。目前,某些 裝置疋以0.25微米之圖案(feature)間距來製造;在某些情 开v中更有以小至〇 · 1 8微米之圖案間距來製造。這些圖案 (feature)中的個例子為在一金屬層上之導線或線跡構圖 (pattern) 介電材料之非導電層。如二氧化矽層,通常 被沉積於經過構圖的金屬廣之間或之上。此介電層有多種 用途,如保護金屬層免於物理上或化學上的損傷,將金屬 從與其它層加以絕緣,及將圖案(⑽⑽)彼此加以絕緣。 因為介於這些導電圖案間的空間或間隙變小,所以愈來愈 困難用介電材料將間隙填滿。 用一銘材線跡來處理晶圓需將晶圓的溫度保持在可 旎會對鋁造成傷害的溫度以下。鋁會因為熔化或化學侵襲 而受損。化學氣相沉積(CVD)典型地需要高溫用以誘發形 成薄膜所需的反應。有許多的方法被用來降低沉積溫 度。某些方法專注於使用高度活性的沉積氣體。其它的方 法則是施加電磁能量至該沉積系統。施加電磁能量可同時 降低沉積氣體的反應而言所需之溫度及可藉由移除與成 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ^--------訂---------線· 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 406358 發明領域: 本發明係關於積體電路的製造。更特定地,本發明提 供了-種包含了方法及設備的技術’用來沉積一高品質之 摻雜氟的絕緣層,該絕緣層具有一減低的介電常數。 發明背景: 半導體裝置的幾何形狀在尺寸上持績的縮小,在所製 的每-晶圓上提供更多的裝置及更快的裝置。目前,某些 裝置疋以0.25微米之圖案(feature)間距來製造;在某些情 开v中更有以小至〇 · 1 8微米之圖案間距來製造。這些圖案 (feature)中的個例子為在一金屬層上之導線或線跡構圖 (pattern) 介電材料之非導電層。如二氧化矽層,通常 被沉積於經過構圖的金屬廣之間或之上。此介電層有多種 用途,如保護金屬層免於物理上或化學上的損傷,將金屬 從與其它層加以絕緣,及將圖案(⑽⑽)彼此加以絕緣。 因為介於這些導電圖案間的空間或間隙變小,所以愈來愈 困難用介電材料將間隙填滿。 用一銘材線跡來處理晶圓需將晶圓的溫度保持在可 旎會對鋁造成傷害的溫度以下。鋁會因為熔化或化學侵襲 而受損。化學氣相沉積(CVD)典型地需要高溫用以誘發形 成薄膜所需的反應。有許多的方法被用來降低沉積溫 度。某些方法專注於使用高度活性的沉積氣體。其它的方 法則是施加電磁能量至該沉積系統。施加電磁能量可同時 降低沉積氣體的反應而言所需之溫度及可藉由移除與成 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ^--------訂---------線· 經濟部智慧財產局員工消費合作社印製 A7 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 長層相關之反應物來改善一被沉積的層的形成。 已有許多的方法被提出以獲得低的介電常數及用以 用介電材料填充間隙。較佳的方案之一為將南素原子結合 於二氧化矽層中。將鹵素結合於薄膜中的例子係描述於 1 995年10月25曰提申之美國專利申請案號第〇8,548,391 號’名稱為”Method and Apparatus for Improving Film Stability of Halogen-Doped Silicon Oxide Films’’,及 1995 年10月2日提申之美國專利申請案第〇8/538,696號,名 稱為 Use of SiF^ to Deposit F-Doped Films Greater Stability中’此二申請案係讓渡給Applied Materials公 司0 一般咸認氟’對於氧化矽層而言為為最佳的由素摻雜 物(dopant),降低了氧化矽層之介電常數,因為氟為一負 電原子其可降低整個SiOF網絡的極性。氟摻雜之氧化碎 薄膜被稱為摻雜氟的矽玻璃(FSG)薄膜。 除了降低介電常數之外’將氟結合於二氧化矽層中亦 可改善該被沉積的薄膜之填隙性能。因為氟為一蝕刻物, 所以一般咸認氟在其被沉積時即蝕刻該薄膜。此同時之沉 積/蝕刻效果較佳地蝕刻了間隙的角落,保持間隙開放使得 其可受到無氣隙(void-free)之FSG的填充。 很不性地,有數個與FSG層相關連的問題。其中的一 個問題為一未良好地形成之FSG層合烛办s 1 喟曰從空氣中吸收濕 氣’或從與沉積處理相關之反應產物中吸收濕氣。此水分 的吸收提高了該FSG的介電常數。被吸收的水分亦會干擾 第5頁
本紙張尺度中國國家標準(CNS)A4規格(210 X 297公爱)'~~" ------— I .ί — — — — — —^-------I 訂-! I (請先閲讀背面之注意事項再填寫本頁) 406358 Α7 Β7 經濟部中央標浪句負工消费合作社印裝
五、發明説明( 後續之晶圓處理步驟。對於許多的應用而言,FSG層在450 ec以下不會釋出大量的水氣是所需要的。 降低水分的吸收及釋出的一項技術為在該FSG層被 沉積之後烘烤該晶圓。烘烤可在FSG沉積之後立即於相同 的反應室中進行,或可稍後於烤箱中實施。烘烤可驅出 FSG層中的一些水分,但該層可在相同的環境下從周固的 大氣中再吸收水分。例如,水分的再吸收可能不是個問 題,如果晶圓處理在FSG沉積及烘烤之後相當快地繼續下 去的話。然而,在某些製造環境中,在該FSG沉積之後的 晶圓處理可能是在幾天或甚至是在幾星期之後才進行因 而提供了水分再吸收的環境。晶圓處理步驟間的時間會因 為工作進形中之順序,分散的製造位置(即,一個處理步 驟是在一工廠位置實施,而另一處理步驟則在不同的工廠 實施)’或因為設被維修所造成的延遲而加長。 蓋層提供一種降低進入到該FSG層中之水分吸收的 方法。該蓋層典型地為一未摻雜的矽玻璃(USG)層其被沉 積於該FSG層上,該FSG層在該蓋層沉積之前有或沒有 被烘烤皆可。該蓋層可在另一個沉積室中完成或該處理可 被安排在該FSG沉積之後於相同的反應室中實施。在許多 隋行下’蓋層或許可提供可被接受之水分再吸收的保護。 然而’增加一蓋層即增加晶圓製造處理的時間。在某些情 形中,例如整個層的沉積時間相當的長時,添加一蓋層的 時間也許不是很顯著。但當晶圓產出(thr〇ughput)增加時 (即每一小時在一反應室中所處理的數目)時,蓋層的沉積 I 第6貫 本紙張尺度通财闕ϋ (CNS) 別公楚1 (請先閲讀背而之::i意事項再填寫本頁}
經濟部中央標隼局員工消费合作社印製 4〇^358 a7 -------_ B7 五、發明説明() ' - 時間即會成為總沉積時間的一個很顯著的部分。在那些情 形中,藉由剔除沉積一蓋層的沉積步想來降低總的沉積時 間是所想要的。 腐蝕是與FSG層相關連的問題。如果氟是鬆键結於該 FSG中的話,或如在表面上的自由氟般地堆壘的話,則其 會與水結合成為氫氟酸(HF) eHF會腐蝕,且對於其它裝 置,如金屬線跡是極具破壞性。 用來克服腐蚀的問題的方法之一為在沉積該FSG層 之則在該晶圓上形成一襯層。一厚的禊層可具有較佳的性 能。因為此襯層是由US(}所製成,所以其具有一高於FSG 層之介電常數’且一厚的襯層對於介於導電線跡之間之該 層保持一低的介電常數而言是有利的。最佳的襯層厚度為 在腐蝕保護與低介電常數兩者間的一個平衡值。因為要烘 烤及有蓋層,所以在一儘可能短的時間内形成襯層是所想 要的。 對於某些FSG層而言其具有進一步的問題。換言之, 該層的圖案隨時間改變❶例如,被不良地形成FSG層當其 被曝露於大氣中時,會在該層之内形成一雲霧狀的霧氣, 或甚至是氣泡。一被曝露於一相對乾燥的空氣中一短的時 間就不會發展出任何的霧氣,然而,相同的晶片被曝露於 漏空氣一相同的時間,或曝露於乾的空氣中經一較長的時 間’則會發展出霧氣。現代的裝置製造通常使用分散製 程,即一晶圓在數個不同位置於數週的一個期間之内被處 理。發展出霧氣的晶圓通常在處理序列中會被剔除,因而 _ 第7貰 ——ϊ--^----- i------、玎------\;k (請先閱请背面之注意事項再填寫本頁) 本紙掁尺度適用中國國家標隼(〇〜5)六4規格(210\297公漦 經濟部中央標隼苟員工消费合作社印裝 406c58 A7 ___ B7 五、發明説明() ' ~ ~一' 浪費了所有的材料及到該點為止的所有處理。在某些製造 者的規格中,晶圓在被曝露於大氣中經過至少三星期的時 間而不會發展出霧氣是很重要的。 霧氣為一棘手且困難的問題。即使是該晶圓之體電阻 係數亦會影響該晶圓形成霧氣的傾向。霧氣的形成可能與 沉積期間之溫度有關,其會影響水及氟是如何結合於該層 足中。某些處理反應室使用靜電夾頭(e-chuck)來在處理期 間將晶圓夹持於定位上。晶圓電阻係數對於該晶圓是多強 地被加持,及其與該靜電夾頭的熱耦合的良好程度是如何 有所貢獻。無論霧氣形成的機制為何,晶圓電組係數對於 晶圓製造程序而言添加了另一變數,其會對於處理流程有 所限制並降低良率β 甚者,一般而言,在FSG層的沉積期間,氟濃度愈高, 形成霧氣的可能性就愈大。因此,晶片製造者使用一相對 低的氟濃度來避開霧氣形成的可能性。如果製造者有—更 加穩定的FSG層可供處理的話,則它們就可提高氟的濃度 並可享受具有一較低的介電常數之FSG層的好處。 由上述可知,在儘可能短的時間内製造具有低的介電 常數及良好的填陈特性的氧化層是所需要的。提供一種可 提升掺雜南素的氧化層的穩定性之方法,藉以無論晶圓的 電阻係數為何皆可降低在薄膜中之濕氣吸收及霧氣的形 成亦是所想要的。 發明目的及概述: —-~~-__—___^81 本紙張尺度適用ϋ國家標準(CNS ) Λ4規格(210X295势]—一 ' nn ^—^1 Hi ^—^1 —^ϋ —L it —I— ml m n -- - in 卞 J - I m ml i t (讀先閲讀背而之注意事項再填贫.本頁) A7 A7 五、發明説明() 4〇6^&β~~-〜 本發明提供一種用來製造一穩定的,具有一低的介電 常數及對晶圓的電阻係數敏感度降低的摻雜由素的氧化 珍層的方法與設備。在一實施例中,此層是在一高密度電 衆化學氣相沉積(HDP-CVD)系統中沉積的。在將氟流入該 反應室中之前的步驟的順序對於一穩定的層的形成是很 關鍵的。 在一實施例中,步驟的順序包括將一晶圓置入一反應 中並用處理氣體或氣體設定一初始的反應室壓力。然 後,藉由施加一射頻(RF)能量至一電漿耦合結構來形成或 撞擊一電漿。該電漿在處理之前將晶圓加熱至l〇〇°C。 在氟被引入該沉積反應室内之前,一相對薄的USG 層被沉積於該被加熱的晶圓上。此薄層的作用如一襯層般 保護底下的基材不會不當地增加囷案之間的電容。本發明 的一個目的是確保晶圓的表面於氟第一次被引入該反應 室中時其溫度至少為1001以上。在氟開始流入之後’電 漿能量被降低,冷卻該晶圓並藉由提高沉積-對-蚀刻的比 例來加強該層的淨沉積率。在該反應室中之氟的濃度及氟 結合於該層中程度可藉由增加含氟氣體的流量而被提 升。此步驟順序提供一具有降低的自由氟及一低的介電常 數的層。自由氟原子的降低提高了該層的穩定性’降低了 在層形成之後之霧氣及氣泡的形成。 為了要進一步瞭解本發明之目的及優點,應參照以附 題所示的實施例加以說明之詳細說明。 第9頁 本紙張尺度適用中國國家標準(CNS ) Λ4规梏(2丨0x297公飧) --!--_-------裝------訂------J..^' (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消費合作社印製 A7 406358 五、發明说明() ~ $丈簡_單說明: 第1A囷為依據本發明之—簡化的高密度化學氣相沉積設 備的實施例的垂直剖面圖; 第1B圖為可與第1A囷中之CVD處理反應室一起使用之 氣禮環的一簡化的剖面圈,· 第 2圖為可與第1A圖中之cvd 處理反應室一起使用之 監視器及光筆之簡 化囷; 第 3圖為被用來控制第ιΑ囷中之CVD處理反應室之處 控制電腦程式產品 的流程 圖; 第 4圖為依據本發明的一 實施例j 听製造之一積體電路的 部分之簡化的刦面 ISI · 興, 第 5圖為沉積在一依據本發明的· 一實施例的丰導體晶圓 金屬線跡上之FSG 廣的一 簡化的剖面圖; 第 6圖為依據本發明的一 處理順 序的一流程圖;及 第 7圖為一圖表,其展示 在FSG 層被沉積時及在FSG 上層被移除後之從 FSG釋 出的水。 固: 號#照說明: 10 HDP-CVD 系統 70 真空系統 13 反應室 80B 偏整電衆系統 80A 源極電漿系統 50 遠端電漿清潔系統 14 圓頂 16 電漿處理區 17 基材 23 加熱板 24 冷卻板 22 本體件 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公赞) -----.-----—裝-- (請先閲讀背面之注意事項再填*-3本頁) 訂 '線 經濟部中央標隼局員工消費合作杜印?^ A7 406358 ____B7 五、發明説明() 經濟部中央標準局員工消費合作社印製 21 基部 18 基材支撐件 56 上裝載部 57 下處理部 19 基材承接部 20 靜電夾頭 25 節流本體 26 節流閥 27 閘閥 28 泵 29 上線圈 30 側線圈 3 1 A 源極RF產生器 31B 偏壓RF產生器 32A,31B 配接網路 33 氣體輸送系統 37 氣環 45 上噴嘴 34A 第一氣想源 34B 第二氣體源 35A’ 第一氣體流控制器 35B5 第二氣體流控制i 39 源氣體噴嘴 41 充氣本體 36 充氣環 35C 第二氣體流控制器 35D5 第四氣體流控制器 43B 三通閥 38A 通氣輸送管 46 上通風孔 65,65 :a CRT監視器 66 光筆 51 遠端微波產生器 34E 清洗氣體源 53 反應器穴室 54 清洗氣體進給孔 55 施加管 60 系統控制器 62 記憶體 61 處理器 370 電漿控制次程式 300 電腦程式 310 處理選擇器次程式 320 處理排序次程式 330A •C 反應室管理次程式340 基材置放次程式 350 處理氣體控制次程式 360 壓力控制次程式 _______第 11頁 本紙張尺度適用中國國家標芈(〇^)/\4規格(210/ 297公釐) --r-----1 I裝------訂-----,¾ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標嗥局8工消費合作社印製 A7 B7 發明説明() 500 積體電路 503 NMOS 506 PMOS 507 場氧化區 509 閘極區 508 源極區 510 汲極區 511 前金屬介電層 512 接點 513A-C 中間金屬 514 遮蓋物(via) 515 被動層 602 絕緣層 603 USG襯層 604 FSG體層 605 FSG膚層 606 晶圓 601 金屬線 619 反反射廣 609 線跡 608 角落 發明鮮細說明: 1.導論 第12頁 本發明提供了一種具有低介電常數及良好的填隙特 性之絕緣層的沉積。該絕緣層具有一小於3 5的介電常數 並能填入0.18微米有或更窄及〇.8微米高或更高的間隙 中。該層是穩定的,意即當被曝露於大氣中數天時,在該 層中不會形成霧氣且相對介電常數亦不會升高。此層的穩 定性與基材的體電阻常數無關。 II·— CVD系統的例子 第1A圖展示一高密度電漿(HDp_CVD)系统ι〇的實施 例’依據本發明的介電層可於其内被沉積。hdp_cvd系 本紙張尺度it财關家料(CNS ) i —^n - !i I— 、-- - ml I III· — nn nl^i I - I— ^^^1 一 ^ 1·1 j·—— n It . /—y. (請先閱讀背面之注意事項吞填寫本頁) A7 Η 7 經濟部中央標糸局員工消费合作社印製 第13頁 406358 五、發明説明( 統1 〇包括一反應室13,一真空系統70,一源極電漿系統 80A,一偏壓電漿系統80B,一氣體輸送系統门,及一遠 端電漿清潔系統50。 該反應室13的上部包括一圓頂14,其是由一介電材 料所製成,如鋈土或氮化鋁。圓頂14界定一電漿處理區 16的上逢界。電漿處理區16的底部是由基材17的上表面 及基材支撐件18所界定。 一加熱板23及一冷卻板24表面安裝於圓頂14上並 與其成熱耦合。加熱板23及冷卻板24讓囬頂溫度的控制 可在100°C至200°C的±l〇t之内。這讓圓頂溫度可針對 不同的處理而調整至最佳的溫度,,其可能需要將該 圓頂維持在一比沉積處理還高的溫度,以供清潔及蝕刻處 理<用。圓頂溫度之精確的控制亦可降低在反應室中之屑 片及粒子數量並改善被沉基層與基材之間的黏附。 反應示13的下部包括一本體件22,其將反應室與該 真空系統相結。、何:該基材支撐件18的一基部21被安裝於 該本體件22上並於其形成一連續的内表面。基材是藉由 機械葉片(未示出)經由在該反應室13的側邊上之置入/取 出開口(未示出)而被送入反應室π及從反應室13取出。 一馬達(亦未示出)升/降一舉升銷(未示出),進而讓該舉升 銷升/降該晶圓》當該基材被置如反應室13中時,其被裝 載於該舉升銷上’然後被降下至該基材支撐件18的一基 材接收部19上。基材接收部19包括一靜電夾頭2〇其在 基材處理期間將基材固定於基材支撐件18上。 Μ氏張尺度適用中國國家標準(CNS ) A4規格(2i0X 297公楚 (誚先閱讀背而之注-事1?;7吞填--''尽頁)
經濟部中央橾準局貞工消费合作社印製 406358 A7 ------—___________B7 五、發明説明() ' 真空系統70包括節流本體25,其容納雙葉片節流閥 26並連接至閘閥27及渦輪分子泵28。應被瞭解的是,節 流本體25對氣流提供最小的障礙,並允許對稱抽泵如 與本案共同繫屬,共同讓渡之1995年十二月12日提申的 編為美國專利申請案第08/574,839號,然後於1996年重 新提申,編為美國專利申請案第Ο8/7〗2,?〕4號,名稱 為’’Symmetric Chamber”中所述者’其藉由參照而被併於本 文中。閘閥27可將泵28與節流本體25隔開來,且亦可 藉由在節流閥26被完全打開時限制排放流量來控制反應 室壓力。節流閥,閘閥,及渦輪分子泵的架構能夠精確及 穩定地將反應室壓力控制在1至1〇〇 millitorr之間。 該源極電漿系統8 0 A包括一上線圈2 9及側線圈3 0, 其安裝在圓頂14上。一對稱的接地遮罩(未示出)降低了線 圈之電搞合。上線圈29是由上源極rf(SRF)產生器31A 來供給能量’而線圈30則是由SRF產生器31B來供給能 量。此雙線圈系統能夠控制在反應室1 3中之射頻離子密 度’藉此改善電漿的均勻度β侧線圈3〇及上線圈29典型 地被感應驅動,其不需要一互補電極。在一特定的實施例 中’上源極RF產生器31Α提供高達2500瓦2MHz的RF 能量及側源極RF產生器31B提供高達5000瓦之2MHz的 RF能量。上及側RF產生器可偏離標稱操作頻率(如分別 為1·7-1·9ΜΗζ及1.9-2·1ΜΗζ)以改善電衆產生效率。 一偏壓電漿系統80B包括一偏壓rf(brf)產生器31C 及一偏壓配接網路32C。該偏壓電漿系統80B電容式地將 ___頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公总)_ -- (請先閲讀背面之注意事項再填寫本頁)
A7 —--^_ 五、發明説明() 基材部17與本趙件22相核合,它們係如互補電極般作 用。該偏壓電漿系統80B是用來強化由源極電衆系統8〇A 所產生之電漿種類(即,離子)之傳送之該基材的表面。在 一特定的實施例中,偏壓RF產生器提供高達5〇〇〇瓦之 13.56MHz 的 RF 能量。 除了 RF電場於處理區16中被產生之外,一直流電 (DC)電場亦可於該處理區16中内產生。例如,相對於本 體件22’提供一負的DC電位至基材接收部19可促進帶 有正電荷的離子至基材17表面的傳送。 經濟部中央標隼局員工消费合作社印聚 I fmn - t<v-1 K In fr 4m n^i m HI «^n yv 、一.-° (請先閱讀背面之注意事項再填寫本頁) RF產生器31A及31B包括數位控制的合成器並於1.8 至2·1ΜΗζ的頻率範圍内操作。每一產生器包括一厌?控 制電路(未示出)其測量從該反應室及線圈被反射回該產生 器之能量,並調整操作的頻率以獲得最低之反射的能量, 這是熟悉此技藝者所習知的。RF產生器是被設計來操作 進入於一具有50 ohms的特徵阻抗的負載中能量可 從負載被反射,該等負載具有不同於該產生器之特徵阻 抗。這可降低能量被傳遞至該負載内。此外,從負載被反 射回該產生器之能量會過載並危及該產生器。因為一電漿 的阻抗的範圍可從少於5 ohms到超過900 ohms,其係隨 電漿離子密度而定’及因為被反射的能量可以是頻率的函 數’根據被反射的能量調整該產生器頻率,提升從該RF 產生器被傳遞至該電漿的能量並保護該產生器。降低被反 射的能量及改善效率的另一種方法是用配接網路。 配接網路3 2A及3 2B將產生器31A及31B分別與各
______arisT 本紙張尺度適用巾關家辟(CNS ) (21GX297公免) '~~ 經濟部中央標準局員工消費合作社印製 A7 --------—- 五、發明説明() 自的線圈29及30配接起來。RF控制電路可藉由改變在 配接網路内之電容器的數值用以在負載改變時讓產生器 配合該負載來調错兩個配接網路。該RF控制電路可在從 該負載被反射回到該產生器之該能量超過一特定的限制 時調諧一配接網路。提供一恆定的配接,及有效地讓RF 控制電路失效不能調諧該配接網路的一個方法是將被反 射回來的能量限制設定在被反射的能量的任何預期值之 上。這某些情況下可藉由將該配接網路在其最近的狀況下 維持恆定來幫助穩定一電漿。 其它的方法亦可對於穩定一電聚有所繫助。例如,該 RF控制電路可被用來決定被送至該負載(電漿)的能量且 可增加或降低該搋生器輸出能量,用以在一層的沉積期間 保持該被輸出的能量大致一定。 一氣體輸送系統33經由氣體輸送管路38(只有其中的 某些被示出)提供來自於數個氣體源的氣體至該反應室以 處理該基材。氣體經由一氣環37及一上噴嘴45被引入該 反應室中。第1B圏'為反應室π的一簡化的剖面囷習顯示 氣環37之詳細。 在一實施例中,第一及第二氣體源,34A及34B,及 第一及第二氣體流控制器,35A,及35B,,經由氣體輸送管 路38(只有其中的某些被示出)提供氣體給在該氣環37内 之充氣環36。氣環37具有多個源氣體喷嘴39(只有其中 的兩個被示出)其提供一均勻的氣體流與該基材上。嘴嘴 長度及噴嘴角度可藉由改變氣環37而被改變。這允許在 (锖先閱讀背面之注意事項再填寫本頁) 裝_ 丁 ,-°
L 本紙張尺度適财關料----___ A7 B7 406358 五、發明説明( 一個別的反應室中之特定處理的— 士 致外形及氣體應用效 適。在一特定的實施例中, 喷嘴W氣禮喷嘴4〇及12個電二氣趙嗜嘴。 氣環”具有多個第一氣想喷嘴切(只有其中的—個被 -出)’其在-較佳的實施例中’是與第二氣體嗜嘴”共 平面並在-實施例中I氣禮喷嘴4()從充氣本體 -或多種氣體,及第二嘴嘴39從充氣環36接受一或動: 氣趙。在某些實施例巾,在將氣體注入反應室13中之前 並不希望將將源氣體與氧化劑氣體混合,例如當第一噴最 被用來輸送氧化劑氣體,如氧氣或臭氧,及第二氣體噴最 被用來輸送源氣體,如矽甲烷時。在其它的實施例中,氧 化劑氣體及源氣體可藉由在充氣體41與充氣環36之間提 供孔口(未示出)讓兩者於被注入反應室13之前混合。在一 貫施例中,第三及第四氣體源,34C及34D,及第三及第 四氣體流控制器,35C’及35D’,經由氣體輸送管線38提 供氧體給充氣本體。額外的閥,如43B(其它的閥沒有示出) 可截斷從該流體控制器到該反應室的氣體。 在某些實施例中,易燃的,有毒,或腐姓性的氣體, 如硅烷或四氟化矽(SiF4),可被使用。在這些情形中,在 沉積之後將留在氣體輸送管路中之氣體去除是必需作 的。這可藉由使用一三通閥,如閥43B,來將反應室13 與輸送管路38A及通氣輸送管38A隔絕用以將氟44抽 空。如第1A圖所示,其它相類似的閥,如43 A及43 C ’ 可裝配於其它氣體的輸送管路上,如35A及35C。此三通 第17頁 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本買)
、1T 經濟部中央標準局員工消f合作社印製 經濟部中央標窣局員工消費合作社印製 __406358_ B7 五、發明説明() ' 閥可儘可能地靠近該反應室被置放,用以降低未被通器之 氣體輸送管路的體積(介於該三通閥與該反應室之間此 外’雙向(開·闞)閥(未示出)可被置於一 MFC與該反應室之 間或一氣體源與一 MFC之間。 再次參照第1A圖,反應室13亦具有上嘴嘴45及上 通氣孔46。上噴嘴45及上通氣孔46允許上及側氣體流之 獨立的控制’其敢善了薄膜的均勻度並允許薄膜沉積與摻 雜參數之微調。上通氣孔46為一環繞上噴嘴45周面之環 形開口。在一實施例中,第一氣體源34A為一硅烷源,其 供應源氣體噴嘴39及上喷嘴45。源氣體喷嘴質量流控制 器(MFC)3 5 Α’控制送至上氣體喷嘴45的硅烷量。相同地, 兩個MFCS3 5B及35B’可被用來控制從一單一的氧氣源, 如源34B,送至上通風孔46及氧化劑氣體喷嘴40之氧氣 流。供應至上喷嘴45及上通方孔46之氣體可在將氣體流 入該反應室13之前被保持分離,或該等氣體可在它們流 入反應室13之前於上充氣室48中被混合。相同氣體之分 開來的氣源可被用來供應該反應室之不同的部分。 一遠端微波產生的電漿清潔系統50被提供,用以週 期性地從反應室組件上清除沉積的殘餘物。該清潔系統包 括一遠端微波產生器51其從一反應器穴室53中之一清洗 氣體源34E,如氟分子,四氟化硅,其它的氟碳化物或類 似者,產生一電漿。由此電漿所獲得之反應物種經由清洗 氣體進給孔54透過施加管55而被送至該反應室13。被用 來容納該清洗電漿之材料(及穴室53及施用管55)必需抵 第18貫 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公f ) (請先閲讀背面之注意事項再填寫本頁) 裝- hi B7 五、 406358 發明説明( 擒得住電漿的侵襲。介於反應器穴έ 53肖進給& W之間 的距離必需儘可能地短,因為所需要之電漿的濃度會隨著 離開該反應器穴室53 0距離而減少。在一遠端的穴室中 產生該清洗電漿使得一有效率之吾波產生器的使用成為 可能且不會讓反應室組件遭受到會在該電漿本身發生之 炙熱的溫度,幅射’或森擊的影響。因此,相對敏感的组 件,如電極央頭20,不需用一無用的晶圓來復^在清洗 處理或其它處理期間,開間27可被關閉用以將渴輪分子 真空菜28與反應室隔開來。在此結構中,前級管道提供 優由遠端真空菜所產生的處理真空,該等真空泵典型地為 機械式真空泵。用閘閥將該渦輪分子真空泵28與反應室 隔開來可保護該渦輪分子真空泵免於受到腐蝕性化合物 或其它來自於反應室清洗處理或其它處理之有害物質的 影赛。 系統控制器60控制系統1 〇的操作。在一較佳的實施 例中,控制器60包括一記憶體62,如—硬碟機,一軟碟 機(未示出),及一卡架(未示出)。該卡架包括一單板電腦 (SBC)(未示出),類比及數位輪入/輸出板(未示出),界面 板(未示出)’及步進馬達控制器板(未示出)。該系統控制 器符合Versa Modular European (VME)標準,其界定板, 卡槽’及連接器的尺吋及種類。該VME亦定義具有16_ 位元資料匯流排及24-位元位址匯流排》系統控制器6〇是 在一儲存在該硬碟機中之電腦程式或其它的電腦程式,如 儲存在一軟碟上者,的控制下操作。該電腦程式主控時 第19頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公犛) (先閱讀背面之注意事項再填寫本頁) 裝 訂 經濟部中央標隼局員工消费合作社印製 經濟部中央標準局員工消f合作社印裝 406358 *" —— _ 五、發明説明() ~' - 機,氣體之引入速率及混合,及一特定處理之其它參數。 介於一使用者與該系統控制器之間的界面是透過一 CRT 監視器65及一光筆66,如第2_中所示。 第2圖為與第ία圈之CVD處理反應室一起使用之使 用者界面的系統的一部分。系統控制器6〇包括一與一記 憶雜62相連接之處理器6 1。最好是,記憶體62為一硬碟 機’但是記憶體62當然可以是其它種類的記憶體,如 ROM,PROM,及類此者。 系統控制器60是在一電腦程式的控制下操作。該電 腦程式主掌一特定處理之時機,溫度,氣體流,RF能量 水平及其它參數"介於一使用者與該系統控制器間的界面 是透過一 CRT監視器65及一光筆66,如第2圖所示。系 統控制器60是在一儲存在該硬碟機中之電腦程式或其它 的電腦程式,如儲存在一軟碟上者,的控制下操作。該電 腦程式主控時機’氣體之引入速率及混合,及一特定處理 之其它參數》介於一使用者與該系統控制器之間的界面是 透過一 CRT監視器65及一光筆66,如第1C圖中所示。 在一較佳的實施例中,兩個監視器65及65A被使用,一 個安裝在無塵室壁(65)上供操作者使用及另一個(65 A)裝 在壁後供維修技師之用。兩個監視器65a同時顯示相同的 資訊’但只有一枝光筆(即66)可作用《操作者點觸該顯示 螢幕的一所需要的區域並按下在光筆66上的一按紐(未示 出)來選取一特定的螢幕或功能。該被選取的區域改變其 反白的顏色,或一新的選單或螢幕被顯示’確定光筆與顯 第20頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210x 297公筇) (請先閱讀背而之注意事項再填舄本頁) 訂 經濟部中央標隼局貝工消費合作社印掣 406358 五、發明説明() 示螢幕之間的溝通。 電腦程式碼可用任何電腦可讀取的程式語言,如 68 000組合語言,C,C + +,或Pascal來寫β適當的程式碼 使用傳統的編輯器輸入到一單一的檔案中,或多個檔案 中,並儲存或編入至電腦可讀取的媒體中,如該電腦的記 憶體系統中。如果被輸入的碼為一高階語言的話,其即被 編譯,所獲的的編譯碼然後與一預先編譯的視窗程式庫目 標碼鍵結。該系統的使用者呼叫該目標碼以執行該經鍵疾 的編譯過的目標碼’造成電腦將該目標碼載入記憶體令, cpu從記憶體讀取並執行該目標碼以實施在該程式中所 指定的工作。 第3囷顯示一電腦程式300之邏輯控制結構的方塊 圖。一使用者藉由該光筆界面輸入一處理组號碼及處理反 應室號碼至一處理選擇器次程式310中一作為顯示在該 CRT監視器上之一選單或螢幕的回應。處理組是執行特定 的處理所需要之預定的處理參數,且是用預定的組號來定 義。該處理選擇器次程式310指定⑴在一多反應室系統中 之所需要的反應室,及(ii)操作該反應室所需要之處理參 數组以實施所需要的處理。實施一特定處理之處理參數與 處理條件有關,如處理氣體的成份及流率,溫度,壓力, 電漿條件,如RF能量等級,及反應室圓頂溫度,且其係 以菜單的方式提供給使用者。該菜單所選定的參數使用光 筆/CRT監視器界面而被輸入。 監視該處理之訊號是由該系統控制器之類比輸入及
第21T 本紙張尺度適用中國國家標準(CNS ) A4規核(210X 297公鲮) —.—j-----装------訂-----<k. (锖先閱读背而之注意事項再填寫本頁) 經濟部中央標隼馬員工消費合作社印裝 406¾58 at _ __ B7 五、發明説明() 數位輸入來提供及控制該處理之訊號在該系統控制器60 的類比輸出及數位輸出板上被輸出。 一製程排序器次程式320包括用來從該製程選擇器次 程式73接受被指定之反應室及處理參數組,及用來控制 不同的反應室的粗作之程式碼。多個使用者可輸入處理组 號碼及反應室號碼,或一使用者可輸入多個處理组號瑪及 反應室號碼,該製程排序器次程式75可將被選取的處理 以所需要的順序排好。較佳地,製程排序器次程式75包 括一用來實施(i)監視該等反應室之操作以決定反應室是 否被使用,(ii)決定那些處理是要在那些反應室中進行,(iii) 根據一有空的反應室及將被實施之處理的種類來執行所 需要的處理,的程式碼。監視反應室之傳統的方法可被使 用,如詢訊(polling)。當在排那一個處理要被執行時,排 序器次程式320可被設計成將被使用之反應室之目前的條 件與一被選取之處理所需要的條件比較,或每一特定的使 用者所輸入的要求的”年齡”’或一系統程式員想要包括進 去以決定優先順序之任何其它相關因子,考慮進去》 在排序器次程式320決定那一個反應室及處理組的组 合將於下一個被執行之後,該排序器次程式320藉由將該 特定的處理组參數送至一反應室管理次程式330A-C來執 行該處理組,其中反應室管理次程式330A-C根據由該排 序器次程式320所決定的處理組來控制在反應室13内之 多製程工作。例如,.反應室管理次程式33〇A包括用來控 制在該反應室13内之濺射及CVD處理操作的程式碼。反 第22頁 本紙張尺度適用中國國家標準(CNS )A4規格(210X297公釐) -- t I I I fn 訂 I I -A (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消費合作社印裝 A7 五明説明(—ρί时撕 ~ ------ 應室管理次程式330Α亦控制不同反應室組件次程式的執 行,其中組件次程式控制執行該被選取的處理组所必需之 反應室組件的操作。 反應室組件次程式的例子為基材置放次程式340,處 理氣艘控制次程式350,壓力控制次程式36〇,及電浆控 制次程< 370。$悉此技藝者可瞭解的是其它的反應室控 制次程式可根據那種製程將要於該真空室13内被實施而 被加入。在操作時,反應室管理次程式33〇A根據今被執 行之特定的處理組來選擇性地排定或呼叫處理組件次程 式。反應室管理次程式330A之排序使用一種與排序器次 程式320在排定那一個反應室13及處理组將被執行時所 用之方式相似的方式來實施。典型地’反應室管理次程式 77 a包括了監視不同的反應室組件,根據今被執行之處理 的處理參數決定那些組件必需被操作,及執行一反應室组 件次程式以作為監視及決定步驛之回應,等步驟。 特定的反應室组件次程式的操作將參照第3圖被說 明。基材置放次程式340包括用來控制反應室组件的程式 碼’其中該反應室組件是被用來將一基材置於該基材支撐 件18上。該基材置放次程式340亦控制一基材從該室系 統中之一 PECVD反應器或其它反應器轉送至反應室13 中。 處理氣醴控制次程式3 50具有用來控制處理氣體成份 及流率的程式碼。次程式350控制安全截流閥的開/關位制 置,及升/降質量流控制器以獲得所需要的氣體流率。所有 第23頁 本紙張尺度適用中國國家標率(CNS ) Λ4規格(210 X297公犮) ---------->u------IT------w (誚先閱讀背面之注意事項再填寫本頁) 經濟部中央標嗥局員工消費合作社印裝 A7 ----406356——_____ 五、發明説明() 的反應室組件次程式,包括處理氣體次程式350,都是由 反應室管理次程式330A所呼叫。次程式350接受來自於 該反應室管理次程式330A與所需要的氣體流率相關之處 理參數。 典型地’處理氣體控制次程式350藉由打開氣體供應 管路,並重復(i)讀取必需的質量流控制器,(ii)將讀取值 與從反應室管理次程式330A接收來之所需要的流率比 較,(iii)需要時調整氣體供應管路的流率,來操作的。甚 者’處理氣體控制次程式350包括監視不安全之氣體流 率’及在狀況被偵測到時致動該安全截斷閥。 在某些處理中,一鈍氣,如氬氣,被流入到反應室13 中,用以在反應處理氣體被引入反應室内之前穩定在反應 室中之壓力。對於這些處理而言,處理氣體控制次程式350 被程式成包括讓鈍氣流入反應室13中一段時間以穩定反 應室内的壓力的步驟。然後上述的步羝被實施。 此外’當一處理氣體是需要從一液態先驅物,如四乙 氧基矽烷(TEOS)氣化而成時,處理氣體控制次程式350包 括了讓一運送氣體’如氦氣,通過在一氣泡器總成内的液 態先驅物或將該氦氣引入一液態注入閥的步驟。對於此種 類的處理而言,處理氣體控制次程式350調整運送氣體 流,在該氣泡器内的壓力,及氣泡器的溫度以獲得所想要 的處理氣體流率》如上所述,所想要的處理氣體流率被傳 遞至處理氣體控制次程式350作為處理參數。 甚者,處理氣體控制次程式3 50包括藉由存取一包含 _ 第24頁 本紙張尺度適财ϋϋ家料(CNS ) A4祕(210X297公f ) * ~ ---------—裝------訂-----/‘M (諳先閱讀背而之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 —__j〇6^5j h;__ 五、發明説明() " 一已知處理氣體流率之必需的數值之被儲存的表來獲得 該所需要之處理氣體流率之必需的運送氣體流率,氣泡器 壓力,及氣泡器溫度的步驟。一但必需的數值被獲得,則 運送氣體流率’氣泡器壓力,及氣泡器溫度即可被監視, 可與必需的數值比較並據此調整。 該處理氣體控制次程式350亦用一獨立的氦氣控制 (IHC)次程式(未示出)來控制流經在晶圓夾頭中之内及外 通道之熱傳遞氣體’如氦氣,的流動。該氣體流熱學地將 該基材與該夾頭耦合在一起·在一典型的處理中該基材被 該電漿,形成該層之化學反應所加熱,及氦氣經由該夹頭 冷卻該基材’該夾頭可以是水冷式的。這將基材保持於一 會損及原本在該基材上之圖案的溫度之下β 壓力控制次程式360包括用來藉由調整在該反應室的 排出部分中之即流閥的開口的大小來控制在反應室13内 的壓力的程式碼°有至少兩種基本方法來用節流閥控制該 反應室。第一種方法是關於將反應室壓力特徵化為其與組 處理孔體流’處理反應室的大小,及抽栗容量相關。第一 種方法將節流閥26設定在一固定的位置。將節流閥26設 定在一固定的位置最終可獲致一穩態壓力。 或者,反應室壓力可用一壓力計來測量,且節流閥26 位置可根據壓力控制次程式360而被調整,假設控制點是 在由氣體流及排放容量所界定的界線之内。前者的方法可 獲致較快速的反應室壓力改變’因為與後者的方法相關之 測量,比較,及計算並沒有發生。前者的方法在不要求猜 第25Τ >紙張尺度適用中國國家標準(CNS ) Λ4規格(210Χ 297公------- (請先閱讀背面之注意事項再填寫本頁) -裝 經濟部中央櫟隼局員工消費合作社印製 A7 —_ 4Q635£ B7____ 五、發明説明() 確的反應室壓力控制的地方是較好的,而後者的方法在一 需要精確’可重復,及穩定壓力的地方,如一層的沉積期 間,是較佳的。 當壓力控制次程式3 60被呼叫,該所需要的或目標壓 力即如一參數被從反應室管理次程式330A處接收到》壓 力控制次程式360操作以藉由讀取一或多個與反應室相連 接之傳統的壓力計來測量反應室内的壓力,將測量值與目 標壓力比較’從一對應於該目標壓力之被儲存的表中獲得 比例’積分’及微分(PID)值,並根據由該壓力表中所獲得 的P ID值調整節流閥26。或者,壓力控制次程式3 60可被 寫成打開或關閉節流閥26至一特定的開口大小來調整反 應室13並獲得一所想要的壓力或壓力範圍。 電漿控制次程式370包括了 RF產生器31A及31B之 頻率及能量輸出設定及調諧配接網路32A及32B的程式 碼。該電漿控制次程式370與前述之反應室组件次程式相 同地是由反應室管理次程式330A所呼叫的。 包含了上述次程式的一部分或全部之一系統的例子 為由 Applied Materials 公司所製造之 Ultima System,其 是被作來實施本發明。 ΙΠ.結構例 第4圖展示出一結合有本發明之圖案之一積想電路 5〇〇的一簡化的剖面圖。該積體電路5〇〇可被製造於一晶 圆’如一矽晶圓’鎵砷化物晶圓,或其它晶圓,上。如第 _____第26頁 本紙張尺度賴巾1111|:鮮(CNS ) A4祕(210X297公势) -----— (請先閱讀背而之注意事項再填·!ϊτ本K ) -裝_ 經濟部中央標準局員工消費合作社印聚 --—1〇635ί »7____ 五、發明説明() ~ """" 4圖中所示’積體電路5〇〇包括NMOS及PMOS電晶想503 及506’它們被一場氧化區5〇7所隔開來及電氣地彼此絕 緣。每一電晶體503及506包括一源極區508,一閘極區 509,及一沒極區51〇。 一前金屬(premetal)介電層511將電晶體503及506 與金屬層Ml分開來,金屬層Μι與電晶體間的連接是透 過接點512來達成。金屬層Ml是包含在積體電路5 00中 的四個金屬層M1-M4中的一個《每一金屬層M1-M4是透 過個自的中間金屬(intermetal)介電層(IMD)513A-C來將 相鄰的禁屬曾隔開。相鄰的金屬層是在選定的開口透過遮 蓋物(vias)而相連接。平面被動層515係疊在金屬層M4 上。 本發明的實施例對於IMD層是特別有用,但可在積 禮電路500中的每一介電層中發現其用途。應被瞭解的 是’該簡化的積體電路500只是作為舉例之用。習於此技 藝者可使用本發明的方法來製造其它的積體電路,如吾處 理器’特用積體電路,記憶體裝置,及類此者。此外,本 發明的方法可被用在使用如BiCMOS,NMOS,二極體等, 的技術的積體電路上。 IV.沉積步驟順序 現參照第5圖,一依據本發明所形成之絕緣層602被 顯示,其沉積於晶圓606的金屬線上及間隙607中。在一 較佳的實施例中,晶圓606為一矽晶圓。絕緣層602是由
第27T 本紙張尺度適用中國國家標準(CNS ) A4規梅(210X 297公麓) --.--k----.-裝------訂------^w (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消費合作社印聚 406356 b7 — -- - . - 五、發明説明() USG襯層603,FSG體層604,及FSG膚層605所構成。 USG襯層603,FSG體層604,及FSG膚層605是在一大 致上連續的沉積處理中形成的。USG襯層603是在150埃 與600埃之間,但最好是在300埃至400埃之間。在USG 襯層603,FSG體層604,及FSG膚層605的形成之前及 期間之適當的步驟順序確保了絕緣層602的穩定性。在此 例子中,反反射層619位在金屬線601上。在一較佳的實 施例中,反反射層619可以是一氮化鈦層。 在一實施例中,適當的處理步驟順序與該HDP-CVD 系統有關,其中基材在任何的USG沉積之前被一電漿加 熱至適當的溫度。然而,除了該RF電漿之外,該基材可 用電阻加熱器,幅射燈加熱器,反應熱,或其它方式來加 熱。該基材的表面在氟被引入該反應室中被保持於合適之 曰的高溫。在一 HDP-CVD處理中,該電漿加強了沉積特 性’但亦可濺射掉該沉積層的一部分或全部。此處理被稱 為共同濺射(co sputtering)。適當的RF能量程度是根具處 理壓力’基材溫度,所使用之沉積氣體的種類,及在該晶 固上預先存在之構囷層來決定的。一相似的效果會因為在 該電漿中氟的存在而產生。氟可在其被沉積時同時蝕刻該 FSG層。這可用沉積率對蝕刻率的比例來表示。比例大於 1的表示獲得淨沉積,比例小於1的表示材料被蝕刻大於 被沉積。因此,當在具有高,窄,很緊密地間隔的金屬線 跡(如1微米高的金屬線跡與一相同的金屬線跡被一 018 微米的間隙所隔開)或其它相似的(高的寬高比)圖案 _________ 第 28頁 本紙張尺度巾國家縣(CNS ) M規格(21Qx297公楚 - --------- 裝------、17------ (請先閲請背面之注意事項再填寫本頁) 經濟部中央橾隼局員工消費合作社印裝 A7 --dU635S~--~~-___ 五、發明説明() (feature)的晶圓上沉積FSG層時選擇適當的rF能量程度 及氟電漿濃度是很重要的,使得介於線跡之間的間味於沉 積期間被保持開放口使得間陈可被所需要的層所填入,不 會有過度濺射或過度蝕刻該等線跡或晶圓上其它的圖 案。典型地,大多數的濺射及蝕刻係發生於線跡的角落6〇8 處。此外,當該間隙被填滿時,寬高比,即間隙的高度比 上間隙的寬度’會改變,及RF能量與氟濃度也會隨著改 變。典型地’在水平表面上的沉積多於在該等線跡609的 壁上的沉積,因此,當沉積進行時,寬高比隨著降低。 基材溫度可用一自動調溫器來控制,或基材溫度可針 對特定的操作條件而被特性化。在下面的例子中,基材根 據該沉積系統的操作條件的特性而被該電漿所加熱。蔡不 同的系統操作條件下生長之氧化層的濕蝕刻相對比例 (WERR)可被用來在氧化層的形成期間,將一基材的表面 溫度特徵化。這些方法在此技藝中是習知的。 第6圖為一流程圖’其顯示本發明之方法的步雄順 序。當氟首次被引入該反應室中時之基材的溫度是很重要 的’因為其影響到氟結合至該玻璃層之生長表面中良好的 程度。因此’在包含由素的氣體流開始之前對基材進行預 熱的步螺順序是很重要的。如果該基材具有預先存在之銘 围案(feature)的話,則將該基材充分地加熱,用以在一不 會損及該等鋁圖案之夠高的溫度下形成一最初的FSG廣 是特別重要的。下面的處理是關於在一具有一特定的内部 禮積之沉積室中之一 200mm直徑的矽晶圓的處理;但是 ___ 第29頁 本紙張尺度適财國@家縣(CNS ) A4規;( 210X 297^^ ) " — * * / -----:----、裝-------訂------,」, (請先閱讀背面之注意事項再填将本頁) _ 406356 B7 五、發明説明() 熟悉此技藝者應瞭解的是,該處理可被修改以供不同的基 材物質,不同的基材尺吋,及不同的反應室體積之用。 (請先閱讀背面之注意事項再填寫本頁) 一基材被置於該沉積系統中(步驟701),氬氣在 95 seem的速率下被送至氣體喷嘴39及在15 seem速率下被 送至上噴嘴45。根據一固定的節流閥設定,這些氣體流建 立一約50mTorr的最初反應室壓力(步驃702)。在此最初 壓力下,一電漿藉由施加1000瓦的RF能量至上線圏29 而被形成。在節流閥被打開用以將反應室壓力降約3-5 mTorr的沉積壓力之前,有一秒鐘來建立一穩定的電漿。 該節流閥可根據先前之沉積系統的特性而被打開至一設 定的位置保持一特定的時間,以獲得所需要的壓力。在將 反應室壓力設定至沉積壓力的同時,總電漿能量,除了已 施加於上線圈上之1000瓦能量之外,藉由施加2000瓦RF 能量至側線圈而被提高(步驟704)。 經濟部中央標準局員工消費合作社印聚 在下一個步驟期間,上線圈能量被增加至1 500瓦, 側線圈能量被增加至3500瓦’及流至反應室之搆義流於 30seem的初始流率下被打開(步驟705)。一秒半之後,一 負電壓被施加於一 e -夾頭上(706),其以與e -夹頭緊密接 觸的方式牵引該基材。施加一負的,而非正的,電壓加強 了被帶以正電之電漿到達基材的傳輸。該e-夾頭具有一内 及外冷卻環用來載負熱傳氣體。對於流經該内及外通道以 熱耦合該基材及該e-夹頭之氣體最佳地為氦氣。該e·夹頭 可被流動於該e-夾頭内的通道中之熱傳液體,水或水/乙 二酵混合物’所冷卻(在某些實施例中是被加熱)。該熱傳 »30頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公;' 經濟部中央標準局員工消費合作社印紫 A7 —-—_— __ B? 五、發明説明() 視體被該冷卻系統保持在約6〇t。因為氦尚未流經該冷卻 環’所以在該基材與該e•失頭之間只有很小的熱交換。這 讓基材能更加快速地被加熱。 該基材被該電漿預熱六秒鐘(步驟706)〇在此期間, 氧氣流被増加至126sccm,1 i〇sccm來自於氧化劑噴嘴, 及16sccm來自於上通風孔。該系統組成物的熱質量及所 涉及的短時間,使得此處理步驟很難使用回餽式溫度控制 系統。因此,瞭解電漿加熱,基材與夹頭的熱質量,及電 漿的能量與壓力間的關係是很重要的。例如,在一較高壓 力下的電漿可傳遞更多的熱給基材,如链線跡。 在基材被預熱之後,矽甲烷在3 5sccm的速率下被引 入該反應室中(步驟707),其包括了來自於源氣體喷嘴39 的3〇Sccm及來自於上噴嘴45的5sccm。這在3秒鐘的時 間内可在該經預熱的基材上生長約300-4〇〇埃厚的usg 層,以準備FSG的沉積。在此襯層被沉積之後,氦氣經由 e-夾頭20的冷卻通道流入(步驃708)熱耦合該基材與該水 冷式的夹頭,並冷卻該基材。在這些通道内之氛氣的恩力 比在反應室中者高,而獲得一將基材推離開該夾頭的力 量,然而,e-夹頭對該基材的吸力足以將該晶圓維持在該 e-夾頭上。 下一個步驟將氟引入該沉積系統裝(步胂 % /09) »四氟 化矽在5sccm之相當低的流率下曾氧化劑哈 '^嘴40被引入 一秒鐘。這用SiF4充滿SiF4質量流控制装^ w路下游之分配管 線。一般咸認,在SiF4流開始時,存在之备、 取1初的氟在一溫 第31頁 本纸張尺度適用中國國家標準(CNS ) Λ4规格(210X 297公趦) (請先閱讀背面之注意事項#填艿本頁) 装· 經濟部中央標隼局員工消费合作社印裝 A7 -------- B7 五、K説明"ί~厂妨635t ~ 度高於100°C的基材表面上反應,其為前述預熱步騍7〇6 的結果。這可獲得一最初FSG層61〇(第5圖),其中氟被 緊密地鍵結於該玻璃中。此最初FSG層610與許多因子有 關,如該經電漿加熱的晶圓之熱容量,且可以只有幾個原 子層,或1〇〇埃,厚。自由氟(沒有被緊密地鍵結於玻璃 中者)是所不想要的,因為自由氟會腐蝕金屬線跡及反反 射層,特別是氮化鈦反反射層。在SiF4流開始時,存在之 濃度相對低之氟限制了自由氟在此層的此部分之可能的 累積。 在主沉積步驟的準備期間,控制該節流閥之壓力回饋 迴圈被致動。亦即,在前面的步螺中,節流閥被設定於一 固定的位置,被選定的位置對於反應室體積,排放容量, 及氣體流而言,如前所述的是適當的。現在,節流閥的位 置是根據來自於一壓力計之壓力讀數而被控制,用以維持 一 6mTorr的反應室壓力。這在沉積處理期間提供了較精 確’穩定’及可重覆的反應室壓力。 該RF偏壓能量現被降低(步驟71〇),在該主沉積步驟 之前。該RF偏壓能量程度被設定為9〇〇瓦給該上線圈29, 及2300瓦至側線圈30。該SiF4流被增加至l〇SCCm,及來 自於源氣體喷嘴39及上噴嘴45之矽甲烷流分別被增加至 45sccm及4.5sccm »來自於氧化劑喷嘴4〇及上通氣孔46 之氧氣流分別被降至84sccm及5.7sccm。這些條件被蕪持 2秒鐘用以設定並維持所想要之FSG沉積所需要的適當壓 力,並填入窄的間陳且不會因濺射蝕刻或氟蝕刻而過度蝕 第32頁 本纸張尺度適用中國國家標準(CNS ) Λ4規格(2丨0X297公楚) -- --:——I----------π------- j (誚兔閱讀背面之注意事項再填寫本頁) A7 B7 經濟部中央標準局員工消費合作社印裝 五、發明説明() 刻既有之基材圖案。在此時,一般咸認一約200埃厚之相 當高品質的FSG層(即將氟緊緊地結合於該玻璃結構中者) 被形成於該襯層上,因為如前所述的,襯層仍維持著相各 的熱。此相當高的基材表面溫度低的沉積氣體流率,及低 RF偏壓能量被認為對最初的FSG層的品質有所貢獻。 大多數的FSG層是在主沉積步驟期間被沉積的(步秘 711)。該晶圓在此步驟中的溫度低於前面步驟的溫度,提 高沉積-對蝕刻的比例,這可獲得一較高的沉積率。自此 步驟期間,該RF偏壓能量配接控制電路藉由將控制極限 提高至1500瓦而被失能(disable)。這表示rf偏壓配接系 統將不會嘗試改變配接網路的架構直到被反射回來的能 量超過2500瓦為止。因為在前述的步驟中配接網路已被 轉接至具有一電漿的該反應室,在此沉積步驟期間被反射 回來的能量是不太可能會超過2500瓦。在步雄711期間, 900瓦的能量被供應至給上線圏29,及23 00瓦的能量被 供給侧線圈30。節流閥26被控制用以維持一 6mTorr的反 應室壓力’而流至源6氣體喷嘴39之氬氣流被降至46scCm 及流經上噴嘴45的氬氣流被降至9sccm »沉積持磧约157 秒,端視所需要之最後的厚度而定。 在所需要的厚度已被沉積之後,矽甲烷及SiF4流被關 閉(步驟71 2)且與這些氣流相關連之氣體輸送管路經由三 通閥’如第1A圖中所示的閥43A-C,接至氟真空泵44。 這將殘留在這些輸送管内之氣體移除。將某些氣體遣留在 個別的輸送管路中是所不想要的,因為某些氣踫可能是易 _第 33 貫
Ik 張尺度適财酬_21() x 297i¥T ----.----- 、裝------訂------C. {請先閲讀背面之注意事項再填寫本頁) 經濟部中央櫺隼局員工消f合作it印¾ A7 ___40634^------- 五、發明説明() 燃的,有毒的,或腐仕性的。此外’某些反應物氣技是不 穩定的,並且讓它們遣留在管路中直到下一次晶圓處理為 止,可能會造成不一致或受污染的層°該RF偏恩能量配 接控制限制於此步驟期間亦被降低至500瓦•在一秒半之 後,流入e-夾頭20的冷卻通道中之氦氣流被關閉’且供 應給上RF線圈29的能量被提高至1000瓦而供给側RF 線圈30的能量則被降低至2000瓦(步驟713)。這會對該 FSG層的表面加熱,形成一薄的FSG膚層,其中與體FSG 層比起來,氟被更緊密地結合於此玻璃結構中•此膚層降 低了該體FSG層之水或水蒸氣的傳輸。氧化矽,及特別是 摻雜氟的氧化矽(其形成該FSG層)為親水的,亦即,其將 從周圍環境中吸收水蒸氣。此水蒸氣可被結合於該體FSG 層中,因為晶圓溫度低於l〇〇°C ·此外,如果不是膚層的 話,體FSG會從空氣中吸收水,形成霧氣或氣泡,或其會 與自由的或鬆弛地鍵結的氟結合以形成腐蝕性的氫氟 酸,或其可提高該層之相對介電常數。 下一個步驟的時機在某些實施例中是很重要的。在冷 卻通道中的氦(其沒有在流動但仍對該晶圓的背側提供某 些壓力)被通氣至該氟真空(步骠714)且約3秒鐘之後,該 e·夹頭藉由將其接地而被關閉(步驃715)β該電漿提供一離 子源用以中和介於該基材與該e-夾頭間的靜電,及在所有 的氛被氟所逼出之前,殘餘氦氣的背壓溫和地破壞介於基 材與夾頭間的密封。如果沒由該氦氣背壓的話,該基材會 黏附在該夾頭上,讓卸載變成很困難。如果e•夾頭是在足 ____ 第34·頁 本紙张尺度賴㈣K、NS) Λ4· (21“ ) (請先閱讀背面之注意事項再填寫本頁) 装. 訂 kl B7 —__406356 五、發明説明() (請先Μ讀背而,V注意事項再 Γ本頁) 夠的氦氣被吹出之前即被關閉的話,則基材會從夹頭上溜 下來。最後,如果該e-夾頭是在沒有電漿存在的情形下被 闞閉的話,則其需花相當長的時間來讓晶圓及夾頭達到平 衡,並讓該基材從該夾頭上取下。然後晶圓準備好從夾頭 上取下(步驟716)並從反應室中取出(步驟(717)。 上面所述之說明性實施例只是作為舉例之用·許多的 處理參數是與實施該處理之特定的反應室相關,如由美國 加州 Santa Clara市的 Applied Materials公司所生產的 Ultima反應室•其它的反應室可能會有不同的«積,排放 容量,電漿結構,晶圓夾持系統等等,其會造成一處理的 壓力,氣體流率,電漿能量,時間,及其它處理參數有所 不同•此外,不同的基材亦會造成不同的處理參數。例如, 一具有不同的熱容量或熱導性之基材的處理會具有較長 或較短的預熱步雄。此外,一具有可承受比隹圈案(features) 還高的溫度之預先存在的圖案之基材可被加熱至較高的 溫度而不會有損害。甚者,其它的氣禮可被使用於處理 中’如TEOS作為矽源,或F2作為氟源。 短濟部中央標隼局員工消费合作杜印^ V.試驗結果 樣本藉由依照上文所述之方法於200mm梦晶圓上生 長薄膜層而被製備。某些樣本具有0.8微米高的金屬線 称,該等線跡是以0.18微米的間隔被隔開(該間隙具有 4.44 : 1的寬高比)。這些間隙被填入掺雜層(USG襯層, FSG髏層,及FSG膚層),被分區段,並使用掃瞄電子顦 第35頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210 x 公ft 406356 A7 B7 五、發明説明() " 微鏡來檢查。在間陈生長封閉期間並沒有氣陈產生。生長 (請先閱讀背面.V/注意事項真 e本頁) __ / 的層皆被結合了充分的氟用以將層的相對介電常數降至 3.73 · FSG層的穩定性藉由將5片晶圓的樣本儲存21天, 然後檢測霧氣或氣泡的形成,及非破壞性地測試薄膜參 數’如殘餘薄嫌應力及介電常數等,來加以證實。沒有霧 氣或氣泡於樣本中被發現,及在薄膜應力及介電常數上並 沒有類著的變化發生· 此外’高溫測量,其為一破壞性試驗方法,在另一组 具有6000埃厚的沉積層之晶圓上被實施。晶圓被錄存於 大氣中1 ’ 2及3星期。樣本晶圓在一其空中被逐漸加熱 至較高的溫度並測量從樣本中所釋出之水蒸氣濃度。對曲 線的面積作積分可獲得從該晶圓釋出之水蒸氣的總量,在 高達500 °C的溫度下並沒有明顯的去氣或水蒸氣釋出被發 現。 组濟部中央樣隼局員工消費合作社印裝 第7圖顯示一被沉積(線801)的晶圓及該晶圓以濕蝕 刻處理從FSG層的表面去除約1700埃之後(線802)的熱解 圖。該FSG膚層被假設於此蝕刻中被去除。圖中的這些線 FSG膚層對於該體FSG層的水分吸附及水分從該鳢FSG 層釋出題供了障蔽,因而提升了層的穩定性》 本發明的方法並不局限於上文所示出之特定的參 數*熟悉此技藝者將會瞭解的是不同的處理條件及不同的 反應物源可被使用而不偏離本發明的精神。本發明之沉積 一絕緣層之其它的等效或取代方法對於熟悉此技藝者而
_____3S36T 本紙張尺度適用中國®家樑隼(C'NS ) ,\4現格(2丨0 X 297公炸: 一 ' 406¾¾ A7 B7 五、發明説明() 言將是很明顯的。這些等效物及取代物是包含在本發明的 範圍之内。其它的變化對於熟悉此技藝者而言將是明期 的。因此,本發明的範圍是有下列的申請專利範圍所界定 的。 ------^-----^t------IT------ (誚先閱讀背而之注意事項耳填πϊ本頁) 經濟部中央標隼局員1·务t合作让印災 第37頁 本紙張尺度適用中3】®家桴隼(CNS ) Λ4現格(210X297公及)
Claims (1)
- 獅 >月、<日A8 B8 C8 D8 六、申請專利範圍 1. 一種用來在一位在一沉積系統反應室中的基材上形成薄 膜之方法’該方法至少包括下列步驟: (a) 在該反應室内將該基材加熱至一至少1 00°c的溫 度; (b) 在適合沉積矽玻璃的條件下,將一包含矽的處理 氣體引入該反應室中; (c) 在該基材上形成一相對薄的未掺雜的矽玻璃; (d) 當該基材的溫度係至少l〇〇t時,將一包含鹵素 的氣體於一流率下引入該反應室中用以在該未摻雜的 矽玻璃層上一包含鹵素的氧化矽層的一第一部分;及 (e) 增加該包含函素的氣體的流率並降低該基材的溫 度用以形成該包含鹵素的氧化矽層的一第二部分。 2. 如申請專利範圍第1項所述之方法,其中該基材於步驟 (a)中是用電漿來加熱。 3 ·如申請專利範圍第1項所述之方法,其中該未摻雜的珍 玻璃層的厚度是介於150埃至600埃之間。 4.如申請專利範圍第1項所述之方法,其中該包含南素的 氧化妙層的第一部分的厚度係小於3 0 0埃。 5·如申請專利範圍第4項所述之方法,其中该包含由素的 氧化矽層的第一部分包括一在該包含鹵素的氣體流開 第38育 本紙 ) Α4 胁(210x297iiry ^ΐτ^ (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 獅 >月、<日A8 B8 C8 D8 六、申請專利範圍 1. 一種用來在一位在一沉積系統反應室中的基材上形成薄 膜之方法’該方法至少包括下列步驟: (a) 在該反應室内將該基材加熱至一至少1 00°c的溫 度; (b) 在適合沉積矽玻璃的條件下,將一包含矽的處理 氣體引入該反應室中; (c) 在該基材上形成一相對薄的未掺雜的矽玻璃; (d) 當該基材的溫度係至少l〇〇t時,將一包含鹵素 的氣體於一流率下引入該反應室中用以在該未摻雜的 矽玻璃層上一包含鹵素的氧化矽層的一第一部分;及 (e) 增加該包含函素的氣體的流率並降低該基材的溫 度用以形成該包含鹵素的氧化矽層的一第二部分。 2. 如申請專利範圍第1項所述之方法,其中該基材於步驟 (a)中是用電漿來加熱。 3 ·如申請專利範圍第1項所述之方法,其中該未摻雜的珍 玻璃層的厚度是介於150埃至600埃之間。 4.如申請專利範圍第1項所述之方法,其中該包含南素的 氧化妙層的第一部分的厚度係小於3 0 0埃。 5·如申請專利範圍第4項所述之方法,其中该包含由素的 氧化矽層的第一部分包括一在該包含鹵素的氣體流開 第38育 本紙 ) Α4 胁(210x297iiry ^ΐτ^ (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A8 B8 C8406356 Pi 六、申請專利範圍 始時形成之厚度低於1〇〇埃的低鹵素含量層及一在該 包含iS素的氣體流之主流期間形成於該低南素含量層 上之高南素含量層。 6 _如申請專利範圍第1項所述之方法’其中步驟(e)是在步 驟(d)之後的5秒鐘之内發生。 7 ·如申請專利範圍第1項所述之方法’其中該包含由素的 氣體包含有SiF4。 8. 如申請專利範圍第1項所述之方法,其更包括藉由將一 冷卻媒介在步驟(c)之未摻雜的矽玻璃層形成之後流經 該基材的背側附近來冷卻該基材的步驟。 9. 如申請專利筋.圍第8項所述之方法,其中該冷卻媒介包 10·如申請專利範圍第3項所述之方法,其中該未摻雜的玻 璃層的厚度是介於300埃至400埃之間。 11. 一種用來在一反應室中於一基材上形成一薄膜的方 法,該方法至少包括下列步驟: (a)於一 RF能量水準及一第一反應室壓力下在該反 應室中形成並維持一電漿持續一充分的時間用以將該 第39頁 本紙張尺度逋用中國國家搮準(CNS ) A4規格(210 X 297公釐) 11 p 11 I —~ I 訂 备 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 經濟部中央標準局員二消費合作钍印製 A8 B8 _40635 石 g88___ 六、申請專利範圍 基材加熱至一至少1〇〇。(:的溫度; (b) 將一處理氣體引入該反應室中,用以形成該薄膜 的第一部分; (c) 將一包含鹵素的氣體引入該反應室中,用以形成 該薄膜的第二部分; (d) 降低該RF能量水準,藉以降低對基材的加熱; 及 (e) 形成該薄膜的第三部分。 12.如申請專利範圍第n項所述之方法,其中該包含鹵素 的氣體包含有SiF4。 1 3 ·如申請專利範圍第11項所述之方法,其更包括藉由將 一冷卻媒介在步驟(b)之該薄膜的第一形成之後流經該 基材的背側附近來冷卻該基材的步驟。 14. 如申請專利範圍第13項所述之方法,其中該冷卻媒介 包含氦氣。 15. 如申請專利範圍第u項所述之方法,其更包括在步和 (d)之後增加該包含由素之氣禮的流率的步赛。 16. —種用來在一反應室中於一基材上形成一薄膜的方 法’該方法至少包括下列步驟: 第40育 i紙張尺度適用中國m家-縣(CNS) M現格(2!〇χ297公廣:, ——---- --------I裝------訂-----1L- (請先閱讀背面之注意事項再填寫本頁) 經濟部中夬襟準局員二'"t合作衩印U 406358 | D8 六、申請專利範圍 (a) 在將一源氣體引入該反應室中之前,用一在第一 RF能量水準之電漿將該基材加熱至一介於loot至450 °C的溫度; (b) 將一包含非由素之處理氣體引入該反應室中,用 以在該基材上形成一未摻雜的矽玻璃層; (c) 將一冷卻媒介流經該基材的背側附近; (d) 當該基材是在一高於i〇〇°c的第二溫度時,將一 包含由素的氣體引入該反應室中,用以在未摻雜的矽玻 璃層上形成一摻雜了鹵素之矽玻璃層的第一部分; (e) 降低該第一 RF能量水準;及 (0形成摻雜了鹵素之矽玻璃層的第二部分。 17. 一種積體電路,其是根據申請專利範圍第16項所述的 方法所製成。 18· 一種用來在一基材上形成一氟化的矽玻璃層的基材處 理設備,該設備至少包括: (a) —處理反應室; (b) —氣體輸送系統,用來將一包含非鹵素的源氣钂 兹至該處理反應室中及將一包含由素的源氣«送至雔 處理反應室中; (c) — rf電漿系統,用來在該處理反應室中形成一 電漿; (d) —真空系統,用來設定及維持在該處理反應室中 第41頁 一. 、遊用中國國家縣(CNS > Λ4現格(210 X 公货) I —Is 4 I— n - · n (n K. n n I /-., (請先閱讀背面之注意事項再填寫本頁) ir 經濟部中央樣準局員工消费合作钍印災 A840635^ ?8 D8 _____々、申請專利範圍 之經選定的壓力; (e) —控制器,用來控制該該氣體輸送系統,及該電 漿系統及該真空系統;及 (f) —連接至該控制器之記憶體,其包含一電腦可讀 取的媒體,該媒體具有一電腦可讀取的程式用來指導該 基材處理設備的操作,該電腦可讀取的程式包括: (i) 一第一组電腦指令,用來控制該氣髏輸送系統 及該電漿系統用以將一電漿氣體引入該處理反應室中 並在一電漿能量下從該電漿氣體形成一電漿; (ii) 一第二组電腦指令,用來控制該氣體輸送系 統’在該電漿將在該反應室中之一基材加熱一第一時間 段到達至少i〇〇°c的溫度之後,將一包含非由素的源氣 體引入該反應室中以形成一非由素化的層; (iii) 一第三組電腦指令,用來將一冷卻媒介流經 該基材的的背側附近; (iv) —第四組電腦指令,用來控制該氣體輸送在 一包含鹵素氣誼的氣體流率下於一第二時間段之内將 一包含由素的氣體引入該反應室中,其中該第二時問段 足以在該基材的溫度落到loot以下之前在該非由素化 的層上形成一包含鹵素層的第一部分;及 (v) —第五組電腦指令,用來控制該氣體輸送系統 及該電漿系統以將低該電漿能量並提高該包含由素的 氣雅的流率。 (請先閱讀背面之注意事項再填寫本頁) 第42頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公f )
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/868,286 US5937323A (en) | 1997-06-03 | 1997-06-03 | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
Publications (1)
Publication Number | Publication Date |
---|---|
TW406358B true TW406358B (en) | 2000-09-21 |
Family
ID=25351375
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW087108772A TW406358B (en) | 1997-06-03 | 1998-06-05 | Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing |
Country Status (6)
Country | Link |
---|---|
US (2) | US5937323A (zh) |
EP (1) | EP0883166B1 (zh) |
JP (1) | JP4237845B2 (zh) |
KR (1) | KR100562206B1 (zh) |
DE (1) | DE69835479T2 (zh) |
TW (1) | TW406358B (zh) |
Families Citing this family (674)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6251758B1 (en) * | 1994-11-14 | 2001-06-26 | Applied Materials, Inc. | Construction of a film on a semiconductor wafer |
US6191026B1 (en) * | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US6083852A (en) * | 1997-05-07 | 2000-07-04 | Applied Materials, Inc. | Method for applying films using reduced deposition rates |
US6228781B1 (en) | 1997-04-02 | 2001-05-08 | Applied Materials, Inc. | Sequential in-situ heating and deposition of halogen-doped silicon oxide |
US6136685A (en) * | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
JPH11232338A (ja) * | 1998-02-17 | 1999-08-27 | Toshiba Corp | プロセスフロー作成装置、プロセスフロー作成方法、及び、プロセスフロー作成プログラムを記録したコンピュータ読み取り可能な記録媒体 |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
JPH11288893A (ja) | 1998-04-03 | 1999-10-19 | Nec Corp | 半導体製造装置及び半導体装置の製造方法 |
WO1999054521A2 (en) * | 1998-04-21 | 1999-10-28 | Applied Materials, Inc. | Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power |
US6200911B1 (en) | 1998-04-21 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power |
US6294466B1 (en) * | 1998-05-01 | 2001-09-25 | Applied Materials, Inc. | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices |
US6030881A (en) * | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6150285A (en) | 1998-06-17 | 2000-11-21 | Advanced Micro Devices, Inc. | Method for simultaneous deposition and sputtering of TEOS |
TW410435B (en) * | 1998-06-30 | 2000-11-01 | United Microelectronics Corp | The metal interconnection manufacture by using the chemical mechanical polishing process |
US6265779B1 (en) * | 1998-08-11 | 2001-07-24 | International Business Machines Corporation | Method and material for integration of fuorine-containing low-k dielectrics |
US5994778A (en) * | 1998-09-18 | 1999-11-30 | Advanced Micro Devices, Inc. | Surface treatment of low-k SiOF to prevent metal interaction |
US6566757B1 (en) | 1998-11-30 | 2003-05-20 | Intel Corporation | Stabilization of low dielectric constant film with in situ capping layer |
US6255233B1 (en) * | 1998-12-30 | 2001-07-03 | Intel Corporation | In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application |
US6528865B1 (en) * | 1999-01-22 | 2003-03-04 | Intel Corporation | Thin amorphous fluorocarbon films |
US6218284B1 (en) * | 1999-02-01 | 2001-04-17 | United Microelectronics, Corp. | Method for forming an inter-metal dielectric layer |
US6180540B1 (en) * | 1999-02-18 | 2001-01-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a stabilized fluorosilicate glass layer |
US6261975B1 (en) * | 1999-03-04 | 2001-07-17 | Applied Materials, Inc. | Method for depositing and planarizing fluorinated BPSG films |
JP4053173B2 (ja) * | 1999-03-29 | 2008-02-27 | 東京エレクトロン株式会社 | マイクロ波プラズマ処理装置及び方法 |
US6001746A (en) * | 1999-05-20 | 1999-12-14 | United Microelectronics Corp. | Method of forming an undoped silicate glass layer on a semiconductor wafer |
US6383954B1 (en) * | 1999-07-27 | 2002-05-07 | Applied Materials, Inc. | Process gas distribution for forming stable fluorine-doped silicate glass and other films |
US6153543A (en) * | 1999-08-09 | 2000-11-28 | Lucent Technologies Inc. | High density plasma passivation layer and method of application |
US6165915A (en) * | 1999-08-11 | 2000-12-26 | Taiwan Semiconductor Manufacturing Company | Forming halogen doped glass dielectric layer with enhanced stability |
GB2356289A (en) * | 1999-08-19 | 2001-05-16 | Lucent Technologies Inc | Process for deposition of low-k dielectric gap filling layer onto high aspect ratio features in integrated circuits |
US6410457B1 (en) * | 1999-09-01 | 2002-06-25 | Applied Materials, Inc. | Method for improving barrier layer adhesion to HDP-FSG thin films |
US6346476B1 (en) * | 1999-09-27 | 2002-02-12 | Taiwan Semiconductor Manufacturing Company | Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers |
US6319814B1 (en) * | 1999-10-12 | 2001-11-20 | United Microelectronics Corp. | Method of fabricating dual damascene |
TW429516B (en) * | 1999-10-22 | 2001-04-11 | United Microelectronics Corp | Manufacturing method for inter-metal dielectrics |
US6572924B1 (en) * | 1999-11-18 | 2003-06-03 | Asm America, Inc. | Exhaust system for vapor deposition reactor and method of using the same |
US6432808B1 (en) * | 1999-12-03 | 2002-08-13 | Xilinx, Inc. | Method of improved bondability when using fluorinated silicon glass |
US6472336B1 (en) * | 2000-02-23 | 2002-10-29 | Advanced Micro Devices, Inc. | Forming an encapsulating layer after deposition of a dielectric comprised of corrosive material |
US6432842B2 (en) * | 2000-03-30 | 2002-08-13 | Tokyo Electron Limited | Coating method and coating apparatus |
KR20010087598A (ko) * | 2000-03-08 | 2001-09-21 | 황 철 주 | Hdp-cvd 장치 및 이를 이용한 갭 필링 방법 |
US6380066B1 (en) | 2000-03-21 | 2002-04-30 | Chartered Semiconductor Manufacturing Ltd. | Methods for eliminating metal corrosion by FSG |
US20020005539A1 (en) | 2000-04-04 | 2002-01-17 | John Whitman | Spin coating for maximum fill characteristic yielding a planarized thin film surface |
TW501232B (en) * | 2000-04-04 | 2002-09-01 | Agere Syst Guardian Corp | High density plasma-fluorinated silicon glass process stack and method of manufacture therefor |
US6506690B1 (en) * | 2000-04-25 | 2003-01-14 | Agere Systems Inc. | Method for forming dielectric stack including second dielectric layer with lower undoped portion and upper doped portion |
US6511923B1 (en) | 2000-05-19 | 2003-01-28 | Applied Materials, Inc. | Deposition of stable dielectric films |
US6559026B1 (en) * | 2000-05-25 | 2003-05-06 | Applied Materials, Inc | Trench fill with HDP-CVD process including coupled high power density plasma deposition |
US6479385B1 (en) | 2000-05-31 | 2002-11-12 | Taiwan Semiconductor Manufacturing Company | Interlevel dielectric composite layer for insulation of polysilicon and metal structures |
US6548901B1 (en) | 2000-06-15 | 2003-04-15 | International Business Machines Corporation | Cu/low-k BEOL with nonconcurrent hybrid dielectric interface |
KR100332314B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | 박막증착용 반응용기 |
US6559052B2 (en) * | 2000-07-07 | 2003-05-06 | Applied Materials, Inc. | Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6376360B1 (en) | 2000-08-18 | 2002-04-23 | Chartered Semiconductor Manufacturing Ltd. | Effective retardation of fluorine radical attack on metal lines via use of silicon rich oxide spacers |
US6335288B1 (en) * | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6521529B1 (en) * | 2000-10-05 | 2003-02-18 | Advanced Micro Devices, Inc. | HDP treatment for reduced nickel silicide bridging |
US6524969B2 (en) * | 2000-10-05 | 2003-02-25 | Applied Materials, Inc. | High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers |
EP1275133A1 (de) * | 2000-10-19 | 2003-01-15 | Robert Bosch Gmbh | Vorrichtung und verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas |
US6458722B1 (en) * | 2000-10-25 | 2002-10-01 | Applied Materials, Inc. | Controlled method of silicon-rich oxide deposition using HDP-CVD |
US6335274B1 (en) * | 2000-11-17 | 2002-01-01 | Macronix International Co., Ltd. | Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process |
US6363624B1 (en) | 2000-11-21 | 2002-04-02 | Applied Materials, Inc. | Apparatus for cleaning a semiconductor process chamber |
US6451687B1 (en) * | 2000-11-24 | 2002-09-17 | Chartered Semiconductor Manufacturing Ltd. | Intermetal dielectric layer for integrated circuits |
US6479098B1 (en) * | 2000-12-26 | 2002-11-12 | Taiwan Semiconductor Manufacturing Company | Method to solve particle performance of FSG layer by using UFU season film for FSG process |
US6514870B2 (en) * | 2001-01-26 | 2003-02-04 | Applied Materials, Inc. | In situ wafer heat for reduced backside contamination |
US6447651B1 (en) | 2001-03-07 | 2002-09-10 | Applied Materials, Inc. | High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers |
TW531893B (en) * | 2001-03-13 | 2003-05-11 | Sanyo Electric Co | Semiconductor device and manufacture method therefor |
US6713406B1 (en) | 2001-03-19 | 2004-03-30 | Taiwan Semiconductor Manufacturing Company | Method for depositing dielectric materials onto semiconductor substrates by HDP (high density plasma) CVD (chemical vapor deposition) processes without damage to FET active devices |
US6511922B2 (en) | 2001-03-26 | 2003-01-28 | Applied Materials, Inc. | Methods and apparatus for producing stable low k FSG film for HDP-CVD |
US6576545B1 (en) | 2001-03-29 | 2003-06-10 | Advanced Micro Devices, Inc. | Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers |
US6518646B1 (en) | 2001-03-29 | 2003-02-11 | Advanced Micro Devices, Inc. | Semiconductor device with variable composition low-k inter-layer dielectric and method of making |
US6852649B1 (en) * | 2001-03-30 | 2005-02-08 | Cypress Semiconductor Corporation | Multi-step high density plasma (HDP) process to obtain uniformly doped insulating film |
US20020144655A1 (en) * | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6596653B2 (en) | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7201936B2 (en) * | 2001-06-19 | 2007-04-10 | Applied Materials, Inc. | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US6913938B2 (en) * | 2001-06-19 | 2005-07-05 | Applied Materials, Inc. | Feedback control of plasma-enhanced chemical vapor deposition processes |
US6709928B1 (en) * | 2001-07-31 | 2004-03-23 | Cypress Semiconductor Corporation | Semiconductor device having silicon-rich layer and method of manufacturing such a device |
US7067440B1 (en) | 2001-08-24 | 2006-06-27 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6667248B2 (en) | 2001-09-05 | 2003-12-23 | Applied Materials Inc. | Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US7144822B1 (en) * | 2002-02-06 | 2006-12-05 | Novellus Systems, Inc. | High density plasma process for optimum film quality and electrical results |
US6812153B2 (en) * | 2002-04-30 | 2004-11-02 | Applied Materials Inc. | Method for high aspect ratio HDP CVD gapfill |
US6908862B2 (en) * | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
JP3504940B2 (ja) * | 2002-05-17 | 2004-03-08 | 沖電気工業株式会社 | 半導体装置の製造方法 |
US7541283B2 (en) * | 2002-08-30 | 2009-06-02 | Tokyo Electron Limited | Plasma processing method and plasma processing apparatus |
US6696359B1 (en) | 2002-08-30 | 2004-02-24 | Micron Technology, Inc. | Design layout method for metal lines of an integrated circuit |
US7335609B2 (en) * | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
US7431967B2 (en) * | 2002-09-19 | 2008-10-07 | Applied Materials, Inc. | Limited thermal budget formation of PMD layers |
US20070212850A1 (en) * | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7456116B2 (en) | 2002-09-19 | 2008-11-25 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7141483B2 (en) * | 2002-09-19 | 2006-11-28 | Applied Materials, Inc. | Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill |
US7628897B2 (en) * | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6802944B2 (en) * | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US7122485B1 (en) | 2002-12-09 | 2006-10-17 | Novellus Systems, Inc. | Deposition profile modification through process chemistry |
US7097886B2 (en) * | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US7296532B2 (en) * | 2002-12-18 | 2007-11-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bypass gas feed system and method to improve reactant gas flow and film deposition |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
JP2004304044A (ja) * | 2003-03-31 | 2004-10-28 | Toshiba Corp | フロー変換装置、フロー変換方法、製造工程管理システム、製造工程管理方法及びプログラム |
US6953608B2 (en) * | 2003-04-23 | 2005-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up |
JP4394073B2 (ja) * | 2003-05-02 | 2010-01-06 | 東京エレクトロン株式会社 | 処理ガス導入機構およびプラズマ処理装置 |
US7081414B2 (en) * | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US6958112B2 (en) * | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
JP4723503B2 (ja) * | 2003-05-30 | 2011-07-13 | 東京エレクトロン株式会社 | 高k誘電体材料をエッチングするための方法とシステム |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7078312B1 (en) | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
KR100521436B1 (ko) * | 2003-11-26 | 2005-10-13 | 동부아남반도체 주식회사 | 반도체 소자 및 그 제조 방법 |
US7163896B1 (en) | 2003-12-10 | 2007-01-16 | Novellus Systems, Inc. | Biased H2 etch process in deposition-etch-deposition gap fill |
US7476621B1 (en) | 2003-12-10 | 2009-01-13 | Novellus Systems, Inc. | Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill |
US7344996B1 (en) | 2005-06-22 | 2008-03-18 | Novellus Systems, Inc. | Helium-based etch process in deposition-etch-deposition gap fill |
US6833717B1 (en) * | 2004-02-12 | 2004-12-21 | Applied Materials, Inc. | Electron beam test system with integrated substrate transfer module |
US7087497B2 (en) * | 2004-03-04 | 2006-08-08 | Applied Materials | Low-thermal-budget gapfill process |
JP4773716B2 (ja) * | 2004-03-31 | 2011-09-14 | 株式会社デンソー | 半導体基板の製造方法 |
US20050227382A1 (en) * | 2004-04-02 | 2005-10-13 | Hui Angela T | In-situ surface treatment for memory cell formation |
US20050260356A1 (en) * | 2004-05-18 | 2005-11-24 | Applied Materials, Inc. | Microcontamination abatement in semiconductor processing |
US7229931B2 (en) | 2004-06-16 | 2007-06-12 | Applied Materials, Inc. | Oxygen plasma treatment for enhanced HDP-CVD gapfill |
US7183227B1 (en) * | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
US20070212847A1 (en) * | 2004-08-04 | 2007-09-13 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US7642171B2 (en) * | 2004-08-04 | 2010-01-05 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US20060038293A1 (en) * | 2004-08-23 | 2006-02-23 | Rueger Neal R | Inter-metal dielectric fill |
US7087536B2 (en) * | 2004-09-01 | 2006-08-08 | Applied Materials | Silicon oxide gapfill deposition using liquid precursors |
US7217658B1 (en) | 2004-09-07 | 2007-05-15 | Novellus Systems, Inc. | Process modulation to prevent structure erosion during gap fill |
US7176039B1 (en) | 2004-09-21 | 2007-02-13 | Novellus Systems, Inc. | Dynamic modification of gap fill process characteristics |
KR100589046B1 (ko) * | 2004-09-23 | 2006-06-12 | 삼성전자주식회사 | 박막 형성 방법 |
US7381451B1 (en) | 2004-11-17 | 2008-06-03 | Novellus Systems, Inc. | Strain engineering—HDP thin film with tensile stress for FEOL and other applications |
US7722737B2 (en) * | 2004-11-29 | 2010-05-25 | Applied Materials, Inc. | Gas distribution system for improved transient phase deposition |
US20060154494A1 (en) | 2005-01-08 | 2006-07-13 | Applied Materials, Inc., A Delaware Corporation | High-throughput HDP-CVD processes for advanced gapfill applications |
US7722719B2 (en) * | 2005-03-07 | 2010-05-25 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
US7329586B2 (en) * | 2005-06-24 | 2008-02-12 | Applied Materials, Inc. | Gapfill using deposition-etch sequence |
US7871536B2 (en) * | 2005-09-12 | 2011-01-18 | Fujifilm Electronic Materials U.S.A., Inc. | Additives to prevent degradation of cyclic alkene derivatives |
SG165359A1 (en) * | 2005-09-12 | 2010-10-28 | Fujifilm Electronic Materials | Additives to prevent degradation of cyclic alkene derivatives |
KR101409887B1 (ko) * | 2005-09-12 | 2014-06-20 | 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. | 사이클릭 알켄 유도체의 분해를 방지하기 위한 첨가제 |
US7390757B2 (en) * | 2005-11-15 | 2008-06-24 | Applied Materials, Inc. | Methods for improving low k FSG film gap-fill characteristics |
US7524750B2 (en) * | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7902080B2 (en) | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US7790634B2 (en) * | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070277734A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7825038B2 (en) * | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7598540B2 (en) * | 2006-06-13 | 2009-10-06 | International Business Machines Corporation | High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same |
US7482245B1 (en) | 2006-06-20 | 2009-01-27 | Novellus Systems, Inc. | Stress profile modulation in STI gap fill |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
CN100446195C (zh) * | 2006-08-23 | 2008-12-24 | 上海华虹Nec电子有限公司 | 改善氟硅玻璃填隙性的方法 |
KR100756840B1 (ko) | 2006-08-31 | 2007-09-07 | 동부일렉트로닉스 주식회사 | 반도체소자 및 그 제조방법 |
US20080124944A1 (en) * | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US20080121177A1 (en) * | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7758698B2 (en) * | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7740706B2 (en) * | 2006-11-28 | 2010-06-22 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
CN101601333A (zh) * | 2006-12-28 | 2009-12-09 | 埃克阿泰克有限责任公司 | 用于等离子电弧涂敷的方法和设备 |
CN101289284B (zh) * | 2007-04-20 | 2011-04-20 | 中芯国际集成电路制造(上海)有限公司 | 有效控制含氟硅玻璃层间介质层形成中产生的气泡的方法 |
US8940645B2 (en) | 2007-05-25 | 2015-01-27 | Cypress Semiconductor Corporation | Radical oxidation process for fabricating a nonvolatile charge trap memory device |
US8283261B2 (en) * | 2007-05-25 | 2012-10-09 | Cypress Semiconductor Corporation | Radical oxidation process for fabricating a nonvolatile charge trap memory device |
US8633537B2 (en) | 2007-05-25 | 2014-01-21 | Cypress Semiconductor Corporation | Memory transistor with multiple charge storing layers and a high work function gate electrode |
US8643124B2 (en) | 2007-05-25 | 2014-02-04 | Cypress Semiconductor Corporation | Oxide-nitride-oxide stack having multiple oxynitride layers |
US20090179253A1 (en) | 2007-05-25 | 2009-07-16 | Cypress Semiconductor Corporation | Oxide-nitride-oxide stack having multiple oxynitride layers |
US9449831B2 (en) | 2007-05-25 | 2016-09-20 | Cypress Semiconductor Corporation | Oxide-nitride-oxide stack having multiple oxynitride layers |
US7745352B2 (en) * | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7803722B2 (en) * | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7943531B2 (en) * | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7678715B2 (en) * | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
US7898852B1 (en) | 2007-12-27 | 2011-03-01 | Cypress Semiconductor Corporation | Trapped-charge non-volatile memory with uniform multilevel programming |
CN101772833B (zh) * | 2008-02-20 | 2012-04-18 | 东京毅力科创株式会社 | 气体供给装置 |
US8088683B2 (en) * | 2008-03-31 | 2012-01-03 | Cypress Semiconductor Corporation | Sequential deposition and anneal of a dielectic layer in a charge trapping memory device |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8133797B2 (en) * | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US8173213B2 (en) | 2008-05-28 | 2012-05-08 | Air Products And Chemicals, Inc. | Process stability of NBDE using substituted phenol stabilizers |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US7935643B2 (en) * | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
SG181670A1 (en) | 2009-12-30 | 2012-07-30 | Applied Materials Inc | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
US8647992B2 (en) | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US20120222618A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Dual plasma source, lamp heated plasma chamber |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
CN102817014B (zh) * | 2011-06-08 | 2014-07-02 | 无锡华润上华科技有限公司 | 化学气相淀积装置中硅基气体的控制方法 |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8685813B2 (en) | 2012-02-15 | 2014-04-01 | Cypress Semiconductor Corporation | Method of integrating a charge-trapping gate stack into a CMOS flow |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS60219730A (ja) * | 1984-04-16 | 1985-11-02 | Canon Inc | 堆積膜の形成法 |
JPH0697660B2 (ja) | 1985-03-23 | 1994-11-30 | 日本電信電話株式会社 | 薄膜形成方法 |
JPS61231716A (ja) | 1985-04-08 | 1986-10-16 | Hitachi Ltd | 成膜装置 |
JPS61276977A (ja) * | 1985-05-30 | 1986-12-06 | Canon Inc | 堆積膜形成法 |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
KR910006164B1 (ko) * | 1987-03-18 | 1991-08-16 | 가부시키가이샤 도시바 | 박막형성방법과 그 장치 |
EP0299249A1 (en) * | 1987-07-16 | 1989-01-18 | Texas Instruments Incorporated | Processing apparatus and method |
JPH07116609B2 (ja) * | 1987-11-13 | 1995-12-13 | 富士通株式会社 | 化学気相成長装置 |
US4851370A (en) * | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
US4894352A (en) * | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
JP2708533B2 (ja) * | 1989-03-14 | 1998-02-04 | 富士通株式会社 | Cvd装置の残留ガス除去方法 |
US5013691A (en) * | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
JP2960466B2 (ja) * | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
ATE143703T1 (de) * | 1990-06-26 | 1996-10-15 | Air Liquide | Verfahren zum herstellen selbsttragender formkörper aus feuerfestem metall |
JP2640174B2 (ja) * | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
WO1992012535A1 (en) * | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
JP2697315B2 (ja) * | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | フッ素含有シリコン酸化膜の形成方法 |
JPH04341568A (ja) * | 1991-05-16 | 1992-11-27 | Toshiba Corp | 薄膜形成方法及び薄膜形成装置 |
JP3670277B2 (ja) * | 1991-05-17 | 2005-07-13 | ラム リサーチ コーポレーション | 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法 |
JP2699695B2 (ja) * | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | 化学気相成長法 |
JPH05226480A (ja) * | 1991-12-04 | 1993-09-03 | Nec Corp | 半導体装置の製造方法 |
JP2773530B2 (ja) * | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2792335B2 (ja) * | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | 半導体装置の製造方法 |
JP3688726B2 (ja) * | 1992-07-17 | 2005-08-31 | 株式会社東芝 | 半導体装置の製造方法 |
KR0131439B1 (ko) * | 1992-11-24 | 1998-04-14 | 나카무라 타메아키 | 반도체장치 및 그 제조방법 |
US6157083A (en) * | 1996-06-03 | 2000-12-05 | Nec Corporation | Fluorine doping concentrations in a multi-structure semiconductor device |
US5661093A (en) * | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US6019848A (en) * | 1996-11-13 | 2000-02-01 | Applied Materials, Inc. | Lid assembly for high temperature processing chamber |
US6063198A (en) * | 1998-01-21 | 2000-05-16 | Applied Materials, Inc. | High pressure release device for semiconductor fabricating equipment |
-
1997
- 1997-06-03 US US08/868,286 patent/US5937323A/en not_active Expired - Lifetime
-
1998
- 1998-04-30 DE DE69835479T patent/DE69835479T2/de not_active Expired - Fee Related
- 1998-04-30 EP EP98107996A patent/EP0883166B1/en not_active Expired - Lifetime
- 1998-05-25 KR KR1019980018745A patent/KR100562206B1/ko not_active IP Right Cessation
- 1998-06-03 JP JP15465398A patent/JP4237845B2/ja not_active Expired - Fee Related
- 1998-06-05 TW TW087108772A patent/TW406358B/zh not_active IP Right Cessation
-
1999
- 1999-06-09 US US09/328,709 patent/US6217658B1/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
JPH118230A (ja) | 1999-01-12 |
US6217658B1 (en) | 2001-04-17 |
KR100562206B1 (ko) | 2006-05-25 |
EP0883166A2 (en) | 1998-12-09 |
EP0883166A3 (en) | 1998-12-30 |
EP0883166B1 (en) | 2006-08-09 |
JP4237845B2 (ja) | 2009-03-11 |
KR19990006486A (ko) | 1999-01-25 |
US5937323A (en) | 1999-08-10 |
DE69835479T2 (de) | 2007-04-19 |
DE69835479D1 (de) | 2006-09-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW406358B (en) | Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing | |
TW416100B (en) | Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system | |
TW483067B (en) | Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power | |
TWI238151B (en) | Nitrogen treatment of polished halogen-doped silicon glass | |
US6704913B2 (en) | In situ wafer heat for reduced backside contamination | |
US6846742B2 (en) | Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput | |
TW412779B (en) | Lid assembly for high temperature processing chamber | |
US7294588B2 (en) | In-situ-etch-assisted HDP deposition | |
KR100518156B1 (ko) | 테트라에틸오르토실란및오존실리콘산화물의표면감도감소방법및장치 | |
TW567239B (en) | Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application | |
JP4230563B2 (ja) | 低誘電率膜用高堆積率レシピ | |
TW505953B (en) | Dilute remote plasma clean | |
TW580752B (en) | Method of depositing a nitrogen-doped FSG layer | |
KR20010062663A (ko) | 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화 | |
TW495849B (en) | Controlled method of silicon-rich oxide deposition using HDP-CVD | |
KR100297421B1 (ko) | 이산화규소막의불소화에의한응력제어방법및기판처리시스템 | |
JP2002512440A (ja) | 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置 | |
JP2002057157A (ja) | 銅ダマシン集積回路用hdp−fsg処理 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |