KR100692090B1 - 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는방법 - Google Patents

얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는방법 Download PDF

Info

Publication number
KR100692090B1
KR100692090B1 KR1020067014383A KR20067014383A KR100692090B1 KR 100692090 B1 KR100692090 B1 KR 100692090B1 KR 1020067014383 A KR1020067014383 A KR 1020067014383A KR 20067014383 A KR20067014383 A KR 20067014383A KR 100692090 B1 KR100692090 B1 KR 100692090B1
Authority
KR
South Korea
Prior art keywords
trench
substrate
chamber
gas
deposition
Prior art date
Application number
KR1020067014383A
Other languages
English (en)
Other versions
KR20060090734A (ko
Inventor
파브리세 가이거
프레데리크 개라드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060090734A publication Critical patent/KR20060090734A/ko
Application granted granted Critical
Publication of KR100692090B1 publication Critical patent/KR100692090B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Abstract

본 발명은 O3/TEOS와 같은 유전체 물질의 표면 민감도를 활용하는 트렌치가 형성된 기판(224)상에 트렌치 산화물 충진층(300)을 증착하는 방법에 관한 것이다. 이러한 물질은 증착된 층(300)의 표면 형상이 실질적으로 자기 평탄화되도록 트렌치가 형성된 기판(224)상에 다른 레벨로 다르게 형성되는 표면상에 다른 증착 속도를 가진다. 실리콘 트렌치(228) 상에 유전체 물질을 증착하는 것은 고품질 충진층을 형성하고, 증착 이전에 트렌치(228)를 세정하는 것은 품질을 향상시킬 수 있다. 증착 이후, 산화 어닐링은 트렌치 표면에 열산화물(308)을 형성하고 유전체 물질을 밀집시키도록 수행될 수 있다. 화학적 기계적 연마가 LPCVD 질화물 또는 CVD 반사-방지 코팅으로 구성되는 기판(224)의 에칭 정지층(226) 상부의 과도한 산화물 물질을 제거하는데 사용될 수 있다.

Description

얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법 {METHODS FOR FORMING SELF-PLANARIZED DIELECTRIC LAYER FOR SHALLOW TRENCH ISOLATION}
도 1a-1h는 종래 증착 방법을 이용한 트렌치 형성 및 유전 물질에 의한 트렌치 충진을 나타내는 기판의 수직 단면도이다.
도 2a 및 2b는 본 발명에 따른 트렌치 형성 방법의 선택적인 실시예의 흐름도이다.
도 3a 및 3b는 본 발명에 따라 CVD 반사방지 코팅을 이용하는 것을 설명하는 트렌치된 기판의 선택적인 실시예의 수직 단면도이다.
도 4는 본 발명에 따라 형성된 자기 정렬된 트렌치 충진층을 형성하는 방법의 실시예에 대한 흐름도이다.
도 5a 및 5b는 본 발명에 따라 자기 평탄화된 트렌치 충진층을 가진 기판의 선택적인 실시예의 수직 단면도이다.
도 6은 본 발명에 따라 트렌치 충진층을 처리하는 방법의 실시예에 대한 흐름도이다.
도 7은 본 발명에 따라 산화 어닐링으로 처리되는 도 5a의 기판의 수직 단면도이다.
도 8은 본 발명에 따른 화학적 기상 증착 장치의 일 실시예에 대한 수직 단 면도이다.
도 9 및 10은 도 8에 도시된 CVD 챔버의 일부의 투시도이다.
도 11은 하나 이상의 챔버를 포함하는 다중 챔버 시스템에서 시스템 모니터와 CVD 시스템의 개략도이다.
도 12는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램의 계층적 제어 구조의 블록도이다.
도 13a 및 13b는 종래 증착 방법을 이용하여 형성된 트렌치 충진층의 SEM(스캐닝 전자 마이크로그래프) 단면도이다.
도 14a 및 14b는 트렌치 벽 상에 열산화물을 가진 에칭된 트렌치를 세척한 후에 형성된 트렌치 충진층의 SEM 단면도이다.
도 15a 및 15b는 트렌치 표면상에 열산화물이 없이 형성된 트렌치 충진층의 SEM 단면도이다.
도 16a 및 16b는 트렌치 표면상에 열산화물이 없는 트렌치를 세척한 후에 형성된 트렌치 충진층의 SEM 단면도이다.
* 도면의 주요 부분에 대한 간단한 설명 *
224: 기판 228: 트렌치
230 : 트렌치 바닥 232: 트렌치 벽
270 : 실리콘 기판 272 : 에칭 정지층
280 : 열 산화막
본 발명은 집적회로의 제조에 관한 것이다. 특히 본 발명은 얕은 트렌치 절연을 위해 고품질 유전체층의 자기-평탄화 증착을 제공하는 방법에 관한 것이다.
반도체 디바이스의 기하학구조는 크기의 감소가 지속됨에 따라, 제조된 웨이퍼 상에서 단위 면적 당 보다 많은 디바이스를 제공한다. 이들 디바이스는 일반적으로 웨이퍼에 제조될 때 초기에는 서로 분리되고, 순차적으로 원하는 특정 회로 구성을 형성하기 위하여 상호연결된다. 현재, 일부 디바이스는 0.18μm의 작은 피처 크기로 제조된다. 예를 들어, 패턴화된 웨이퍼 상의 도체 라인들 또는 트레이스들과 같이 디바이스들 사이의 간격은 0.18μm로 분리되어 동일한 크기의 리세스 또는 갭을 남긴다. 일반적으로 실리콘이산화물(SiO2)과 같은 유전 물질의 비도전성층은 상기 갭을 충진하도록 피처(feature) 위에 증착되며 인접한 층들의 집적회로의 또 다른 피처 또는 동일한 층에 있는 인접한 피처로부터 피처들을 절연시킨다.
유전체층은 금속 배선층들 사이 또는 금속배선 공정 이전에 형성된 층간 유전체(ILD) 및 디바이스를 분리하기 위한 얕은 트렌치 절연(STI) 유전체를 포함하는 다양한 응용분야에 이용된다. 어떤 경우에, STI는 약 0.5μm이하의 작은 피처 치수를 가지는 디바이스들을 분리하기 위하여 이용된다. 유전체층의 평탄화는 반도체 디바이스의 패킹 밀도가 증가함에 따라 상당히 중요하게 되었다.
평탄화 문제는 도 1a-1g에 도시된 얕은 트렌치 절연을 형성하는 전형적인 공정(일반적으로 STI집적이라고 함)의 예를 이용하여 설명된다. 도 1a에서, 실리콘 기판(110)상에는 패드 산화물층(112) 및 실리콘 질화물과 같은 질화물층(114)이 증착된다. 질화물층(114)은 일반적으로 저압 화학적 기상 증착(LPCVD)에 의하여 증착되며, 화학적 기계 연마(CMP)에 대한 에칭 스톱으로서 동작한다. 도 1b에서, 바닥 반사방지 코팅(BARC)(116)이 질화물층(114) 위에 형성되어 포토리소그래피 동안에 기판(110)으로부터 반사된 광을 흡수한다. 유기 스핀 온 글래스(SOG)인 BARC(116)는 심자외선(DUV; deep ultraviolet) 및 원자외선(FUV; far ultraviolet) 광을 포함하며, 약 248nm 이하의 파장을 가진 광에 대하여 요구된다. 포토레지스트(118)는 BARC(116)상에 형성되고 트렌치 위치를 한정하는 마스크(도시 안됨)를 이용하여 노출된다. 다음에 노출된 포토레지스트는 트렌치를 형성하기 위한 개방 영역을 남기기 위하여 스트립된다. 일반적으로, 플라즈마 에칭은 도 1c에 도시된 바와 같이 트렌치(120)를 형성하도록 질화물층(114), 패드 산화물층(112) 및 실리콘 기판(110)을 통하여 상기 개방 영역을 에칭하기 위하여 수행된다. 나머지 포토레지스트(118) 및 BARC(116)이 제거된 후에, 열산화물(122)이 일반적으로 질화물/패드 산화물 및 트렌치(120)의 표면(트렌치 바닥(124) 및 트렌치 벽(126))상에 성장되어 도 1d에 도시된 바와 같이 실리콘 기판(110)에 대한 플라즈마 손상을 수리하도록 한다.
다음에 유전체층(128)은 트렌치(120)를 충진하고 질화물층(114)을 커버하기 위하여 열산화물(122) 위에 증착된다. 이 유전체층(128)은 종종 트렌치 산화물 충진층이라 한다. 일반적인 유전체층은 실리콘 이산화물 또는 실리케이트 유리와 같 은 산화물 물질로 형성된다. 도 1e에 도시된 바와 같이, 증착된 유전체층(128)의 표면 프로파일은 계단이 형성되어 있으며 일반적으로 트렌치된 기판(110)의 형상과 유사하다. 표면 프로파일은 넓은 트렌치를 가진 개방 필드 보다 조밀한 간격의 좁은 트렌치를 가진 조밀한 필드에서 더 균일하다. 도 1e에 도시된 바와 같이, 스텝 높이(130)는 조밀한 필드(134)와 개방 필드(132) 사이의 유전체 프로파일에 형성된다. 스텝 높이(130) 때문에, 유전체층(128)을 평탄화하기 위하여 유전체층 증착 단계 후에 CMP를 직접 적용하는 것은 바람직하지 않은데, 이는 개방 필드(132)에서의 디싱(dishing) 현상이 CMP에 의하여 발생되기 때문이다(도 1h에 도시된 바와 같이). 대신, 도 1f에 도시된 바와 같이 더 평탄한 표면을 갖도록 리버스(reverse) 마스크 및 에칭 처리가 여분 산화물을 에칭하는데 이용된다. 이러한 처리는 일반적으로 포토레지스트 증착, 리버스 마스킹, 경화, 에칭된 포토레지스트 제거, 에치백 및 나머지 포토레지스트 제거 단계들을 포함한다. 다음에 CMP 처리가 도 1f의 구조에 적용되어 도 1g에 도시된 바와 같이 충진된 기판(110)의 표면이 전체적으로 평탄화된다. 스텝 높이 효과에 의해 요구되는 리버스 마스크 및 에칭 처리는 평탄화 처리에 상당한 비용과 복잡성을 부가한다(예를 들어, 추가된 관련 리소그래피 단계 때문에).
상기 설명으로부터, 추가의 리소그래피 단계(이는 비싼 장비를 필요로 함)를 포함하여 다수의 단계가 STI를 제공하기 위하여 요구된다. 그러나 경제적이고 효율적인 제조 공정을 제공하도록 단계들의 수( 및 관련 장비의 수, 특히 비싼 렌즈들을 필요로 하는 포토리소그래피 장치)를 감소시키고 개선된 결과를 얻는 것이 바람직하다. 예를 들어, 개선된 결과를 얻는 하나의 방법은 자기 평탄화되는 고품질 트렌치 산화물 충진층을 저비용으로 제공하는 것이다.
도 1e에 도시된 바와 같이 트렌치 산화물 충진층에 대해 갭충진 유전체(128)와 같은 유전체층을 증착하는 다수의 방법이 공지되어 있다. 이들 중 하나는 실리케이트 유리와 같은 유전체 막을 증착하기 위하여 O3(오존) 및 TEOS(tetraethylorthosilicate)를 이용한다. 증착된 상기와 같은 막을 일반적으로 "O3/TEOS 막"이라고 한다. O3/TEOS 공정은 O3/TEOS 비가 증가함에 따라 증가하는 표면 민감성을 가진다. 표면 민감성 때문에, 유전체 증착 속도는 하부층의 물질의 성질에 따라 변한다.
O3/TEOS 막 증착 전에 표면 비민감성 배리어층을 증착함으로써 표면 민감성을 감소시키는 것이 공지되어 있다. 예를 들어, 하나의 공지된 공정은 플라즈마 강화 TEOS(PETEOS) 증착에 이어, 표면 처리 및 얇은 갭 TEOS층을 포함한다. 이 공정은 바람직하지 않게 추가 공정 단계들을 필요로 한다. 다른 공지된 방법은 O3/TEOS 비를 감소시킴으로써 표면 민감성을 감소시키는 것이다. 그러나 O3/TEOS 비 감소는 바람직하지 않게 보다 다공성인 유전체 막을 야기시킨다. 이는 특히 유전체 막이 절연 목적으로 이용될 때 문제가 된다. 이를 해결하기 위한 한 방법은 처리 온도를 약 500℃ 이상으로 상승시키는 것이지만, 처리 온도 상승은 바람직하지 못할 때가 있다. 선택적으로, 트렌치 산화물 충진층 및 중간 PETEOS층의 증착 후에 추가의 어닐링 공정이 트렌치 산화물 충진층의 밀도를 높이기 위하여 이용되었다. 그러나 이 방법은 여분 단계를 수행하여야 한다.
표면 민감성을 감소시키는 대신, 트렌치된 실리콘 기판에 대한 갭 충진을 수행하기 위해 O3/TEOS 막의 증착 속도 관계를 이용하며, 여기서 트렌치의 측벽은 열산화물 스페이서로 커버된다. 대기압 CVD(APCVD) O3/TEOS 증착 및 5%의 오존 농도를 이용하면, 측면 스페이서보다 바닥 실리콘 상에서의 빠른 막 성장이 보이드 형성을 방지하여 보이드 없는 갭충진을 달성할 수 있다. O3/TEOS 및 O3-octamethylcyclotetrasiloxane(OMTC)과 같은 유사한 물질의 표면 민감성의 장점을 취하여 평탄화된 금속간 유전체(IMD)를 형성할 가능성이 조사되었다. 연구원들은 평면성을 얻기 위하여 상이한 증착 속도를 제어하는 것이 곤란하다고 했다. 예를 들어, 알루미늄 금속 라인의 에지에서, 알루미늄 및 알루미늄 측벽 상부의 TiN ARC층상의 O3/TEOS의 상이한 증착 속도로 인해 심각한 상승부가 야기된다는 것이 관측되었다. 이들 연구원들의 일부는 O3-OMTC를 이용하여 포스포러스 글라스(PSG) 레벨 상에 형성된 알루미늄 상호접속부상에 SiO2 층을 증착하는 데 대하여 보다 만족스러운 평탄화 결과를 보고했다.
상기와 같은 관점에서, 표면 민감성 유전체층을 증착함으로써 평탄화를 얻으려는 시도는 항상 성공적 이지는 못하였다. 또한, 본 발명자는 이들 방법들이 원하는 품질의 유전체층을 생성하지 못한다는 것을 발견했다.
따라서 우수한 얕은 트렌치 절연을 위하여 고품질 트렌치 산화물 충진층의 효율적이고 경제적인 자기 평탄화 증착 방법이 요구된다. O3/TEOS 막 등의 유전체 물질에 따라 증착 속도를 효율적으로 이용하는 개선된 방법이 바람직하다.
본 발명의 목적은 우수한 얕은 트렌치 절연을 위하여 고품질 트렌치 산화물 충진층의 효율적이고 경제적인 자기 평탄화 증착 방법을 제공하며, O3/TEOS 막 등의 유전체 물질에 따라 증착 속도를 효율적으로 이용하는 개선된 방법을 제공하는 것이다.
본 발명의 특정 실시예는 표면 민감성 유전체 물질을 이용하여 자기 평탄화된 고품질 트렌치 충진층을 형성함으로써 얕은 트렌치 절연을 제공하는 효율적인 방법을 제공한다. 이는 실리콘 트렌치 상에 유전체 물질을 증착하고 트렌치 충진층을 증착한 후에 산화성 어닐링에 의하여 트렌치 표면에서 열산화물을 성장시키는 방법에 의하여 달성된다. 이런 방식에서, 종래 방법을 이용할 때 형성되는 결함이 상당히 감소될 수 있다. 선택적인 트렌치 세척 단계는 증착 전에 이용되어 트렌치 충진층의 품질을 개선시키고 디바이스의 전기적 특성을 개선시킬 수 있다.
본 발명의 일 실시예는 상부 부분 사이에 형성되며 트렌치 바닥과 트렌치 벽을 가지는 실리콘 트렌치를 포함하는 실리콘 기판상에 유전체층을 형성하는 방법에 관한 것이다. 기판은 기판 처리 챔버에 배치된다. 이 방법은 기판의 상이한 레벨로 상이하게 구성된 표면상에 유전체층에 따른 증착 속도를 제공하는 전구체를 이용한다. 상이한 레벨로 상이하게 구성된 표면은 트렌치 바닥 및 상부 부분의 물질을 포함한다. 이 방법은 전구체, 바람직하게 TEOS를 기판 처리 챔버에 유입시키는 단계 및 기판상에 유전체층을 증착시키기 위하여 전구체와 반응하도록 기판 처리 챔버에 오존을 유입시키는 단계를 포함한다. 오존과 전구체 사이의 오존/전구체 비는 실질적으로 유전체층이 평면 유전체 표면을 전개할 때까지 상이하게 구성된 표면상에 유전체층의 증착 속도를 조정하기 위하여 조절된다.
다른 실시예에 따르면, 기판 처리 시스템은 처리 챔버를 한정하는 하우징을 포함한다. 상부 부분들 사이에 형성되며 트렌치 바닥과 트렌치 벽을 가지는 실리콘 트렌치를 포함하는 실리콘 기판을 홀딩하기 위하여 처리 챔버 내에 기판 홀더가 배치된다. 시스템은 처리 챔버에 처리 가스를 유입시키는 가스 전달 시스템 및 가스 전달 시스템을 제어하는 제어기를 더 포함한다. 제어기 동작을 지시하기 위하여 컴퓨터 판독가능 프로그램이 내장형 컴퓨터-판독가능 매체를 포함하는 메모리가 제어기에 연결된다. 컴퓨터 판독가능 프로그램은 오존과 전구체를 포함하는 처리 가스를 처리 챔버에 유입시켜 실리콘 기판상에 유전체층을 형성하기 위하여 가스 전달 시스템을 제어하는 명령 세트를 포함한다. 전구체는 실리콘 기판의 트렌치 바닥 및 상부 부분 상의 물질을 포함하는 다른 레벨에서 다르게 구성된 표면상에 유전체층에 따른 증착 속도를 제공하고 유전체층이 평면 유전체 표면을 전개할 때까지 오존과 전구체 사이의 오존/전구체를 조절하도록 한다.
다른 실시예는 그 상부에 트렌치 표면과 트렌치 충진 물질이 증착된 트렌치를 포함하는 기판을 처리하는 방법에 관한 것이다. 기판은 기판 처리 챔버에 배치 된다. 이 방법은 기판 처리 챔버에 산소 함유 가스를 제공하는 단계 및 기판을 가열하는 단계를 포함하여 실질적으로 동시에 트렌치 충진 물질의 밀도를 높이고 트렌치 표면에서 열산화물을 형성하도록 한다.
다른 실시예에 따르면, 기판 처리 시스템은 처리 챔버를 한정하는 하우징을 포함한다. 트렌치 표면과 트렌치 충진 물질이 증착된 트렌치를 포함하는 기판을 홀딩하기 위하여 처리 챔버 내에 기판 홀더가 배치된다. 이 시스템은 기판 처리 챔버에 처리 가스를 유입시키는 가스 전달 시스템, 기판을 가열하는 히터 및 가스 전달 시스템과 히터를 제어하는 제어기를 포함한다. 제어기의 동작을 지시하기 위하여 컴퓨터 판독가능 프로그램이 내장된 컴퓨터 판독가능 매체를 포함하는 메모리가 제어기에 연결된다. 컴퓨터 판독가능 프로그램은 처리 챔버에 산소 함유 가스를 유입시키도록 가스 전달 시스템을 제어하고 실질적으로 동시에 유전체층이 밀도를 높이고 트렌치 표면에서 열산화물을 형성하기 위해 기판을 가열하도록 히터를 제어하는 명령 세트를 포함한다.
다른 실시예에 따르면, 기판 상에 트렌치 절연 구조를 형성하는 방법은 CVD 반사방지 코팅(CVD ARC)을 기판에 부착하고 접촉시키는 단계를 포함한다. 포토레지스트는 CVD 반사방지 코팅에 형성된다. 포토레지스트의 일부는 트렌치가 형성되는 위치를 한정하도록 광에 노출된다. 포토레지스트는 상기 위치에서 제거된다. 이 방법은 상기 위치에서 트렌치를 형성하도록 상기 위치에서 CVD 반사방지 코팅 및 기판 깊이를 관통하여 에칭하는 단계를 포함한다.
이하 첨부된 도면을 참조로 본 발명을 설명한다.
I. 얕은 트렌치 집적화에서의 유전체층의 자기 평탄화 증착
본 발명의 특정 실시예를 예시적으로 STI 집적화를 이용하여 개시한다. 본 발명의 여러 실시예의 장점들은 도 1a-1h에 도시된 바와 같이 종래기술상의 방법과의 비교에 의해 쉽게 알 수 있다. 특히, 본 발명은 유전체층의 품질을 희생하지 않고도 유전체 트렌치 충진층의 자기 평탄화 증착을 제공함으로써 더욱 효과적인 얕은 트렌치 절연부의 집적화를 제공한다. 한편, 본 발명의 범위가 반드시 STI 집적화에 한정될 필요는 없다.
A. 트렌치 형성
도 2a 및 2b는 통상 실리콘으로 제조되는 기판상에 트렌치를 형성하는 여러 방법을 도시하고 있다. 도 2a에서, 첫 번째 단계(210)는 CVD 반사 방지 코팅(CVD ARC)을 실리콘 기판상에 직접 인가하는 것이다. 특히, CVD ARC의 사용은 STI 집적화에 통상 사용되는 패드산화물 및 질화물층을 불필요하게 한다. 통상적으로 사용되는 유기 스핀온 BARC와 달리, CVD ARC는 통상적으로 예를 들어 실리콘 질화물, 실리콘 산질화물, 또는 실리콘 탄화물을 포함하는 무기 물질이다. CVD 시스템에서 처리 가스들의 화학 반응을 촉진함으로써 CVD ARC가 증착된다. 예를 들어, 실리콘 함유 가스(예를 들어, 실란 또는 TEOS), 질소 함유 가스, 및/또는 산소 함유 가스의 처리 가스들을 플라즈마 강화 CVD(PECVD)에 도입함으로써 실리콘, 질소 및/또는 산소를 포함하는 CVD ARC(유전체 ARC 또는 DARC라고 알려져 있음)가 증착된다. 질소와 산소 공급을 위해 일산화질소(N2O)가 사용될 수 있으며, 다른 산소 및 질소 소스도 물론 사용될 수 있다. 프로세스의 증착 속도와 막 두께를 제어하고 프로세스를 안정화시키기 위해 통상 헬륨 또는 아르곤과 같은 불활성 가스가 사용된다. 적절한 CVD장치의 예가 Zhao 등의 미국특허제5,558,717호, "CVD PROCESSING CHAMBER"에 개시되어 있다. 증착된 CVD ARC의 원하는 광학적 특성(굴절률과 흡수율)을 가진 막 조성을 얻기 위해 가스 비가 조절될 수 있다. LPCVD가 플라즈마 강화 프로세스가 아니라 열 프로세스이기 때문에, LPCVD 실리콘의 막 조성이 변화될 수 없다는 점에서, CVD ARC 실리콘 질화물층은 통상적인 LPCVD 실리콘 질화물층과 다르다. CVD ARC를 증착하는데 사용될 수 있는 몇 가지 기술이 David Cheung, Joe Feng, Judy H. Huang, 및 Wai-Fan Yau가 발명한 미국특허출원 제08/672,888호, "METHOD AND APPARATUS FOR DEPOSITING ANTIREFLECTIVE COATING"; Judy H. Huang, Wai-Fan Yau, David Cheung 및 Chan-Lon Yang이 발명한 미국특허출원 제 08/852,787호, "METHOD AND APPARATUS FOR DEPOSITING AN ETCH STOP LAYER"; 및 SPIE Proceedings(Optical/Laser Microlithography V), Volume 1674, pages 362-375(1992)에 기재된 Tohru Ogawa, Mitsunori Kimura, Yoichi Tomo, 및 Toshiro Tsumori에 의한 "Novel ARC Optimization Methodology for KrF Excimer Laser Lithography at Low K1 Factor"에 개시되어 있다. 두 출원은 본 발명의 양수인인 Applied Materials, Inc.에 양도되었다. 이들 은 본 명세서에서 참고문헌으로 인용된다.
일 실시예에서, DARC의 증착을 위해 실란(SiH4)과 N2O의 원하는 비가 선택된 다. 또한, 증착된 DARC의 광학적 및 화학적 성질을 제어하기 위해 N2와 NH3이 도입된다. 예를 들어 저온 상태와 같이 SiH4와 N2O가 DARC 특성에 영향을 미치지 않거나 최소한의 영향만을 미치는 프로세스 체계에서는 N2와 NH3의 영향이 특히 지배적이다. 프로세스에 NH3과 N2를 첨가하는 것은 또한 막의 조성을 변화시켜 굴절률과 흡수율을 더욱 자유자재로 미세하게 조절할 수 있도록 해준다. 또한, 프로세스에 헬륨이 사용될 수 있으며, 헬륨은 아르곤보다 더 비용 효율적이다. 헬륨은 또한 증착된 DARC층의 스트레스 제어를 향상시킨다. 막이 팽팽해지면 증착 후에 기판에서 벗겨질 수 있는데, 헬륨은 막이 너무 팽팽해지는 것을 방지한다.
CVD ARC는 BARC와 마찬가지로 포토리소그래피 동안 기판으로부터 반사되는 빛을 흡수하는 능력을 가지고 있다(도 1b 참조). 또한, CVD ARC는 반사특성이 있어서 기판으로부터 반사되는 빛과 위상이 다른 빛을 반사하여 두 빛이 서로 상쇄되게 하는데, 이를 위상 시프트 상쇄라 한다.
CVD ARC는 또한 CMP를 위한 에칭스톱으로 작용하는 중요한 부가적 능력이 있어서, 상기한 바와 같이 LPCVD 질화물층을 제거할 수 있도록 한다(도 1g 참조). 또한, LPCVD 질화물과 달리, CVD ARC는 실리콘 기판상에 직접 인가될 수 있다. 실리콘 기판과 LPCVD 질화물층 사이의 스트레스 전이를 완화시키기 위한 패드산화물층(도 1a)이 더 이상 필요하게 않게 된다. 따라서, 하나의 CVD ARC층이 패드산화물, LPCVD 질화물, BARC를 대체할 수 있게 되어, 간단한 구조로 STI용 기판을 준비하는 보다 효과적인 방법을 제공할 수 있게 된다. CVD ARC는 포토리소그래피 및 CMP 양자를 목적으로 작용하며, 또한 산소 확산에 대한 훌륭한 장벽이 된다.
다시 도 2a에서, 단계(212)에서 CVD ARC 상에 포토레지스트가 생성된다. 특정 실시예에 따르면, 포토레지스트가 노광되어 트렌치가 형성될 트렌치 위치가 한정되며(단계 214), 이어서 상기 트렌치 위치에서 노광된 포토레지스트가 벗겨진다(단계 216). CVD ARC와 실리콘 기판을 에칭하기 위해 에칭 단계(218)가 수행되어 트렌치 위치에 트렌치를 형성한다. 단계(220)에서, 남아있는 포토레지스트가 제거된다. 몇 몇 특정 실시예의 경우, 트렌치를 세척하고 오염물을 제거하기 위해 선택적 세정 단계(222)가 수행될 수 있다. 세정 단계(222)는 예를 들어 불화수소산(HF)을 포함하는 혼합물을 사용하여 통상적인 습식 에칭 단계를 이용할 수 있다. 결과적 구조가 도 3a에 도시되어 있으며, 이는 실리콘 기판(224) 상에 약 1000-2000Å의 두께로 형성된 CVD ARC(226)를 도시하고 있다. 형성된 트렌치(228)는 트렌치 바닥(230)과 트렌치 벽(232)을 가진다.
도 1a-1d에 도시된 통상적 방법에 비해, 도 2a의 방법은 트렌치의 표면상에 열산화물을 성장시키는 프로세스를 제거할 수 있으며, 열산화물 성장은 트렌치 형성 동안 실리콘 기판에 가해지는 플라즈마 손상을 복구하기 위해 사용되어 왔다. 본원 발명의 발명자들은 실리콘 트렌치 상에 O3/TEOS와 같은 표면 민감성 유전체 물질을 직접 증착시키는 것이, 하기하는 바와 같이, 형성되는 트렌치 충진층의 품질을 종래 방법에 비해 현저하게 향상시키며 세정 단계(222)는 막 품질을 더 향상시킬 수 있다는 것을 알게 되었다. 게다가, 발명자들은 하기하는 바와 같이 트렌치 충진층의 형성 후에, 트렌치 바닥과 트렌치 벽에 열산화물을 성장시키기 위해 산화성 어닐링 프로세스가 이용될 수 있음을 알게 되었다.
본 발명의 특정 실시예에 CVD ARC가 사용될 수 있다. 몇몇 특정 실시예에 따르면, CVD ARC 또는 종래의 BARC/질화물/산화물 조합이 사용되던 간에 하기하는 다음 프로세스 단계들이 사용될 수 있다. 따라서, 트렌치의 형성 이후, "에칭스톱"층이란 용어가 대신 사용될 것이며, 이는 LPCVD질화물층(패드질화물 경계를 가진) 또는 CVD ARC를 의미하는 것이다.
도 2b에 도시된 다른 실시예에서, 단계(250-260)는 도 2a의 단계(210-220)와 동일한 것으로서 포토레지스트를 사용하여 트렌치를 에칭하도록 수행된다. 그러나 포토레지스트가 제거된 후(단계 260), 2개의 부가적 프로세스 단계가 수행된다. 단계(262)에서, 도 1d에 도시된 것과 유사하게 트렌치 바닥과 트렌치 벽 상에 열산화물이 성장된다. 트렌치 바닥에서의 열산화물은 예를 들어 통상적인 플라즈마 에칭 절차를 사용하여 에칭된다(단계 264). 결과적 구조가 도 3b에 도시되어 있는데, 상부에 에칭 정지층(272)(CVD ARC 또는 LPCVD 질화물)이 형성된 실리콘 기판(270)과 트렌치 바닥(276)과 트렌치 벽(278)을 가진 트렌치(274)가 도시되어 있다. 트렌치 바닥(276)에서 열산화물을 제거한 후, 남은 열산화물(280)이 트렌치 벽(278)에 도시되어 있다. 이후, 오염물을 제거하기 위해 HF 혼합물 등을 사용한 통상적 습식 에칭 프로세스를 이용하여 세정 단계(266)가 유리하게 수행된다. 하기에 개시되는 바와 같이, 발명자들은 이 세정 단계(266)가 증착된 트렌치 충진층의 품질을 개선한다는 것을 발견하였다.
B. 트렌치 충진층의 증착
도 4에서, 트렌치된 기판(도 3a의 224 또는 도 3b의 270)이 준비되고, 단계(290)에서 처리 챔버(예를 들어, 도 8의 챔버(15))에 놓인다. 통상적으로 단계(292)에서 불활성 가스가 챔버로 유입되어서, 반응성 처리 가스가 도입되기 전에 챔버내의 압력이 안정화된다. 다음, 서로 상이하게 구성된 표면에 따른 표면 감도 및 성장 속도를 가진 전구체가 챔버로 도입된다(단계 294). 적절한 전구체는 예를 들어 TEOS이다. TEOS는 액체 전구체이기 때문에, 적절한 장치가 기포 어셈블리(bubbler assembly)에서 헬륨과 같은 운반 가스의 기포를 TEOS를 통해 발생시키거나 헬륨 또는 질소와 같은 캐리어 가스를 액체 분사 시스템으로 도입하여 TEOS를 기화시킴으로써 원하는 유속을 가진 처리 가스를 형성한다. 오존 가스가 챔버로 유입되어(단계 296) TEOS와 반응함으로써 기판상에 O3/TEOS 트렌치 충진층이 증착된다. O3/TEOS 층의 증착 속도는 에칭정지층(LPCVD 질화물 또는 CVD ARC)을 포함하는 기판 상부의 상부 표면에서보다 하부 트렌치 바닥(실리콘)에서 더 빠르다. 하부 및 상부 표면에서의 O3/TEOS 층의 상대적 증착 속도는 O3/TEOS층이 실질적인 자기 평탄화 유전체 표면을 형성할 때까지 O3/TEOS의 비를 조절함으로써 단계(298)에서 조정된다.
O3/TEOS 비는 O3 및/또는 TEOS의 유속을 조절함으로써 조절될 수 있다. 예를 들어, 소정의 O3/TEOS 비가 선택될 수 있으며 그 비를 얻기 위해 상대적 유속이 단 계(298)에서 조절될 수 있다. 평탄성을 얻기 위해서는 O3/TEOS 비를 최대화시켜 트렌치 바닥으로부터 증착을 가속하는 것이 유리하다. 바람직하게는 약 10:1 이상, 더욱 바람직하게는 약 10:1 내지 20:1의 O3/TEOS 비가 사용될 수 있다.
트렌치 충진층의 증착은 약 500℃ 이하의 상대적으로 낮은 온도에서 수행될 수 있다. 이는 알루미늄 물질을 포함하는 프로세싱 챔버에서 약 500℃ 이상의 고온에서 증착이 일어날 때 발생할 수 있는 바람직하지 않은 불화알루미늄 형성(예를 들어, 낮은 O3/TEOS 비에 대해 유전체막의 밀도를 높일 필요가 있을 경우) 및 불화알루미늄의 제거에 필요한 세정 시간의 연장을 유리하게 방지한다. 선택된 O3/TEOS 비에 대해 증착 프로세스를 최적화하기 위해 압력 및 유속과 같은 다른 프로세스 파라미터들도 조절될 수 있다. 10:1 내지 20:1의 O3/TEOS 비와 사용하기 위해서는 약 200 내지 700 Torr의 압력 범위가 바람직하다.
도 5a 및 5b는 각기 8a, 8b의 방법을 이용하여 준비된 도 3a, 3b의 기판(224, 270) 상에 각기 증착된 자기 평탄화 트렌치 충진 유전체층(300, 302)을 도시하고 있다. 아래에 더 상세히 설명하는 바와 같이, 본 방법은 트렌치 충진층의 자기 평탄화 증착을 제공할 뿐 아니라 이들 층들의 고품질을 보장한다.
C. 트렌치 충진층의 프로세싱
도 6에서, 단계(310, 312)는 트렌치들이 증착된 트렌치 충진층으로 충진된 후에 트렌치 표면에서 열산화물을 성장시키는데 사용될 수 있는 산화성 어닐링 프 로세스를 나타낸다. 이 프로세스가 여기 개시된 STI 집적화 이외의 범용성을 가지고는 있지만, 이러한 실시예는 선행(prior) 열산화물 성장(도 1d)이 고품질 트렌치 충진층(예를 들면, O3/TEOS)의 형성 및 도 2a의 방법으로 글로벌 평탄화를 보증하도록 제거되기 때문에 특히 유리하다. 이런 순차적 산화 어닐링은 트렌치 표면에 열산화물을 성장하게 할 뿐 아니라, 실질적으로 동시에 트렌치 충진층의 밀도를 높여, 품질을 보다 더 개선시킨다. 밀도가 높은 층은 특히 절연을 위하여 바람직하다. 산화 어닐링은 단계(310)에서 산소 함유 가스(분자 산소, 스팀, 및 산소를 가지는 임의의 전구체)로 기판을 처리하는 단계; 및 단계(312)에서 적당한 온도(예를 들어, 약 800℃ 이상)로 기판을 가열하는 단계에 의해 수행된다. 도 5a의 기판(224)이 산화 어닐링됨에 따라, 도 7에 도시된 바와 같이 열산화물(308)은 트렌치(228)의 표면을 따라 성장된다. 표면에 민감한 증착물이 증착됨에 따라 산화 어닐링은 트렌치(실리콘)상에서 보다 활성 영역(LPCVD 질화물 또는 CVD ARC)상에서 보다 많은 구멍을 가지기 때문에 CMP 처리를 개선하기 위하여 CMP 처리 후 이루어지는 것이 바람직하다. 막 밀도의 이런 차이는 CMP 속도가 트렌치에서보다 활성화 영역에서 높기 때문에 CMP 처리에 더 유리할 수 있다.
최종 단계(314)는 통상적으로 CMP에 의해 트렌치 충진 물질을 선택적으로 제거하고 평탄화하는 것이다. 이 단계는 LPCVD 질화물 또는 CVD ARC일 수 있는 에칭 정지 층 레벨 위에서 트렌치 충진 물질을 제거한다. 유전체 프로파일은 실질적으로 편평하기 때문에, 리버스 마스크 및 에칭 처리가 필요하지 않고 CMP 단계는 도 1f에 도시된 바와 같이 유전체 프로파일이 계단을 형성하는 경우보다 빠르게 완성될 수 있다. 이것은 처리 시간을 추가로 감소시키고 생산량을 증가시킨다.
Ⅱ. 예시적인 CVD 시스템
본 발명의 방법이 수행되는 한가지 적당한 CVD 장치는 도 8에 도시되고, 상기 도 8은 챔버 벽(15a) 및 챔버 리드(lid) 어셈블리(15b)를 포함하는 진공 또는 처리 챔버(15)를 구비한 CVD 시스템(10)의 수직 단면도이다. 챔버 벽(15a) 및 챔버 리드 어셈블리(15b)는 도 9 및 도 10에서 확장된 투시도로 도시된다.
CVD 시스템(10)은 처리 챔버내의 중심에 있는 가열된 받침대(12)상에 놓이는 기판(도시되지 않음)에 처리 가스를 분배하기 위한 가스 분배 분기관(11)을 포함한다. 처리 동안, 기판(예를 들어, 반도체 웨이퍼)은 받침대(12)의 편평한(또는 약간 볼록한) 표면(12a)상에 배치된다. 받침대는 분기관(11)에 밀접하게 인접하고, 하부 로딩/오프-로딩 위치(도시되지 않음) 및 상부 처리 위치(도 8에 도시됨) 사이에서 제어 가능하게 이동될 수 있다. 센터보드(도시되지 않음)는 웨이퍼의 위치상에 정보를 제공하기 위한 센서를 포함한다.
증착 및 캐리어 가스는 통상적으로 편평하고, 원형의 가스 분배 또는 면판(faceplate)(13a)의 관통 홀(13b)(도 10)을 통하여 챔버(15)로 도입된다. 특히, 증착 처리 가스는 주입 분기관(11)(도 8에서 화살표 40에 의해 지시됨), 통상적인 관통 블록커(blocker) 플레이트(42) 및 가스 분배 면판(13a)의 홀(13b)을 통하여 챔버로 흐른다.
분기관에 도달하기 전에, 증착 및 캐리어 가스는 가스 소스(7)로부터 가스 공급 라인(8)(도 8)을 통하여 혼합 시스템(9)으로 주입되고, 상기 혼합 시스템에서 가스들이 혼합되고 분기관(11)으로 보내진다. 일반적으로, 각각의 처리 가스에 대한 공급 라인은 (ⅰ) 챔버 쪽으로 처리가스의 흐름을 자동 또는 수동으로 차단하기 위하여 사용될 수 있는 몇몇 안전 차단 밸브(도시되지 않음), 및 (ⅱ) 공급 라인을 통하여 가스의 흐름을 측정하는 질량 흐름 제어기(또한 도시되지 않음)를 포함한다. 독성 가스(예를 들어, 오존 또는 할로겐화 가스)가 처리에 사용될 때, 몇몇 안전 차단 밸브는 통상적인 구조로 각각의 가스 공급 라인에 배치된다.
CVD 시스템(10)에서 수행된 증착 처리는 열 처리 또는 플라즈마 강화 처리일 수 있다. 플라즈마 강화 처리시, RF 전력 공급기(44)는 면판(13a) 및 받침대 사이의 실린더형 영역 내에서 플라즈마가 형성되도록 처리 가스 혼합물을 여기시키기 위하여 가스 분배 면판(13a)과 받침대 사이에 전력을 인가한다. (이 영역은 여기에서 "반응 영역"이라 불린다). 플라즈마의 성분은 받침대(12)상에서 지지되는 반도체 웨이퍼의 표면상에 원하는 막이 증착되도록 반응한다. RF 전력 공급기(44)는 진공 챔버(15)에 주입된 반응종의 분해를 향상시키기 위하여 13.56 ㎒의 높은 RF 주파수(RF1) 및 360㎒의 낮은 RF 주파수(RF2)의 전력을 통상적으로 공급하는 혼합 주파수 RF 전력 공급기이다. 열 처리시, RF 전력 공급기(44)는 사용되지 않고, 처리 가스 혼합물은 받침대(12)상에 지지된 반도체 웨이퍼 표면상에 원하는 막을 증착하기 위하여 열적으로 반응하며, 여기서 받침대는 반응에 대한 열 에너지를 제공하기 위하여 저항성 가열된다.
플라즈마 강화 증착 처리 동안, 플라즈마는 배출 통로(23) 및 차단 밸브(24) 를 둘러싸는 챔버 몸체(15a)의 벽을 포함하는 전체 처리 챔버(10)를 가열한다. 플라즈마가 턴온되지 않거나 또는 열적 증착 공정 동안, 뜨거운 액체가 상승된 온도로 챔버를 유지하도록 처리 챔버의 벽(15a)을 통해 순환된다. 챔버 벽(15a)을 가열하기 위하여 사용된 유체는 통상적으로 유체 형태, 즉, 물-기재 에틸렌 글리콜 또는 오일-기재 열 전달 유체이다. 이런 가열은 바람직하지 않은 반응 부산물의 응축물을 바람직하게 감소시키거나 제거하고 만약 부산물들이 냉각 진공 통로의 벽상에 응축되고 가스 흐름이 없는 동안 처리 챔버로 다시 이동되는 경우 공정을 손상시킬 수 있는 처리 가스 및 다른 오염물의 휘발성 생성물을 제거한다.
반응 부산물을 포함하며 층에 증착되지 않은 가스 혼합물의 나머지는 진공 펌프(도시되지 않음)에 의해 챔버로부터 배출된다. 특히, 가스는 반응 영역을 둘러싸는 환형의 슬롯 형상 오리피스(orifice)(16)를 통하여 환형 배출 플리넘(plenum)(17)으로 배출된다. 환형 슬롯(16) 및 플리넘(17)은 챔버의 실린더형 측벽(15a)(벽상의 상부 유전체 라이닝(19) 포함) 상부 및 실린더형 챔버 리드(20)의 하부 사이의 갭에 의해 형성된다. 360°원형 대칭 및 슬롯 오리피스(16) 및 플리넘(17)의 균일성은 웨이퍼 상에 균일한 막이 증착되도록 웨이퍼 상에 처리 가스의 균일한 흐름을 달성하는데 중요하다.
배출 플리넘(17)으로부터, 배출 플리넘(17)의 측방 연장부(21) 아래의 가스는 하향 연장하는 가스 통로(23)를 통해 뷰잉 포트(도시되지 않음)를 지나, 진공 차단 밸브(24)(그 몸체는 하부 챔버 벽(15a)과 일체적이다)를 통과하여, 포어라인(foreline)(도시되지 않음)을 통한 외부 진공 펌프(도시되지 않음)에 연결되는 배 출 출구(25)로 흐른다.
받침대(12)의 웨이퍼 지지 플래터(platter)(바람직하게 알루미늄, 세라믹, 또는 그것의 조합물)는 평행한 동심원 형태로 2개가 완전히 감기도록 구성된 내장형 단일 루프의 내장형 히터 엘리먼트를 사용하여 저항성 가열된다. 히터 엘리먼트의 외부 부분은 지지 플래터의 주변부에 인접하게 연장되고, 내부 부분은 보다 작은 반경을 가지는 동심원 경로상으로 연장된다. 히터 엘리먼트에 대한 와이어링은 받침대(12)의 스템(stem)을 관통한다.
통상적으로, 임의의 또는 모든 챔버 라이닝, 가스 입구 분기관 면판, 및 다양한 외부 반응기 하드웨어는 알루미늄, 양극산화된 알루미늄, 또는 세라믹 같은 물질로 만들어진다. CVD 장치의 예는 Zhao 등에 의한 "CVD 처리 챔버"가 명칭인 미국특허 5,558,717호에 기술된다. 5,558,717호 특허는 어플라이드 머티어리얼스, 인코포속도사에 양도되고, 여기에 참조로서 통합된다.
리프트 메카니즘 및 모터(도시되지 않음)는 웨이퍼가 챔버(10)의 측면에서 삽입/제거 개구부(26)를 통해 로보트 블레이드(도시되지 않음)에 의해 챔버의 몸체 안팎으로 이송됨에 따라 가열된 받침대 어셈블리(12) 및 그 웨이퍼 리프트 핀(12b)을 상승 및 하강시킨다. 모터는 처리 위치(14) 및 하부 웨이퍼 로딩 위치 사이에서 받침대(12)를 상하로 이동시킨다. 모터, 공급 라인(8)에 접속된 밸브 또는 흐름 제어기, 가스 전달 시스템, 스로틀 밸브, RF 전원(44), 및 챔버 및 기판 가열 시스템은 단지 몇 개만이 도시된 제어 라인(36) 상에서 시스템 제어기(34)(도 8)에 의해 제어된다. 제어기(34)는 제어기(34)의 제어 하에 적당한 모터에 의해 이동되는 스로틀 밸브 및 서셉터 같은 이동식 기계적 어셈블리의 위치를 결정하기 위하여 광학 센서로부터의 피드백에 의존한다.
바람직한 실시예에서, 시스템 제어기는 하드디스크 드라이브(메모리(38)), 플로피 디스크 드라이브 및 프로세서(37)를 포함한다. 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어기 보드를 포함한다. CVD 시스템(10)의 여러 부분은 보드, 카드 케이지, 및 접속기 치수 및 형태를 규정하는 Versa Modular European(VME) 표준에 따른다. VME 표준은 16 비트 데이터 버스 및 24 비트 어드레스 버스를 가지는 것으로서 버스 구조를 규정한다.
시스템 제어기(34)는 CVD 장치의 모든 활동을 제어한다. 시스템 제어기는 메모리(38)와 같이 컴퓨터 판독 가능 매체에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행한다. 바람직하게, 메모리(38)는 하드디스크 드라이브이지만, 메모리(38)는 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 타이밍, 가스의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서셉터 위치 및 특정 프로세스의 다른 파라미터를 나타내는 명령 세트를 포함한다. 예를 들어 플로피 디스크 또는 다른 응용 드라이브를 포함하는 다른 메모리 장치 내에 저장된 다른 컴퓨터 프로그램이 제어기(34)를 동작시키는데 사용될 수 있다.
사용자 및 제어기(34) 사이의 인터페이스는 하나 이상의 챔버를 포함하는 기판 처리 시스템의 시스템 모니터 및 CVD 장치(10)를 간략하게 도시하는 도 11에 도시된 CRT 모니터(50a) 및 라이트 펜(50b)을 경유한다. 바람직한 실시예에 있어서, 두 개의 모니터(50a)가 사용되는데, 두 개의 모니터중 하나는 운용자용으로서 클린룸 벽내에 장착되며 다른 하나는 서비스 기술자를 위해 벽 뒤에 배치된다. 모니터(50a)는 동시에 동일한 정보를 디스플레이하나, 단지 하나의 라이트 펜(50b)만이 사용될 수 있다. 라이트 펜(50b)의 팁에 있는 광 센서는 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 디스플레이 스크린의 지정된 영역을 터치한 다음 펜(50b) 상의 버튼을 누른다. 터치된 영역은 밝게 된 부분의 색을 변화시키거나, 새로운 메뉴 또는 스크린이 디스플레이되어 라이트 펜과 디스플레이 스크린 사이의 통신을 확인하도록 한다. 물론, 키보드, 마우스 또는 다른 지시 또는 통신 장치와 같은 다른 장치가 사용자가 제어기(34)와 통신할 수 있도록 라이트 펜(50b) 대신에 또는 추가로 사용될 수 있다.
박막을 증착하기 위한 방법은 제어기(34)에 의하여 수행되는 컴퓨터 프로그램 제품을 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래 텍스트 에디터를 이용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장 또는 수록된다. 상기 기입된 코드 텍스트가 고급 언어라면, 상기 코드는 컴파일링되고, 다음에 얻어진 컴파일링 코드는 미리 컴파일링된 WindowsTM 라이브러리 루틴의 목적 코드와 링크된다. 상기 링크된 컴파일 목적 코드를 실행하기 위하여, 시스템 사용자는 상기 목적 코드를 호출하여 컴퓨터 시스템이 상기 코드를 메모리에 로딩하도록 한다. 그리고 상기 CPU가 코드를 판독하고 실행하여 프로그램에서 식별된 작업을 수행한다.
도 12는 특별한 실시예에 따른 시스템 제어 소프트웨어 컴퓨터 프로그램(70)의 계층적 제어 구조의 블록도를 도시한다. 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터상에 표시된 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택 서브루틴(73)에 기입한다. 기입된 처리를 수행하는데 필요한 소정 처리 파라미터 세트인 상기 처리 세트는 소정 세트 번호에 의해 식별된다. 처리 선택 서브루틴(73)은 (ⅰ) 요구된 처리 챔버, 및 (ⅱ) 상기 요구된 처리를 수행하기 위해 처리 챔버를 동작하는데 필요한 요구된 파라미터 세트를 식별한다. 특정 처리를 수행하기 위한 상기 처리 파라미터는 예를 들어 처리 가스 조성과 유속, 온도, 압력, RF 전력 레벨과 저주파 RF 주파수 같은 플라즈마 조건, 냉각 가스 압력, 및 챔버 벽 온도와 같은 조건 처리에 관련한다. 상기 처리 파라미터는 사용자에게 사용법의 형태로 제공되고 라이트펜/CRT 모니터 인터페이스를 사용하여 기입될 수 있다.
상기 처리를 모니터링하기 위한 신호는 상기 시스템 제어기의 아날로그 입력 보드와 디지털 입력 보드에 의해 제공되며, 상기 처리를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 출력 보드와 디지털 출력 보드 상에 출력된다.
처리 시퀀서 서브루틴(75)은 상기 식별된 처리 챔버와 처리 선택 서브루틴(73)으로부터의 처리 파라미터 세트를 수용하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호와 처리 챔버 번호 를 기입하거나, 또는 단일 사용자가 다중 처리 세트 번호와 처리 챔버 번호를 기입할 수 있어 시퀀서 서브루틴(75)은 원하는 시퀀스로 선택된 처리를 스케줄링하도록 동작한다. 바람직하게, 시퀀서 서브루틴(75)은 (ⅰ) 처리 챔버의 동작을 모니터링하여 챔버가 사용되고 있는지를 결정하고, (ⅱ) 사용되고 있는 챔버 내에서 어떤 처리가 실행되고 있는가를 결정하며, (ⅲ) 처리 챔버의 유용성과 수행될 처리의 타입에 기초한 원하는 처리를 실행하는 단계를 수행하는 프로그램 코드를 포함한다. 상기 처리 챔버를 모니터링하는 일반적 방법, 이를테면 폴링(polling)이 사용될 수 있다. 수행될 수 있는 처리를 스케줄링할 때, 시퀀서 서브루틴(75)은 선택된 처리에 대해 원하는 조건, 또는 각각의 특별한 사용자 기입 요구서의 "수명", 또는 스케줄링 우선 순위를 결정하기 위해 시스템 프로그래머가 포함시키기를 요구하는 어떤 다른 관련 인자와 비교하여 사용되어지는 현재 처리 챔버의 조건을 고려한다.
시퀀서 서브루틴(75)이 다음에 실행될 처리 챔버와 처리 세트 조합을 결정하면, 상기 시퀀서 서브루틴(75)은 특별한 처리 세트 파라미터를 시퀀서 서브루틴(75)에 의해 결정된 처리 세트에 따라 처리 챔버(15)에서의 다중 프로세싱 작업을 제어하는 챔버 매니저 서브루틴(77a-c)에 전달함으로써 상기 처리 세트의 실행을 시작한다. 예를 들면, 상기 챔버 매니저 서브루틴(77a)은 스퍼터링 및 처리 챔버(15)의 CVD 동작을 제어하기 위한 프로그램 코드를 포함한다. 또한, 챔버 매니저 서브루틴(77)은 상기 선택된 처리 세트를 실행하는데 필요한 여러 챔버 부품의 동작을 제어하는 각종 챔버 부품 서브루틴의 실행을 제어한다. 챔버 부품 서브루틴의 예는 기판 위치 설정 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87), 및 플라즈마 제어 서브루틴(90)이다. 당업자는 어떤 처리가 처리 챔버(15)에서 실행될 수 있는가에 따라 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 알 수 있을 것이다. 동작중, 챔버 매니저 서브루틴(77a)은 실행되는 특별한 처리에 따라 처리 부품 서브루틴을 선택적으로 스케줄링하거나 호출한다. 챔버 매니저 서브루틴(77a)은 시퀀서 서브루틴(75)이 다음에 실행될 수 있는 처리 챔버(15)와 처리 세트를 스케줄링하는 것과 매우 유사하게 처리 부품 서브루틴을 스케줄링한다. 전형적으로, 챔버 매니저 서브루틴(77a)은 여러 챔버 부품을 모니터링하고, 실행될 처리 세트를 위한 처리 파라미터에 기초하여 동작하는데 필요한 부품을 결정하며, 상기 모니터링과 결정 단계에 응답하여 챔버 부품 서브루틴의 실행을 시작하는 단계를 포함한다.
이제 특정 챔버 부품 서브루틴의 동작이 도 12를 참조하여 기술될 것이다. 기판 위치 설정 서브루틴(80)은 기판을 지지대(12) 상에 장착하고, 선택적으로 기판과 가스 분배 분기관(11) 사이의 공간을 제어하기 위하여 챔버(15)의 요구된 높이로 기판을 리프팅하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 처리 챔버(15)내에 장착될 때, 지지대(12)는 상기 기판을 수용하기 위하여 하강되고, 다음에 지지대(12)는 챔버에서 상기 요구된 높이까지 상승되어 CVD 처리 중에 가스 분배 분기관(11)으로부터 제 1거리 및 간격에 기판을 유지하도록 한다. 동작중, 기판 위치설정 서브루틴(80)은 챔버 매니저 서브루틴(77a)으로부터 전달되는 지지 높이에 관련된 처리 세트 파라미터에 응답하여 지지대(12)의 이동을 제어한다.
처리 가스 제어 서브루틴(83)은 처리 가스 조성과 유속을 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(83)은 안전 차단 밸브의 개방/밀폐 위치를 제어하며, 또한 원하는 가스 유속을 달성하기 위하여 유속 제어기를 램핑 업/다운한다. 처리 가스 제어 서브루틴(83)은 모든 챔버 부품 서브루틴이 그렇듯이 상기 챔버 매니저 서브루틴(77a)에 의해 호출되며, 상기 챔버 매니저 서브루틴 처리 파라미터로부터 바람직한 가스 유속에 관련된 서브루틴 처리 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(83)은 상기 가스 공급 라인을 개방하고 반복적으로 (ⅰ) 필요한 매스 흐름 제어기를 판독하고, (ⅱ) 챔버 매니저 서브루틴(77a)으로부터 수신된 요구된 유속와 판독값을 비교하며, (ⅲ) 필요에 따라 가스 공급 라인의 유속을 조절함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(83)은 위험한 속도의 가스 유속을 모니터링하며, 위험한 조건이 검출될 때 안전 차단 밸브를 작동시키기 위한 단계를 포함한다.
일부 처리에서, 헬륨 또는 아르곤 같은 불활성 가스가 반응 처리 가스가 유입되기 이전에 챔버의 압력을 안정화하기 위하여 챔버(15)내로 흐르게 된다. 이런 처리를 위하여, 처리 가스 제어 서브루틴(83)은 챔버의 압력을 안정화하기 위해 필요한 시간의 양 동안 챔버(15)내로 불활성 가스를 흐르게 하는 단계를 포함하도록 프로그램되고, 다음에 이미 기술된 단계들이 수행될 것이다. 추가로, 처리 가스가 예를 들면 TEOS인 액체 전구체로부터 증기화될 때, 처리 가스 제어 서브루틴(83)은 버블러 어셈블리내 액체 전구체를 통해 헬륨과 같은 전달 가스를 버블링하는 단계 또는 액체 주입 장치에 헬륨 또는 질소와 같은 캐리어 가스를 유입하는 단계를 포 함하도록 기록된다. 버블러가 이러한 형태의 처리에 사용될 때, 처리가스 제어 서브루틴(83)은 원하는 처리 가스 유속을 달성하기 위해 전달 가스의 유속, 버블러내 압력 및 버블러 온도를 조정한다. 상술된 바와 같이, 원하는 처리 가스 유속은 처리 파라미터로서 처리 가스 제어 서브루틴(83)에 전달된다. 더욱이, 처리 가스 제어 서브루틴(83)은 주어진 처리 가스 유속에 필요한 값을 가지는 저장된 테이블을 액세싱함으로써 원하는 처리 가스 유속에 대한 필요 전달 가스 유속, 버블러 압력 및 버블러 온도를 얻는 단계를 포함한다. 일단 필요 값이 얻어지면, 전달 가스 유속, 버블러 압력 및 버블로 온도가 모니터링되고, 필요 값과 비교되어 그에 따라 조정된다.
상기 압력 제어 서브루틴(85)은 챔버의 배기 시스템내의 스로틀 밸브의 개구 크기를 조정함으로써 상기 챔버(15)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 스로틀 밸브의 개구 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배기 시스템에 대한 펌핑 설정 압력에 관련한 요구된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(85)이 호출될 때, 상기 요구된 또는 목표 압력 레벨은 챔버 매니저 서브루틴(77a)으로부터 파라미터로서 수신된다. 상기 압력 제어 서브루틴(85)은 상기 챔버에 접속된 하나 이상의 일반적 압력계를 판독함으로써 챔버(15)의 압력을 측정하고, 상기 측정값을 목표 압력과 비교하고, 상기 목표 압력과 대응하는 저장된 압력 테이블로부터 PID값(비례값, 적분값 및 미분값)을 얻으며, 상기 압력 테이블로부터 얻어진 상기 PID값에 따라 상기 스로틀 값을 조절한다. 선택적으로, 압력 제어 서브루틴(85)은 상기 요구된 레벨까지 챔버(15) 의 펌핑 능력을 조정하기 위해 특별한 개구 크기로 상기 스로틀 밸브를 개방 또는 밀폐하도록 기입될 수 있다.
히터 제어 서브루틴(87)은 기판(20)을 가열하는데 사용되는 각각의 가열 유니트에 대한 전류를 제어하기 위한 프로그램 코드를 포함한다. 상기 히터 제어 서브루틴(87)은 또한 상기 챔버 매니저 서브루틴(77a)에 의해 호출되고 목표 또는 설정 온도 파라미터를 수신한다. 상기 히터 제어 서브루틴(87)은 지지대(12)에 배치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 상기 측정된 온도를 설정 온도와 비교하며, 상기 설정 온도를 얻기 위하여 상기 가열 유니트에 인가되는 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블의 대응하는 온도를 룩업하거나, 또는 4차 다항식을 사용하여 상기 온도를 계산함으로써 상기 측정된 전압으로부터 얻어진다. 내장된 루프가 지지대(12)를 가열하는데 사용될 때, 히터 제어 서브루틴(87)은 점차 상기 루프에 인가되는 전압의 램핑 업/다운을 제어한다. 부가적으로, 내장 결함-안전 모드가 처리 안전 컴플라이언스를 검출하도록 포함될 수 있으며, 처리 챔버(15)가 적정히 설정되지 않는다면 상기 가열 유니트의 동작을 차단할 수 있다.
플라즈마 제어 서브루틴(90)은 챔버(15)내 프로세스 전극에 인가된 낮은 및 높은 주파수 RF 전력 레벨을 설정하고 사용된 낮은 주파수 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 상술된 챔버 컴포넌트 서브루틴과 유사하게, 플라즈마 제어 서브루틴(90)은 챔버 매니저 서브루틴(77a)에 의해 호출된다.
상기 리액터에 관한 설명은 예시를 위한 것이고, 전자 싸이클론 공진(ECR) 플라즈마 CVD 장치, 인덕션 결합 RF 고밀도 플라즈마 CVD 장치 등과 같은 다른 플라즈마 CVD 장치가 사용될 수 있다. 추가로, 지지대 설계, 히터 설계, RF 전력 주파수, RF 전력 조건의 위치 및 다른 것들내 변화와 같은 상기 장치에 대한 변경이 가능하다. 예를 들면, 웨이퍼는 서셉터에 의해 지지되고 석영 램프에 의해 가열된다. 본 발명의 이러한 층 및 형성 방법은 특정 장치 또는 특정 플라즈마 여기 방법에 한정되지 않는다.
III. 실험 및 테스트 결과
이하의 실험예는 상기한 다른 방법이 증착 이전에 기판내 트렌치를 형성하는데 사용될 때 유전체 막 품질내 본 발명의 장점을 예시하는데 사용된다. 예는 CVD 챔버를 사용하고, 특히 미국 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼스사에 의해 제조 판매되는 "DxZ" 또는 "CxZ" 챔버(200-mm 기판에 대해 사이징되고 대략 7리터의 부피를 가진)이다. LPCVD 실리콘 질화물 에칭 정지층과 대략 0.25㎛의 폭을 가진 트렌치를 가진 실리콘 기판이 사용된다. 증착은 서브-기압 CVD(SACVD)에 의해 수행된다. 도 4의 유전체 증착 과정에 대한 전형적인 프로세스 파라미터는 대략 200-700Torr의 압력, 대략 300-500℃의 히터 온도, 대략 5,000-10,000sccm(분당 표준 제곱 센티미터)의 불활성 가스(He 또는 N2)유속, 대략 4,000-8,000sccm의 오존 유속, 대략 200-500mgm(분당 밀리그램)의 TEOS 유속 및 대략 12-20중량%의 오존 농도를 포함한다.
도 13a-16b에 도시된 예에서는, 동일한 공정 파라미터가 사용된다: 약 450Torr 압력, 약 400℃ 히터 온도, 약 3000sccm의 헬륨 유속, 약 5000sccm의 오존 유속, 약 320mgm의 TEOS 유속, 및 약 12.5wt%의 오존 농도. 이는 약 13:1의 O3/TEOS 비를 제공한다. 증착비는 실리콘에서는 약 3000Å/min, LPCVD 실리콘 질화물에서는 약 1000Å/min, 열산화물에서는 약 1050Å/min으로 관찰된다. 트렌치 깊이는 약 0.55㎛이고 폭이 0.25㎛(도 13a-16a)와 1㎛(도 13b-16b)인 2개의 트렌치가 사용된다.
도 13a 및 13b는 LPCVD 실리콘 질화물 에칭 정지층(354)을 갖춘 트렌치된 기판(352) 위로 형성되는 O3/TEOS층(350)의 SEM 단면을 본 것이다. 트렌치는 트렌치 바닥부 및 트렌치 벽에 열산화막을 성장시킨후 트렌치 바닥부로부터 열산화물을 에칭하는 종래 방법을 사용하여 준비된다. 트렌치 충진층(350)은 평면에 가까운 표면 프로파일을 갖지만, 트렌치 표면, 특히 트렌치 벽을 따라서는 분리부(separation) 형태의 결함이 관찰된다. 원치 않는 구멍(porosity)을 나타내는 보이드가 개구부에서 트렌치를 위한 트렌치 벽 부근의 트렌치 충진층(350)에서 관찰된다(도 13a). 이러한 결함은 트렌치 바닥부에서 실리콘 상에 그리고 트렌치 벽에서 열산화물 상에 성장된 유전막 사이에 있는 고유의 응력차로 인한 것으로 여겨진다. 특히 절연 목적을 위해서 상기 결함은 바람직하지 못하며 문제시된다.
도 14a 및 14b는 CVD ARC 대신에 LPCVD 실리콘 질화물 에칭 정지층(374)이 사용된다는 것을 제외하고는, 도 2b에 도시된 것과 유사한 트렌치 준비 방법을 사용하여 트렌치가 형성된 기판(372) 위로 형성된 O3/TEOS층의 SEM 단면을 나타낸다. 이러한 방법에 따라, 트렌치는 트렌치 바닥부로부터 열산화막을 에칭한 후 세정된다(단계 266). 본 예에서, 약 1% HF를 함유한 혼합물을 사용하는 습식 에칭은 약 10초 동안 행해지나, 다른 유사한 세정 공정이 사용될 수도 있다. 도 14a 및 도 14b에 도시된 것처럼, 상기 세정 단계는 거의 평면형의 표면을 갖는 유전체막(370)의 품질을 상당히 개선시킨다. 트렌치 표면을 따른 분리부는 도 13a 및 13b 도면과 비교할 때 적게 나타나며 퍼진 범위가 적다. 트렌치 표면 부근의 구멍이 크게 감소된다. 증착 단계 이전에 트렌치 세정으로 응력 효과가 확연히 감소된다. 트렌치 충진층(370)의 질의 개선으로 알 수 있듯, 세정 단계(266)는 차후 단계를 수행하는 비용에 있어 중요시되는 장점을 제공한다.
도 15a 및 15b는 LPCVD 실리콘 질화물 에칭 정지층(384)이 CVD ARC 대신 사용된다는 것을 제외하고는, 도 2a에 도시된 것과 유사한 트렌치 준비 방법을 사용하여 트렌치가 형성된 기판(382) 위로 형성되는 O3/TEOS의 SEM 단면을 나타낸다. 이 방법은 O3/TEOS막의 증착 이전에 열산화물의 성장 단계를 소거하여, 응력 효과를 방지한다. 그러나, 본 실시예에서, 세정 단계(222)는 수행되지 않는다. 도 15a 및 15b에 도시된 것처럼, 대체로 평면형인 트렌치 충진층(380)의 질은 제 1의 2개 예(도 13a-15b)에서의 질보다 두드러진다. 트렌치 표면을 따른 주목할 만한 분리부가 없고 극소의 보이드가 형성되며, 그 대부분은 LPCVD 질화물층(384) 부근이나 그 위에 있고 CMP에 의해 차후 제거될 것이다. 양호한 질의 트렌치 충진층(380)은 트렌치 절연을 목적으로 하는 데 있어 특히 바람직하다. 트렌치 표면에서 열산화막을 성장하고 트렌치 충진층(380)을 보다 더 조밀하게 하기 위해, 도 6 및 도 7과 관련하여 상술된 산화 어닐링 공정을 사용할 수 있다.
도 16a 및 16b는 LPCVD 실리콘 질화물 에칭 정지층(394)이 CVD ARC 대신에 사용된다는 것을 제외하고는, 도 2a에 도시된 것과 유사한 트렌치 준비 방법을 사용하여 트렌치된 기판(392) 위로 형성되는 거의 평면형인 O3/TEOS층(390)의 SEM 단면도이다. 이 방법은 증착 이전에 트렌치 세정 단계(222)를 포함한다는 점이 도 15a 및 15b에 도시된 증착을 행하는데 사용되는 방법과 차이가 있다. 본 실시예에서, 트렌치는 약 10초 동안 약 1%의 HF를 함유한 혼합물을 사용하는 습식 에칭에 의해 세정된다. 도 16a 및 16b는 도 15a 및 15b 보다 트렌치 충진층(390)의 질에서, 특히 보이드 형성이 덜하다는 면에서 다소 개선됨을 나타낸다. 따라서, 선택적 세정 단계(222)는 막 품질에서 부가적인 개선이 요구될 때 사용될 수 있다. 또한, 산화 어닐링은 트렌치 표면에서 열산화물을 성장시키는데 사용될 수 있다. 도 16a의 트렌치 충진층(390)은 스텝 높이(130)를 갖는, 도 1e에 도시된 트렌치 충진층(128)과 비교하여 스텝 높이 효과가 실질적으로 없는 뛰어난 전체적인 평탄화를 나타낸다.
상술된 내용으로 알 수 있듯이, 결함은 유전체 물질이 트렌치 벽과 트렌치 바닥부 상에 성장된 열산화물을 포함하는 트렌치 위로 증착된 후 트렌치 바닥부로부터 에칭되는 경우 트렌치 표면을 따라 트렌치에 인접하게 형성된다. 본 발명의 일부 실시예는 유전체 트렌치 충진 물질의 증착 이전에 트렌치 세정 단계를 적용함 으로써 결함 형성을 감소시킨다. 바람직한 실시예에서, 종래의 열산화물 성장은 결함을 거의 소거시키기 위해 증착 단계에 앞서 소거된다. 대신에, 열산화물은 유전체 트렌치 충진층을 조밀하게 하는 산화 어닐링 공정을 사용하는 증착 단계 후에 트렌치 표면상에 바람직하게 성장된다.
본 발명의 방법은 유전막의 질을 손상시키지 않고 비용 절감 및 생산량 증가시키도록 전체적인 평탄화를 개선시키는데 사용될 수 있다. 산화 어닐링은 자기-평탄화된 트렌치, 양호한 질의 트렌치 충진층을 충진시킨 후에 트렌치 표면에서 열산화물을 성장시킬 수 있다. 트렌치 형성을 위한 포토리소그라피 및 CMP에 대해 CVD ARC의 사용은 구조가 간단할수록 보다 효과적인 결과를 나타낸다. 또한, 예를 들어 O3/TEOS 비, 압력 또는 유전체 증착을 위한 다른 파라미터를 변화시킴으로써, 또다른 실시예가 고안될 수 있다. 따라서 본 발명의 범주는 상술된 내용으로 한정되는 것이 아니며, 첨부된 청구항에 따라 발명과 동일한 범주내로 한정된다.
본 발명에 따라 유전체의 트렌치 충진 물질 상에 열산화물막을 증착함으로써, 상기 트렌치 충진 물질의 밀도가 높아져 유전체 품질이 개선될 수 있습니다

Claims (4)

  1. 트렌치 표면과 상기 트렌치 표면상에 증착된 트렌치 충진 물질을 가지는 트렌치를 포함하며 기판 처리 챔버 내에 배치되는 기판을 처리하는 방법으로서,
    상기 기판 처리 챔버 내에 산소-함유 가스를 제공하는 단계;
    상기 트렌치 충진 물질의 밀도를 높힘과 동시에 상기 트렌치 표면에 열산화물을 형성하기 위하여, 상기 기판을 가열하는 단계
    를 포함하는 것을 특징으로 하는 기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 산소-함유 가스는 분자 산소 가스 및 스팀으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 기판 처리 방법.
  3. 제 1 항에 있어서,
    상기 가열하는 단계는 상기 기판의 온도를 800℃ 이상으로 증가시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  4. 처리 챔버를 한정하는 하우징;
    트렌치 표면 및 상기 트렌치 표면상에 증착된 트렌치 충진 물질을 갖는 트렌치를 포함하는 기판을 고정하기 위해, 상기 처리 챔버 내에 배치되는 기판 홀더;
    상기 처리 챔버 내로 처리 가스를 주입하는 가스 전달 시스템;
    상기 기판을 가열하는 히터;
    상기 가스 전달 시스템 및 상기 히터를 제어하는 제어기;
    상기 제어기의 동작을 지시하는 컴퓨터 판독가능 프로그램이 내장된 컴퓨터 판독가능 매체를 포함하며 상기 제어기에 접속되는 메모리
    를 포함하며, 상기 컴퓨터 판독가능 프로그램은 상기 가스 전달 시스템을 제어하여 상기 처리 챔버 내로 산소 함유 가스를 주입시키는 명령, 및 유전체층의 밀도를 높힘과 동시에 상기 트렌치 표면에 열산화물을 형성하기 위해 상기 기판을 가열하도록 상기 히터를 제어하는 명령 세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
KR1020067014383A 1998-05-22 1999-05-10 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는방법 KR100692090B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP98401232.8 1998-05-22
EP98401232A EP0959496B1 (en) 1998-05-22 1998-05-22 Methods for forming self-planarized dielectric layer for shallow trench isolation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020007013140A Division KR100687367B1 (ko) 1998-05-22 1999-05-10 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법

Publications (2)

Publication Number Publication Date
KR20060090734A KR20060090734A (ko) 2006-08-14
KR100692090B1 true KR100692090B1 (ko) 2007-03-12

Family

ID=8235378

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020067014383A KR100692090B1 (ko) 1998-05-22 1999-05-10 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는방법
KR1020007013140A KR100687367B1 (ko) 1998-05-22 1999-05-10 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020007013140A KR100687367B1 (ko) 1998-05-22 1999-05-10 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법

Country Status (7)

Country Link
US (1) US6733955B1 (ko)
EP (1) EP0959496B1 (ko)
JP (1) JP2002517089A (ko)
KR (2) KR100692090B1 (ko)
DE (1) DE69835276T2 (ko)
TW (1) TW413885B (ko)
WO (1) WO1999062108A2 (ko)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002541664A (ja) * 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
TW439194B (en) * 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
JP4989817B2 (ja) * 2000-12-21 2012-08-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6362098B1 (en) 2001-02-28 2002-03-26 Motorola, Inc. Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate
US7026172B2 (en) * 2001-10-22 2006-04-11 Promos Technologies, Inc. Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
WO2003043078A2 (en) * 2001-11-13 2003-05-22 Advanced Micro Devices, Inc. Preferential corner rounding of trench structures using post-fill oxidation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US20040074516A1 (en) * 2002-10-18 2004-04-22 Hogle Richard A. Sub-atmospheric supply of fluorine to semiconductor process chamber
DE10259728B4 (de) * 2002-12-19 2008-01-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Grabenisolationsstruktur und Verfahren zum Steuern eines Grades an Kantenrundung einer Grabenisolationsstruktur in einem Halbleiterbauelement
KR100454849B1 (ko) * 2002-12-20 2004-11-03 아남반도체 주식회사 반도체 소자의 제조방법
JP4161745B2 (ja) * 2003-03-06 2008-10-08 株式会社デンソー 光学素子およびその製造方法
IL155137A0 (en) * 2003-03-27 2003-10-31 Yissum Res Dev Co A method for generating plant diversity
US7029591B2 (en) * 2003-04-23 2006-04-18 Lsi Logic Corporation Planarization with reduced dishing
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7176105B2 (en) 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US20070249128A1 (en) * 2006-04-19 2007-10-25 Junjung Kim Ultraviolet (UV) Radiation Treatment Methods for Subatmospheric Chemical Vapor Deposition (SACVD) of Ozone-Tetraethoxysilane (O3-TEOS)
KR100772275B1 (ko) * 2006-05-24 2007-11-01 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20090184402A1 (en) * 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8404583B2 (en) * 2010-03-12 2013-03-26 Applied Materials, Inc. Conformality of oxide layers along sidewalls of deep vias
US8796106B2 (en) * 2010-03-30 2014-08-05 Stmicroelectronics S.R.L. Isolation trenches
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (zh) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构的形成方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US10199388B2 (en) 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
CN114784473A (zh) * 2022-03-16 2022-07-22 上海交通大学 基于硅基光敏薄膜的双重折叠基片集成波导滤波巴伦
WO2024107573A1 (en) * 2022-11-15 2024-05-23 Lam Research Corporation Inhibited atomic layer deposition for patterning applications

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59193044A (ja) * 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd 半導体基板の製造方法
JPS6074637A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd 半導体装置の製造方法
JPH0779127B2 (ja) * 1989-12-27 1995-08-23 株式会社半導体プロセス研究所 半導体装置の製造方法
JPH0779127A (ja) * 1991-06-27 1995-03-20 Nippon Dempa Kogyo Co Ltd 多重モード型圧電振動子
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
JP2897569B2 (ja) 1991-12-30 1999-05-31 ソニー株式会社 レジストパターン形成時に用いる反射防止膜の条件決定方法と、レジストパターン形成方法
EP0582724A1 (de) 1992-08-04 1994-02-16 Siemens Aktiengesellschaft Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
CA2131668C (en) * 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
EP0697723A3 (en) * 1994-08-15 1997-04-16 Ibm Method of metallizing an insulating layer
JPH0945687A (ja) 1995-07-26 1997-02-14 Ricoh Co Ltd 基板表面の平坦化方法
DE19528746C1 (de) 1995-08-04 1996-10-31 Siemens Ag Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur
JP3402022B2 (ja) * 1995-11-07 2003-04-28 三菱電機株式会社 半導体装置の製造方法
KR0179554B1 (ko) * 1995-11-30 1999-04-15 김주용 반도체 소자의 소자분리절연막 형성방법
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6069055A (en) 1996-07-12 2000-05-30 Matsushita Electric Industrial Co., Ltd. Fabricating method for semiconductor device
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
TW309630B (en) * 1996-11-23 1997-07-01 Taiwan Semiconductor Mfg Method of forming shallow trench isolation
US5786262A (en) * 1997-04-09 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-planarized gapfilling for shallow trench isolation
US5731241A (en) * 1997-05-15 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned sacrificial oxide for shallow trench isolation
US5930644A (en) * 1997-07-23 1999-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation using oxide slope etching
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US5851927A (en) * 1997-08-29 1998-12-22 Motorola, Inc. Method of forming a semiconductor device by DUV resist patterning
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
KR20000013397A (ko) * 1998-08-07 2000-03-06 윤종용 트렌치 격리 형성 방법

Also Published As

Publication number Publication date
EP0959496A3 (en) 1999-12-15
KR100687367B1 (ko) 2007-02-26
WO1999062108A3 (en) 2000-01-27
TW413885B (en) 2000-12-01
KR20010043762A (ko) 2001-05-25
KR20060090734A (ko) 2006-08-14
US6733955B1 (en) 2004-05-11
DE69835276T2 (de) 2007-07-12
EP0959496B1 (en) 2006-07-19
JP2002517089A (ja) 2002-06-11
DE69835276D1 (de) 2006-08-31
WO1999062108A2 (en) 1999-12-02
EP0959496A2 (en) 1999-11-24

Similar Documents

Publication Publication Date Title
KR100692090B1 (ko) 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는방법
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
JP2002517089A5 (ko)
EP0874391B1 (en) Process for depositing a Halogen-doped SiO2 layer
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US5872065A (en) Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6156149A (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
JP4230561B2 (ja) Teos/オゾン−シリコン酸化物の表面感度の除去のための方法
US6127262A (en) Method and apparatus for depositing an etch stop layer
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US6190233B1 (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US8143174B2 (en) Post-deposition treatment to enhance properties of Si-O-C low K films
US5843239A (en) Two-step process for cleaning a substrate processing chamber
US6602806B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
KR100857649B1 (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
US6875558B1 (en) Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
KR100569807B1 (ko) 기판의 증착막에서 플루오르를 통해 격리성질을 제어하는 반도체장치의 제어 방법 및 시스템
KR20040030827A (ko) 보로포스포실리케이트 유리 필름의 화학 기상 증착 방법
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1079426A1 (en) Integration scheme using selfplanarized dielectric layer for shallow trench isolation (STI)

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee