KR100857649B1 - 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 - Google Patents
화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 Download PDFInfo
- Publication number
- KR100857649B1 KR100857649B1 KR1020010061442A KR20010061442A KR100857649B1 KR 100857649 B1 KR100857649 B1 KR 100857649B1 KR 1020010061442 A KR1020010061442 A KR 1020010061442A KR 20010061442 A KR20010061442 A KR 20010061442A KR 100857649 B1 KR100857649 B1 KR 100857649B1
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- processing
- layer
- dielectric layer
- silicon carbide
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 165
- 238000005229 chemical vapour deposition Methods 0.000 title claims abstract description 7
- 230000003247 decreasing effect Effects 0.000 title description 2
- 239000000758 substrate Substances 0.000 claims abstract description 98
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical class [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims abstract description 67
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 63
- 239000010703 silicon Substances 0.000 claims abstract description 61
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 60
- 238000000151 deposition Methods 0.000 claims abstract description 50
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 37
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 35
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 31
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 27
- 239000001301 oxygen Substances 0.000 claims abstract description 27
- 238000006243 chemical reaction Methods 0.000 claims abstract description 19
- 239000011261 inert gas Substances 0.000 claims abstract description 17
- 238000012545 processing Methods 0.000 claims description 93
- 239000007789 gas Substances 0.000 claims description 70
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 60
- -1 organosilane compound Chemical class 0.000 claims description 32
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 26
- 230000008021 deposition Effects 0.000 claims description 24
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 18
- 230000001590 oxidative effect Effects 0.000 claims description 16
- 150000001875 compounds Chemical class 0.000 claims description 13
- 229910052757 nitrogen Inorganic materials 0.000 claims description 12
- 239000000203 mixture Substances 0.000 claims description 10
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 7
- 125000000217 alkyl group Chemical group 0.000 claims description 7
- 230000003647 oxidation Effects 0.000 claims description 5
- 238000007254 oxidation reaction Methods 0.000 claims description 5
- PUNGSQUVTIDKNU-UHFFFAOYSA-N 2,4,6,8,10-pentamethyl-1,3,5,7,9,2$l^{3},4$l^{3},6$l^{3},8$l^{3},10$l^{3}-pentaoxapentasilecane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O[Si](C)O1 PUNGSQUVTIDKNU-UHFFFAOYSA-N 0.000 claims description 4
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 claims description 4
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 4
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 claims description 4
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 claims 4
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 claims 2
- 239000001294 propane Substances 0.000 claims 2
- 150000003961 organosilicon compounds Chemical class 0.000 abstract description 19
- 150000001343 alkyl silanes Chemical class 0.000 abstract description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 abstract 1
- 230000008569 process Effects 0.000 description 94
- 239000000463 material Substances 0.000 description 30
- 230000004888 barrier function Effects 0.000 description 26
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 21
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 16
- 238000005137 deposition process Methods 0.000 description 15
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 14
- 229910052802 copper Inorganic materials 0.000 description 14
- 239000010949 copper Substances 0.000 description 14
- 238000007726 management method Methods 0.000 description 13
- 239000004020 conductor Substances 0.000 description 11
- 125000004122 cyclic group Chemical group 0.000 description 10
- 235000015847 Hesperis matronalis Nutrition 0.000 description 9
- 240000004533 Hesperis matronalis Species 0.000 description 9
- 238000009826 distribution Methods 0.000 description 9
- 229910052786 argon Inorganic materials 0.000 description 8
- 238000009792 diffusion process Methods 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 8
- 238000004590 computer program Methods 0.000 description 7
- 239000001307 helium Substances 0.000 description 7
- 229910052734 helium Inorganic materials 0.000 description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 238000005530 etching Methods 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 229920002120 photoresistant polymer Polymers 0.000 description 6
- 230000009977 dual effect Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910021417 amorphous silicon Inorganic materials 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 2
- 229910008045 Si-Si Inorganic materials 0.000 description 2
- 229910006411 Si—Si Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 2
- XCLIHDJZGPCUBT-UHFFFAOYSA-N dimethylsilanediol Chemical compound C[Si](C)(O)O XCLIHDJZGPCUBT-UHFFFAOYSA-N 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000005429 filling process Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- FWITZJRQRZACHD-UHFFFAOYSA-N methyl-[2-[methyl(silyloxy)silyl]propan-2-yl]-silyloxysilane Chemical compound C[SiH](O[SiH3])C(C)(C)[SiH](C)O[SiH3] FWITZJRQRZACHD-UHFFFAOYSA-N 0.000 description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 2
- 125000000962 organic group Chemical group 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 239000013618 particulate matter Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- LZFDOBOWCCWDKN-UHFFFAOYSA-N 1,2,4-trimethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound C[SiH]1C2[SiH](C)C12C LZFDOBOWCCWDKN-UHFFFAOYSA-N 0.000 description 1
- XJUUEEGXLKEEFV-UHFFFAOYSA-N 1,3-dimethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound CC12C([SiH2]1)([SiH2]2)C XJUUEEGXLKEEFV-UHFFFAOYSA-N 0.000 description 1
- QHSJGVUXUKRCJF-UHFFFAOYSA-N 2,2,3,4,4-pentamethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound C[Si]1(C)C2(C)C1[Si]2(C)C QHSJGVUXUKRCJF-UHFFFAOYSA-N 0.000 description 1
- MAOGYXKNTJIJKG-UHFFFAOYSA-N 2,2,4,4-tetramethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound C[Si]1(C)C2C1[Si]2(C)C MAOGYXKNTJIJKG-UHFFFAOYSA-N 0.000 description 1
- VFHJWQUCFQTIAR-UHFFFAOYSA-N 2-methylideneoxatrisiletane Chemical compound C=[Si]1O[SiH2][SiH2]1 VFHJWQUCFQTIAR-UHFFFAOYSA-N 0.000 description 1
- OPLQHQZLCUPOIX-UHFFFAOYSA-N 2-methylsilirane Chemical compound CC1C[SiH2]1 OPLQHQZLCUPOIX-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- JSBOVJABZFDRGV-UHFFFAOYSA-N bis(dimethylsilyl)-dimethylsilane Chemical compound C[SiH](C)[Si](C)(C)[SiH](C)C JSBOVJABZFDRGV-UHFFFAOYSA-N 0.000 description 1
- QLANAUMHLMSYDV-UHFFFAOYSA-N bis(dimethylsilyl)-methylsilane Chemical compound C[SiH](C)[SiH](C)[SiH](C)C QLANAUMHLMSYDV-UHFFFAOYSA-N 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- ZMAPKOCENOWQRE-UHFFFAOYSA-N diethoxy(diethyl)silane Chemical compound CCO[Si](CC)(CC)OCC ZMAPKOCENOWQRE-UHFFFAOYSA-N 0.000 description 1
- VSYLGGHSEIWGJV-UHFFFAOYSA-N diethyl(dimethoxy)silane Chemical compound CC[Si](CC)(OC)OC VSYLGGHSEIWGJV-UHFFFAOYSA-N 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- OLLFKUHHDPMQFR-UHFFFAOYSA-N dihydroxy(diphenyl)silane Chemical compound C=1C=CC=CC=1[Si](O)(O)C1=CC=CC=C1 OLLFKUHHDPMQFR-UHFFFAOYSA-N 0.000 description 1
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 1
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 description 1
- UTUAUBOPWUPBCH-UHFFFAOYSA-N dimethylsilylidene(dimethyl)silane Chemical compound C[Si](C)=[Si](C)C UTUAUBOPWUPBCH-UHFFFAOYSA-N 0.000 description 1
- VDCSGNNYCFPWFK-UHFFFAOYSA-N diphenylsilane Chemical compound C=1C=CC=CC=1[SiH2]C1=CC=CC=C1 VDCSGNNYCFPWFK-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000005187 foaming Methods 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- VLKZOEOYAKHREP-UHFFFAOYSA-N hexane Substances CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 description 1
- LAQFLZHBVPULPL-UHFFFAOYSA-N methyl(phenyl)silicon Chemical compound C[Si]C1=CC=CC=C1 LAQFLZHBVPULPL-UHFFFAOYSA-N 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- PARWUHTVGZSQPD-UHFFFAOYSA-N phenylsilane Chemical compound [SiH3]C1=CC=CC=C1 PARWUHTVGZSQPD-UHFFFAOYSA-N 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical compound [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- UIDUKLCLJMXFEO-UHFFFAOYSA-N propylsilane Chemical compound CCC[SiH3] UIDUKLCLJMXFEO-UHFFFAOYSA-N 0.000 description 1
- 238000009717 reactive processing Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
Claims (40)
- 기판을 처리하기 위한 방법으로서,규소, 산소 및 탄소를 포함하는 유전체 층을 화학 기상 증착법에 의해 상기 기판상에 증착하는 단계; -상기 유전체 층은 1 원자량% 이상의 탄소 함량과 3 또는 이보다 낮은 유전상수를 가짐- 및상기 유전체 층의 유전 상수를 줄이도록 플라즈마 존재 하에서 상기 유전체 층상에 규소 및 탄소 함유층을 증착하는 단계를 포함하는,기판을 처리하기 위한 방법.
- 제 1항에 있어서, 상기 유전체 층을 유기실란(organosilane) 화합물과 산화 가스의 반응에 의해 증착하는,기판을 처리하기 위한 방법.
- 제 1항에 있어서, 상기 유전체 층을 트리메틸실란, 테트라메틸실란, 1,1,3,3-테트라메틸디실록산, 헥사메틸디실록산, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 2,4,6,8,10-펜타메틸시클로펜타실록산, 이들의 탄화플루오르가 첨가된 유도체, 및 이들의 혼합물의 군(group)으로부터 선택된 유기실란 화합물로부터 증착하는,기판을 처리하기 위한 방법.
- 제 2항에 있어서, 상기 유기실란 화합물이 세개 이상의 알킬기를 포함하는,기판을 처리하기 위한 방법.
- 제 2항에 있어서, 상기 산화 가스를, N2O, O2, O3 및 이들의 혼합물로 구성된 군으로부터 선택하는,기판을 처리하기 위한 방법.
- 제 2항에 있어서, 상기 유기실란 화합물이 트리메틸실란을 포함하고 상기 산화 가스가 오존을 포함하는,기판을 처리하기 위한 방법.
- 제 2항에 있어서, 상기 유전체 층을, 2,4,6,8-테트라메틸시클로테트라실록산의 산화에 의해 증착하는,기판을 처리하기 위한 방법.
- 삭제
- 삭제
- 제 1항에 있어서, 상기 규소 및 탄소 함유층이, 처리 챔버에서 불활성한 가스와 알킬실란 화합물을 유입하고 플라즈마를 발생시킴으로써 증착된 탄화규소 층인,기판을 처리하기 위한 방법.
- 제 10항에 있어서, 상기 알킬실란 화합물이 트리메틸실란인,기판을 처리하기 위한 방법.
- 삭제
- 제 10항에 있어서, 상기 플라즈마를, 4.3watts/cm2 내지 10.0 watts/cm2의 RF 전력밀도를 상기 기판 위로 공급함으로써 발생시키는,기판을 처리하기 위한 방법.
- 기판을 처리하기 위한 방법으로서,유기실란 화합물과 산화가스를 반응시킴으로써 상기 기판에 유전체 층을 증착하는 단계; 그리고플라즈마의 존재 하에서 상기 유전체 층에 탄화규소 층 또는 도핑된 탄화규소 층을 증착시킴으로써 상기 유전체 층의 유전상수를 감소시키는 단계를 포함하며,상기 유전체 층은 1 원자량% 이상의 탄소 함량을 가지며 3 또는 이보다 낮은 유전상수를 가지는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 유전체 층을, 트리메틸실란, 테트라메틸실란, 1,1,3,3-테트라메틸디실록산, 헥사메틸디실록산, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 2,4,6,8,10-펜타메틸시클로펜타실록산, 이들의 탄화플루오르가 첨가된 유도체, 및 이들의 혼합물의 군(group)으로부터 선택된 유기실란 화합물로부터 증착하는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 유기실란 화합물이 세개 이상의 알킬기를 포함하는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 산화 가스를, N20, O2, O3 및 이들의 화합물로 구성된 군으로부터 선택하는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 유기실란 화합물이 트리메틸실란과 오존을 포함하는 산화가스를 포함하는 방법.
- 제 14항에 있어서, 상기 유기실란 화합물이 2,4,6,8-테트라메틸시클로테트라실록산을 포함하는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 유전체 층이, 상기 탄화규소 층의 증착 전에 1원자량% 내지 50원자량%의 탄소 함량을 가지는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 유전체 층이, 상기 탄화규소 층의 증착 전에 3 또는 이 보다 낮은 유전상수를 가지는,기판을 처리하기 위한 방법.
- 제 14항에 있어서, 상기 탄화규소 층을, 알킬실란 화합물과 불활성한 가스를 처리 챔버로 유입시키고 플라즈마를 발생시킴으로써 증착하는,기판을 처리하기 위한 방법.
- 제 22항에 있어서, 상기 알킬실란 화합물이 트리메틸실란인,기판을 처리하기 위한 방법.
- 제 22항에 있어서, 상기 유전체 층의 상기 유전상수가, 상기 탄화규소 층의 증착 후에 2.4 또는 이보다 낮은,기판을 처리하기 위한 방법.
- 제 22항에 있어서, 상기 플라즈마를, 4.3watts/cm2 내지 10.0watts/cm2의 RF 전력밀도를 상기 기판 위로 공급함으로써 발생시키는,기판을 처리하기 위한 방법.
- 제 22항에 있어서, 상기 탄화규소 층을, 유전체 층 두께의 감소 없이 상기 유전체 층으로부터 탄소를 제거하는 플라즈마 조건하에서 증착하는,기판을 처리하기 위한 방법.
- 기판을 처리하기 위한 방법으로서,세개 이상의 알킬기를 가진 유기실란 화합물과 오존을 반응시킴으로써 상기 기판상에 유전체 층을 증착하는 단계; 그리고상기 유전체 층의 유전 상수를 감소시키기에 충분한 플라즈마 조건하에서 알킬실란 화합물을 반응시킴으로써 상기 유전체 층상에 탄화규소 층 또는 도핑된 탄화규소 층을 증착하는 단계를 포함하며,상기 유전체 층은 5 원자량% 내지 50 원자량%의 탄소함량을 가지며 3 또는 이보다 낮은 유전상수를 가지는,기판을 처리하기 위한 방법.
- 제 27항에 있어서, 상기 유기실란 화합물이 세개 이상의 알킬기를 포함하는,기판을 처리하기 위한 방법.
- 제 27항에 있어서, 상기 유기실란 화합물이 트리메틸실란이고 상기 알킬실란 화합물이 트리메틸실란인,기판을 처리하기 위한 방법.
- 제 27항에 있어서, 상기 유기실란 화합물이 2,4,6,8-테트라메틸시클로테트라시록산인,기판을 처리하기 위한 방법.
- 제 27항에 있어서, 상기 유전체 층이, 상기 탄화규소 층의 증착 후에 2.4 또는 이 보다 낮은 유전상수를 가지는,기판을 처리하기 위한 방법.
- 제 27항에 있어서, 상기 플라즈마를, 4.3watts/cm2 내지 10.0watts/cm2의 RF 전력밀도를 기판 위로 공급함으로써 발생시키는,기판을 처리하기 위한 방법.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/679,843 | 2000-10-05 | ||
US09/679,843 US6627532B1 (en) | 1998-02-11 | 2000-10-05 | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20020027269A KR20020027269A (ko) | 2002-04-13 |
KR100857649B1 true KR100857649B1 (ko) | 2008-09-08 |
Family
ID=24728596
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010061442A KR100857649B1 (ko) | 2000-10-05 | 2001-10-05 | 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 |
Country Status (6)
Country | Link |
---|---|
US (3) | US6627532B1 (ko) |
EP (1) | EP1195451B1 (ko) |
JP (1) | JP2002198366A (ko) |
KR (1) | KR100857649B1 (ko) |
DE (1) | DE60116216T2 (ko) |
TW (1) | TW499709B (ko) |
Families Citing this family (226)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6274292B1 (en) | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US7804115B2 (en) | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6821571B2 (en) * | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US7067414B1 (en) * | 1999-09-01 | 2006-06-27 | Micron Technology, Inc. | Low k interlevel dielectric layer fabrication methods |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6764958B1 (en) * | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6537733B2 (en) * | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6486082B1 (en) * | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
US6930056B1 (en) * | 2001-06-19 | 2005-08-16 | Lsi Logic Corporation | Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure |
US7043133B2 (en) * | 2001-07-12 | 2006-05-09 | Little Optics, Inc. | Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices |
US6887780B2 (en) | 2001-08-31 | 2005-05-03 | Intel Corporation | Concentration graded carbon doped oxide |
KR100432704B1 (ko) * | 2001-09-01 | 2004-05-24 | 주성엔지니어링(주) | 수소화된 SiOC 박막 제조방법 |
US6677253B2 (en) | 2001-10-05 | 2004-01-13 | Intel Corporation | Carbon doped oxide deposition |
US6759327B2 (en) * | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
US6656837B2 (en) * | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
GB0129567D0 (en) * | 2001-12-11 | 2002-01-30 | Trikon Technologies Ltd | Diffusion barrier |
US6890850B2 (en) * | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
JP4177993B2 (ja) * | 2002-04-18 | 2008-11-05 | 株式会社ルネサステクノロジ | 半導体装置及びその製造方法 |
US6664185B1 (en) * | 2002-04-25 | 2003-12-16 | Advanced Micro Devices, Inc. | Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect |
US7008484B2 (en) * | 2002-05-06 | 2006-03-07 | Applied Materials Inc. | Method and apparatus for deposition of low dielectric constant materials |
KR100466818B1 (ko) * | 2002-05-17 | 2005-01-24 | 주식회사 하이닉스반도체 | 반도체 소자의 절연막 형성 방법 |
WO2004053205A2 (en) | 2002-07-22 | 2004-06-24 | Massachusetts Institute Of Technolgoy | Porous material formation by chemical vapor deposition onto colloidal crystal templates |
KR100434508B1 (ko) * | 2002-08-01 | 2004-06-05 | 삼성전자주식회사 | 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법 |
DE10392996T5 (de) * | 2002-08-08 | 2005-07-21 | Trikon Technologies Limited, Newport | Verbesserungen für Duschköpfe |
AU2003282988A1 (en) * | 2002-10-21 | 2004-05-13 | Massachusetts Institute Of Technology | Pecvd of organosilicate thin films |
JP4109531B2 (ja) * | 2002-10-25 | 2008-07-02 | 松下電器産業株式会社 | 半導体装置及びその製造方法 |
US6815332B2 (en) * | 2002-10-30 | 2004-11-09 | Asm Japan K.K. | Method for forming integrated dielectric layers |
US20040124420A1 (en) * | 2002-12-31 | 2004-07-01 | Lin Simon S.H. | Etch stop layer |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US6790788B2 (en) * | 2003-01-13 | 2004-09-14 | Applied Materials Inc. | Method of improving stability in low k barrier layers |
US7238393B2 (en) * | 2003-02-13 | 2007-07-03 | Asm Japan K.K. | Method of forming silicon carbide films |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
KR100541185B1 (ko) * | 2003-07-28 | 2006-01-11 | 삼성전자주식회사 | 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법 |
JP3866694B2 (ja) * | 2003-07-30 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | Lsiデバイスのエッチング方法および装置 |
US7129180B2 (en) * | 2003-09-12 | 2006-10-31 | Micron Technology, Inc. | Masking structure having multiple layers including an amorphous carbon layer |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
JP3666751B2 (ja) * | 2003-11-28 | 2005-06-29 | 東京エレクトロン株式会社 | 絶縁膜の形成方法及び絶縁膜形成システム |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US20050214457A1 (en) * | 2004-03-29 | 2005-09-29 | Applied Materials, Inc. | Deposition of low dielectric constant films by N2O addition |
US7115508B2 (en) * | 2004-04-02 | 2006-10-03 | Applied-Materials, Inc. | Oxide-like seasoning for dielectric low k films |
JP4198631B2 (ja) * | 2004-04-28 | 2008-12-17 | 富士通マイクロエレクトロニクス株式会社 | 絶縁膜形成方法及び半導体装置 |
US7112541B2 (en) * | 2004-05-06 | 2006-09-26 | Applied Materials, Inc. | In-situ oxide capping after CVD low k deposition |
US7271093B2 (en) | 2004-05-24 | 2007-09-18 | Asm Japan K.K. | Low-carbon-doped silicon oxide film and damascene structure using same |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7736728B2 (en) * | 2004-08-18 | 2010-06-15 | Dow Corning Corporation | Coated substrates and methods for their preparation |
US7166544B2 (en) * | 2004-09-01 | 2007-01-23 | Applied Materials, Inc. | Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors |
US7332445B2 (en) | 2004-09-28 | 2008-02-19 | Air Products And Chemicals, Inc. | Porous low dielectric constant compositions and methods for making and using same |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US7790630B2 (en) * | 2005-04-12 | 2010-09-07 | Intel Corporation | Silicon-doped carbon dielectrics |
US7189658B2 (en) * | 2005-05-04 | 2007-03-13 | Applied Materials, Inc. | Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile |
US7273823B2 (en) * | 2005-06-03 | 2007-09-25 | Applied Materials, Inc. | Situ oxide cap layer development |
EP1899048B1 (fr) * | 2005-07-01 | 2008-12-17 | Commissariat A L'energie Atomique | Revetement de surface hydrophobe et a faible hysteresis de mouillage, procede de depot d'un tel revetement, micro-composant et utilisation |
JP4521349B2 (ja) * | 2005-10-13 | 2010-08-11 | 富士通セミコンダクター株式会社 | 半導体集積回路装置 |
US20070190742A1 (en) * | 2006-02-16 | 2007-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including shallow trench isolator and method of forming same |
WO2007095973A1 (en) * | 2006-02-24 | 2007-08-30 | Freescale Semiconductor, Inc. | Integrated system for semiconductor substrate processing using liquid phase metal deposition |
US7803719B2 (en) | 2006-02-24 | 2010-09-28 | Freescale Semiconductor, Inc. | Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device |
US20070264843A1 (en) * | 2006-05-09 | 2007-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing |
US8080282B2 (en) * | 2006-08-08 | 2011-12-20 | Asm Japan K.K. | Method for forming silicon carbide film containing oxygen |
WO2008020592A1 (fr) * | 2006-08-15 | 2008-02-21 | Jsr Corporation | Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci |
JP5170445B2 (ja) * | 2007-02-14 | 2013-03-27 | Jsr株式会社 | ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法 |
WO2009008424A1 (ja) * | 2007-07-10 | 2009-01-15 | Jsr Corporation | ケイ素化合物の製造方法 |
US7943531B2 (en) * | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
FR2926397B1 (fr) * | 2008-01-16 | 2010-02-12 | Commissariat Energie Atomique | Procede de fabrication de films dielectriques permeables |
US7981771B2 (en) * | 2008-06-04 | 2011-07-19 | International Business Machines Corporation | Structures and methods to enhance Cu interconnect electromigration (EM) performance |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
FR2982609B1 (fr) * | 2011-11-16 | 2014-06-20 | Saint Gobain | Vitrage hydrophobe |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
KR101836417B1 (ko) | 2014-01-29 | 2018-03-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 저온 경화 모듈러스 강화 |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
TW201535513A (zh) * | 2014-02-18 | 2015-09-16 | Applied Materials Inc | 介電常數減少且機械性質強化的低k介電層 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299605B2 (en) * | 2014-03-07 | 2016-03-29 | Applied Materials, Inc. | Methods for forming passivation protection for an interconnection structure |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573552B2 (en) | 2018-03-15 | 2020-02-25 | Samsung Electronics Co., Ltd. | Semiconductor device and method of fabricating the same |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11114306B2 (en) * | 2018-09-17 | 2021-09-07 | Applied Materials, Inc. | Methods for depositing dielectric material |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11756828B2 (en) | 2018-11-20 | 2023-09-12 | Applied Materials, Inc. | Cluster processing system for forming a transition metal material |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0960958A2 (en) * | 1998-05-29 | 1999-12-01 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films |
Family Cites Families (118)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4168330A (en) | 1977-10-13 | 1979-09-18 | Rca Corporation | Method of depositing a silicon oxide layer |
JPS5998726A (ja) | 1982-11-26 | 1984-06-07 | Seiko Epson Corp | 酸化膜形成法 |
JPS59128281A (ja) | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
US4557946A (en) | 1983-06-03 | 1985-12-10 | Edward Sacher | Moisture impermeability or organosilicone films |
JPS60111480A (ja) | 1983-11-22 | 1985-06-17 | Toshiba Corp | 薄膜発光素子 |
DE3574997D1 (de) | 1984-03-03 | 1990-02-01 | Stc Plc | Pulsierendes plasmaverfahren. |
US4845054A (en) | 1985-06-14 | 1989-07-04 | Focus Semiconductor Systems, Inc. | Low temperature chemical vapor deposition of silicon dioxide films |
US4812325A (en) | 1985-10-23 | 1989-03-14 | Canon Kabushiki Kaisha | Method for forming a deposited film |
US4789648A (en) | 1985-10-28 | 1988-12-06 | International Business Machines Corporation | Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias |
FR2591587A1 (fr) | 1985-12-17 | 1987-06-19 | Saint Gobain Vitrage | Film organo-mineral depose sur un substrat en verre eventuellement revetu d'une ou plusieurs couches metalliques minces. |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
GB8630918D0 (en) | 1986-12-24 | 1987-02-04 | Pilkington Brothers Plc | Coatings on glass |
US5028566A (en) | 1987-04-10 | 1991-07-02 | Air Products And Chemicals, Inc. | Method of forming silicon dioxide glass films |
JPH077759B2 (ja) | 1987-08-20 | 1995-01-30 | 株式会社半導体エネルギ−研究所 | 絶縁膜形成方法 |
US4798629A (en) | 1987-10-22 | 1989-01-17 | Motorola Inc. | Spin-on glass for use in semiconductor processing |
US4900591A (en) | 1988-01-20 | 1990-02-13 | The United States Of America As Represented By The Secretary Of The Air Force | Method for the deposition of high quality silicon dioxide at low temperature |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US4981724A (en) | 1988-10-27 | 1991-01-01 | Hochberg Arthur K | Deposition of silicon oxide films using alkylsilane liquid sources |
US4973511A (en) | 1988-12-01 | 1990-11-27 | Monsanto Company | Composite solar/safety film and laminated window assembly made therefrom |
FR2651782B1 (fr) | 1989-09-14 | 1993-03-19 | Air Liquide | Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique. |
JPH0740569B2 (ja) | 1990-02-27 | 1995-05-01 | エイ・ティ・アンド・ティ・コーポレーション | Ecrプラズマ堆積方法 |
US5120680A (en) | 1990-07-19 | 1992-06-09 | At&T Bell Laboratories | Method for depositing dielectric layers |
CA2048168A1 (en) | 1990-08-03 | 1992-02-04 | John T. Felts | Silicon oxide based thin film vapour barriers |
NL9001770A (nl) | 1990-08-06 | 1992-03-02 | Philips Nv | Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd). |
JPH053258A (ja) | 1990-09-25 | 1993-01-08 | Kawasaki Steel Corp | 層間絶縁膜の形成方法 |
US5040046A (en) | 1990-10-09 | 1991-08-13 | Micron Technology, Inc. | Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby |
EP0519079B1 (en) | 1991-01-08 | 1999-03-03 | Fujitsu Limited | Process for forming silicon oxide film |
US5352493A (en) | 1991-05-03 | 1994-10-04 | Veniamin Dorfman | Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films |
US6238588B1 (en) | 1991-06-27 | 2001-05-29 | Applied Materials, Inc. | High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process |
US5246887A (en) | 1991-07-10 | 1993-09-21 | At&T Bell Laboratories | Dielectric deposition |
US5204141A (en) | 1991-09-18 | 1993-04-20 | Air Products And Chemicals, Inc. | Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources |
US5224441A (en) | 1991-09-27 | 1993-07-06 | The Boc Group, Inc. | Apparatus for rapid plasma treatments and method |
CA2072378C (en) * | 1991-11-21 | 2000-12-26 | Vlado Ivan Matkovich | System for processing separate containers of biological fluid |
JPH06163521A (ja) | 1992-11-17 | 1994-06-10 | Nippon Telegr & Teleph Corp <Ntt> | 半導体装置の製造方法 |
JPH05267480A (ja) | 1992-03-21 | 1993-10-15 | Ricoh Co Ltd | 半導体装置とその製造方法 |
JP2934353B2 (ja) | 1992-06-24 | 1999-08-16 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
US5739579A (en) | 1992-06-29 | 1998-04-14 | Intel Corporation | Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections |
EP0731982B1 (en) * | 1992-07-04 | 1999-12-01 | Trikon Equipments Limited | A method of treating a semiconductor wafer |
JPH0795548B2 (ja) | 1992-09-10 | 1995-10-11 | アプライド マテリアルズ インコーポレイテッド | 二酸化珪素膜の気相成長法 |
US5753564A (en) | 1992-11-24 | 1998-05-19 | Sumitomo Metal Industries, Ltd. | Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma |
JP2684942B2 (ja) | 1992-11-30 | 1997-12-03 | 日本電気株式会社 | 化学気相成長法と化学気相成長装置および多層配線の製造方法 |
US5298587A (en) | 1992-12-21 | 1994-03-29 | The Dow Chemical Company | Protective film for articles and method |
US5465680A (en) | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
US5433786A (en) | 1993-08-27 | 1995-07-18 | The Dow Chemical Company | Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein |
US5364666A (en) | 1993-09-23 | 1994-11-15 | Becton, Dickinson And Company | Process for barrier coating of plastic objects |
JP2899600B2 (ja) | 1994-01-25 | 1999-06-02 | キヤノン販売 株式会社 | 成膜方法 |
US5888593A (en) | 1994-03-03 | 1999-03-30 | Monsanto Company | Ion beam process for deposition of highly wear-resistant optical coatings |
US5508368A (en) | 1994-03-03 | 1996-04-16 | Diamonex, Incorporated | Ion beam process for deposition of highly abrasion-resistant coatings |
US5618619A (en) | 1994-03-03 | 1997-04-08 | Monsanto Company | Highly abrasion-resistant, flexible coatings for soft substrates |
US5858880A (en) | 1994-05-14 | 1999-01-12 | Trikon Equipment Limited | Method of treating a semi-conductor wafer |
US5488015A (en) | 1994-05-20 | 1996-01-30 | Texas Instruments Incorporated | Method of making an interconnect structure with an integrated low density dielectric |
JPH0855913A (ja) | 1994-06-07 | 1996-02-27 | Texas Instr Inc <Ti> | サブミクロン相互接続の選択的空隙充填方法 |
US5559367A (en) | 1994-07-12 | 1996-09-24 | International Business Machines Corporation | Diamond-like carbon for use in VLSI and ULSI interconnect systems |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
JP3495116B2 (ja) | 1994-10-31 | 2004-02-09 | 東レ・ダウコーニング・シリコーン株式会社 | 撥水性薄膜およびその製造方法 |
US5492736A (en) | 1994-11-28 | 1996-02-20 | Air Products And Chemicals, Inc. | Fluorine doped silicon oxide process |
JPH08181276A (ja) | 1994-12-26 | 1996-07-12 | Toshiba Corp | 半導体装置の製造方法 |
JPH08181210A (ja) | 1994-12-26 | 1996-07-12 | Toshiba Corp | 半導体装置の製造方法 |
TW285753B (ko) | 1995-01-04 | 1996-09-11 | Air Prod & Chem | |
US5818071A (en) * | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
US5534462A (en) | 1995-02-24 | 1996-07-09 | Motorola, Inc. | Method for forming a plug and semiconductor device having the same |
US5637351A (en) | 1995-05-11 | 1997-06-10 | Air Products And Chemicals, Inc. | Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter |
FR2734402B1 (fr) | 1995-05-15 | 1997-07-18 | Brouquet Pierre | Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant |
US5593247A (en) * | 1995-09-07 | 1997-01-14 | Endcor Inc. | Programmable boat lift control system |
JP3744981B2 (ja) * | 1995-09-14 | 2006-02-15 | オリヱント化学工業株式会社 | 新規フタロシアニン又はナフタロシアニン誘導体 |
US5638251A (en) | 1995-10-03 | 1997-06-10 | Advanced Refractory Technologies, Inc. | Capacitive thin films using diamond-like nanocomposite materials |
JPH09116011A (ja) | 1995-10-23 | 1997-05-02 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
TW328971B (en) | 1995-10-30 | 1998-04-01 | Dow Corning | Method for depositing Si-O containing coatings |
JPH09237785A (ja) | 1995-12-28 | 1997-09-09 | Toshiba Corp | 半導体装置およびその製造方法 |
JPH09212535A (ja) | 1996-01-31 | 1997-08-15 | Hitachi Ltd | プリント基板への部品実装設計方法およびその支援装置 |
US5693563A (en) | 1996-07-15 | 1997-12-02 | Chartered Semiconductor Manufacturing Pte Ltd. | Etch stop for copper damascene process |
US5807785A (en) | 1996-08-02 | 1998-09-15 | Applied Materials, Inc. | Low dielectric constant silicon dioxide sandwich layer |
DE19781956T1 (de) | 1996-08-24 | 1999-07-08 | Trikon Equip Ltd | Verfahren und Vorrichtung zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat |
US5989998A (en) | 1996-08-29 | 1999-11-23 | Matsushita Electric Industrial Co., Ltd. | Method of forming interlayer insulating film |
US5834162A (en) | 1996-10-28 | 1998-11-10 | Regents Of The University Of California | Process for 3D chip stacking |
KR19980064444A (ko) | 1996-12-20 | 1998-10-07 | 윌리엄비.켐플러 | 다층 집적 회로 유전체 구조의 에칭 방법 |
US6303488B1 (en) | 1997-02-12 | 2001-10-16 | Micron Technology, Inc. | Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed |
US6211096B1 (en) * | 1997-03-21 | 2001-04-03 | Lsi Logic Corporation | Tunable dielectric constant oxide and method of manufacture |
EP0885983A1 (en) | 1997-06-19 | 1998-12-23 | N.V. Bekaert S.A. | Method for coating a substrate with a diamond like nanocomposite composition |
DE19804375B4 (de) | 1997-06-26 | 2005-05-19 | Mitsubishi Denki K.K. | Verfahren zur Herstellung eines Zwischenschichtisolierfilmes |
JP3456391B2 (ja) * | 1997-07-03 | 2003-10-14 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
US5821168A (en) | 1997-07-16 | 1998-10-13 | Motorola, Inc. | Process for forming a semiconductor device |
US5891799A (en) | 1997-08-18 | 1999-04-06 | Industrial Technology Research Institute | Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates |
US6140226A (en) | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
GB9801359D0 (en) | 1998-01-23 | 1998-03-18 | Poulton Limited | Methods and apparatus for treating a substrate |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6068884A (en) | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6054206A (en) | 1998-06-22 | 2000-04-25 | Novellus Systems, Inc. | Chemical vapor deposition of low density silicon dioxide films |
US6147009A (en) * | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US20030089992A1 (en) * | 1998-10-01 | 2003-05-15 | Sudha Rathi | Silicon carbide deposition for use as a barrier layer and an etch stop |
US6974766B1 (en) * | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
JP3827056B2 (ja) | 1999-03-17 | 2006-09-27 | キヤノンマーケティングジャパン株式会社 | 層間絶縁膜の形成方法及び半導体装置 |
EP1094506A3 (en) | 1999-10-18 | 2004-03-03 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
EP1123991A3 (en) | 2000-02-08 | 2002-11-13 | Asm Japan K.K. | Low dielectric constant materials and processes |
JP3419745B2 (ja) | 2000-02-28 | 2003-06-23 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
JP3600507B2 (ja) | 2000-05-18 | 2004-12-15 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
US6764958B1 (en) * | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
JP2002175856A (ja) * | 2000-10-13 | 2002-06-21 | Hewlett Packard Co <Hp> | 2つの物体を電気的に接続するための方法および装置 |
US6790789B2 (en) * | 2000-10-25 | 2004-09-14 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made |
CN100386472C (zh) * | 2000-10-25 | 2008-05-07 | 国际商业机器公司 | 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件 |
US6756323B2 (en) * | 2001-01-25 | 2004-06-29 | International Business Machines Corporation | Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
US6340628B1 (en) * | 2000-12-12 | 2002-01-22 | Novellus Systems, Inc. | Method to deposit SiOCH films with dielectric constant below 3.0 |
US6583048B2 (en) * | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6570256B2 (en) | 2001-07-20 | 2003-05-27 | International Business Machines Corporation | Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates |
US6531412B2 (en) | 2001-08-10 | 2003-03-11 | International Business Machines Corporation | Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications |
US6846515B2 (en) * | 2002-04-17 | 2005-01-25 | Air Products And Chemicals, Inc. | Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants |
JP4338495B2 (ja) * | 2002-10-30 | 2009-10-07 | 富士通マイクロエレクトロニクス株式会社 | シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法 |
US7404990B2 (en) * | 2002-11-14 | 2008-07-29 | Air Products And Chemicals, Inc. | Non-thermal process for forming porous low dielectric constant films |
US6825130B2 (en) | 2002-12-12 | 2004-11-30 | Asm Japan K.K. | CVD of porous dielectric materials |
US7098149B2 (en) * | 2003-03-04 | 2006-08-29 | Air Products And Chemicals, Inc. | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US20040197474A1 (en) * | 2003-04-01 | 2004-10-07 | Vrtis Raymond Nicholas | Method for enhancing deposition rate of chemical vapor deposition films |
US6972253B2 (en) * | 2003-09-09 | 2005-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming dielectric barrier layer in damascene structure |
-
2000
- 2000-10-05 US US09/679,843 patent/US6627532B1/en not_active Expired - Lifetime
-
2001
- 2001-08-29 TW TW090121385A patent/TW499709B/zh not_active IP Right Cessation
- 2001-08-29 DE DE60116216T patent/DE60116216T2/de not_active Expired - Fee Related
- 2001-08-29 EP EP01120595A patent/EP1195451B1/en not_active Expired - Lifetime
- 2001-10-05 JP JP2001310275A patent/JP2002198366A/ja active Pending
- 2001-10-05 KR KR1020010061442A patent/KR100857649B1/ko active IP Right Grant
-
2003
- 2003-07-31 US US10/632,179 patent/US6784119B2/en not_active Expired - Lifetime
-
2004
- 2004-02-27 US US10/789,209 patent/US7074708B2/en not_active Expired - Lifetime
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0960958A2 (en) * | 1998-05-29 | 1999-12-01 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films |
Also Published As
Publication number | Publication date |
---|---|
DE60116216T2 (de) | 2006-08-31 |
TW499709B (en) | 2002-08-21 |
US20040029400A1 (en) | 2004-02-12 |
KR20020027269A (ko) | 2002-04-13 |
EP1195451A1 (en) | 2002-04-10 |
US20040166665A1 (en) | 2004-08-26 |
EP1195451B1 (en) | 2005-12-28 |
US6784119B2 (en) | 2004-08-31 |
DE60116216D1 (de) | 2006-02-02 |
JP2002198366A (ja) | 2002-07-12 |
US7074708B2 (en) | 2006-07-11 |
US6627532B1 (en) | 2003-09-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100857649B1 (ko) | 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 | |
US6656837B2 (en) | Method of eliminating photoresist poisoning in damascene applications | |
US7153787B2 (en) | CVD plasma assisted lower dielectric constant SICOH film | |
US6806207B2 (en) | Method of depositing low K films | |
US7060330B2 (en) | Method for forming ultra low k films using electron beam | |
US7056560B2 (en) | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) | |
KR100696035B1 (ko) | Cvd 나노포러스 실리카 저유전상수 막 | |
EP1055012B1 (en) | Plasma processes for depositing low dielectric constant films | |
US6448187B2 (en) | Method of improving moisture resistance of low dielectric constant films | |
US6660656B2 (en) | Plasma processes for depositing low dielectric constant films | |
KR20010069210A (ko) | 낮은 수치의 절연 상수를 갖는 필름을 증착하기 위한 방법 | |
EP1607493B1 (en) | Plasma processes for depositing low dielectric constant films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
AMND | Amendment | ||
J201 | Request for trial against refusal decision | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120830 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20130830 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20140828 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20160629 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20170629 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20180903 Year of fee payment: 11 |