KR20020027269A - 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 - Google Patents

화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 Download PDF

Info

Publication number
KR20020027269A
KR20020027269A KR1020010061442A KR20010061442A KR20020027269A KR 20020027269 A KR20020027269 A KR 20020027269A KR 1020010061442 A KR1020010061442 A KR 1020010061442A KR 20010061442 A KR20010061442 A KR 20010061442A KR 20020027269 A KR20020027269 A KR 20020027269A
Authority
KR
South Korea
Prior art keywords
dielectric layer
layer
silicon
dielectric
substrate
Prior art date
Application number
KR1020010061442A
Other languages
English (en)
Other versions
KR100857649B1 (ko
Inventor
프레드릭 가일라드
티안-호 림
엘리 이에
융-쳉 루
와이-팬 야우
쿠오-웨이 리우
신-푸 젱
리-쿤 시아
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20020027269A publication Critical patent/KR20020027269A/ko
Application granted granted Critical
Publication of KR100857649B1 publication Critical patent/KR100857649B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Abstract

본 발명은 기판을 처리하기 위한 방법으로서, 화학 기상 증착에 의해 상기 기판상에 규소, 산소 및 탄소를 포함하는 유전체 층을 증착하는 단계와, 상기 유전체 층에 규소 및 탄소 함유층을 증착하는 단계를 포함하며, 상기 유전체 층은 적어도 1원자량% 탄소를 함유하고, 약 3보다 작은 유전상수를 가진다. 세개 이상의 메틸기를 가진 유기규소 화합물의 반응에 의해 증착된 유전체 층의 유전 상수는, 상대적으로 불활성인 가스의 플라즈마에서 알킬실란의 반응에 의해 비결정질의 수소화 탄화규소 층을 추가로 증착함으로써 현저하게 감소된다.

Description

화학 기상 증착에 의해 증착된 규소 층의 K값을 감소시키는 방법{METHOD OF DECREASING THE K VALUE IN SIOC LAYER DEPOSITED BY CHEMICAL VAPOR DEPOSITION}
본 발명은 집적회로의 제조에 관한 것이다. 보다 구체적으로, 본 발명은 기판 상에 유전체 층을 증착시키는 공정 및 이런 유전체 층에 의해 형성된 구조체에 관한 것이다.
오늘날, 반도체 소자의 제조에서 주 단계 중의 하나는 가스의 화학적 반응을 이용하여 기판상에 금속 및 유전체 필름을 형성하는 단계이다. 이러한 증착 과정은 화학 기상 증착 또는 CVD로 지칭된다. 통상적인 열적 CVD 처리에서는 기판 표면에 반응 가스를 제공하는데, 상기 기판 표면에서는 열 유도 화학 반응이 발생하여 소정의 필름을 형성한다.
수 십년 전에 이런 소자가 처음으로 도입된 이래 반도체 소자 구조의 크기는 현저하게 감소되어 왔다. 이후로, 집적회로는 일반적으로 2년/절반 크기 법칙(무어의 법칙으로 지칭됨)을 따르며, 이는 칩 상에 설비될 장치의 수가 매 2년마다 배가된다는 것을 의미한다. 오늘날의 제조 공장은 통상적으로 0.35㎛와 심지어는 0.18㎛ 크기의 미세구조물을 갖는 소자를 생산하고 있으며, 장래의 제조 공장은 보다 작은 크기를 갖는 소자를 생산할 것이다.
집적회로 상의 소자의 크기를 더욱 감소시키기 위해, 낮은 저항도를 갖는 전도성 재료와 낮은 유전상수(k < 4.0)를 갖는 절연체의 사용이 필요로 하게 되었으며, 이로 인해 인접한 금속 라인 사이의 전기 용량적 결합을 감소시켰다. 이런 낮은 k 유전체 재료는 도핑되지 않은 실리콘 유리(USG) 또는 플루오르로 도핑된 실리콘 유리(FSG)와 같은 스핀-온 유리이며, 이는 반도체 제조 공정에 있어 간격 매입 층으로서 증착될 수 있다.
리니어/배리어 층은 통상적으로 후속하는 증착 전도성 재료와 낮은 k 유전체 재료 사이에 증착되어, 전도성 재료상에 습기와 같은 부산물의 확산을 억제한다. 예를 들면, 낮은 k 절연체의 형성과정 동안 발생될 수 있는 습기는 전도성 금속의 표면에 쉽게 확산되어 전도성 금속 표면의 저항도를 증가시킨다. 종래의 이산화 규소 또는 질화 규소로부터 형성된 배리어/리니어 층은 부산물의 확산을 억제할 수 있다. 유사하게, 캡핑 층은 낮은 k 유전체 간격 필름에 증착되어 습기와 같은 오염물질의 확산을 억제할 수 있다. 하지만, 배리어/리니어 층과 캡핑 층은 통상적으로 4.0보다 훨씬 큰 유전상수를 가지고 있으며, 이렇게 높은 유전상수는 유전상수를 현저하게 감소시키지 않는 조합된 절연체를 야기한다.
따라서, 낮은 유전상수를 가지는 유전체 층과, 전체적으로 낮은 유전상수를 제공하는 이에 인접한 리니어/배리어 층이 필요하다.
본 발명은 낮은 유전상수를 가진 규소 산탄화물 층을 증착하고 이런 규소 산탄화물 층상에 규소 및 탄소를 함유하는 층을 증착하기 위한 방법을 일반적으로 제공한다. 한 양상에서, 본 발명은 기판을 처리하기 위한 방법으로서, 기판상에 규소, 산소 및 탄소를 포함하는 유전체 층을 증착하는 단계를 포함하며, 상기 유전체층은 적어도 1 원자량% 함량 탄소와 약 3보다 작은 유전체 상수를 가진다. 그리고, 본 발명은 이런 유전체 층상에 규소 및 탄소 함유층을 증착하는 단계를 포함한다. 상기 규소 및 탄소 함유층은 산소, 질소, 또는 이 모두에 의해 도핑될 수 있는 비결정질 탄화규소 층일 수 있다.
다른 양상에서, 본 발명은 기판을 처리하기 위한 방법을 제공하는데, 상기 방법은 유기실란 화합물과 산화 가스를 반응시킴으로써 기판상에 유전체 층을 증착하는 단계를 포함하며, 상기 유전체 층은 적어도 1 원자량% 함량 탄소와 약 3보다 작은 유전체 상수를 가진다. 그리고, 본 발명은 이런 유전체 층에 탄화규소 층 또는 도핑된 탄화규소 층을 증착하여 유전체 층의 유전상수를 감소시키는 단계를 포함한다.
본 발명의 다른 양상에서, 본 발명은 기판을 처리하기 위한 방법을 제공하는데, 세개 이상의 알킬기를 포함하는 유기규소 화합물과 오존을 반응시킴으로써 기판상에 유전체 층을 증착하는 단계를 포함하며, 상기 유전체 층은 약 5원자량% 내지 약 50 원자량% 사이의 탄소 함량을 가지며 약 3보다 작은 유전체 상수를 가진다. 그리고, 본 발명은 플라즈마 조건에서 알킬실란 화합물을 반응시킴으로써 유전체 층에 탄화규소 층 또는 도핑된 탄화규소 층을 증착하여 유전체 층의 유전상수를 약 2.4보다 낮게 감소시키는 단계를 포함한다.
본 발명의 다른 양상에서, 본 발명은 규소, 산소 및 탄소를 포함하는 유전체 층을 포함하는 기판을 제공하는데, 상기 유전체 층은 약 1 원자량% 함량 탄소를 가지며, 규소 및 탄소 함유층은 상기 유전체 층을 캡핑한다. 유전체 층은 약 2.4보다 작은 유전 상수를 가지는 것이 바람직하다.
도 1은 본 발명에 따라 사용되도록 형성된 예시적인 CVD 플라즈마 처리 챔버의 횡단면도.
도 2는 도 1의 예시적인 CVD 플라즈마 처리챔버와 함께 사용되는 공정 제어 컴퓨터 프로그램의 흐름도.
도 3은 규소 산탄화물 층(silicon oxycarbide layer)과 탄화규소 캡 층을 포함하는 다마스크(damascene)구조체의 단면도;
도 4a 내지 4c는 일련의 다마스크 증착의 한 실시예를 도시하는 단면도;
도 5는 두개의 규소 산탄화물 층과 두개의 탄화규소 캡 층을 포함하는 듀얼 다마스크 구조체의 단면도;
도 6a 내지 6g는 일련의 듀얼 다마스크 증착의 한 실시예를 도시하는 단면도;
도 7은 다른 실시예에 따라 간격 매입 처리에 있어 규소 산탄화물과 탄화규소 캡 층을 증착하는 단계를 도시하는 흐름도;
도 8a 내지 8e는 도 7의 공정에 의해 기판에 증착된 층의 개략적인 다이어그램;
본 발명에 대한 추가의 이해를 위해, 상세한 설명을 참조로 한다.
본 발명은 낮은 유전상수를 가지는 규소 산탄화물(silicon oxycarbide) 층을 증착하고, 이런 규소 산탄화물 층에 규소 및 탄소 함유층을 증착하기 위한 장치 및 방법에 관한 것이다. 놀랍게도 그리고 예상외로, 플라즈마를 이용하여 규소 산탄화물 층에 규소 및 탄소 함유층을 증착하는 것은 아래층에 있는 규소 산탄화물 재료의 유전상수를 감소시키며, 이는 규소 탄산화물 층의 변형 또는 수축됨 없이 탄소의 일부를 제거함으로써 명백해진다.
규소 탄산화물 층은 유기규소 화합물을 반응시킴으로써 증착되어, 탄소-규소 본드를 포함하고 약 3보다 낮은 유전상수를 가지는 유전체 층을 형성한다. 규소 탄산화물 층은, 규소 및 탄소 함유층이 증착되기 전, 유전체 재료 사이에 간격 매입 유전체 층 또는 평면 층으로서 증착될 수 있다. 규소 및 탄소 함유층은 산소, 질소 또는 이 모두에 의해 도핑될 수 있는 탄화규소 캡 층이 바람직하다.
규소 탄산화물 층은 규소-탄소 본드에 낮은 유전상수와 배리어 특성에 기여하는 탄소를 함유하고 있다. 증착된 필름에 잔류하는 탄소는 약 1 원자량% 내지 약 50 원자량%, 바람직하게는 약 5 원자량% 내지 약 50 원자량%이다. 증착된 필름은 C-H 또는 C-F 본드를 완전히 포함하여 규소 산탄화물 층에 소수성을 제공할 수 있다. 규소 탄산화물 층에 있는 탄소-규소 본드는 결과적으로 유전 상수를 약 3 또는 이 보다 낮게 낮춘다.
규소 산화물 층은 공정 조건에서 산화에 의해 이미 제거되지 않은 유기 군의탄소를 함유하는 유기 규소 화합물로부터 생성된다. 적합한 유기 군은 알킬, 알케닐, 시클로헥세닐, 및 아닐 그룹 및 작용성 유도체를 포함한다. 상기 유기 규소 화합물은,
메틸실란, CH3-SiH3
디메틸실란, (CH3)2-SiH2
트리메틸실란, (CH3)3-SiH
테트라메틸실란, (CH3)4-Si
디메틸실란디올, (CH3)2-Si-(OH)2
에틸실란, CH3-CH2-SiH3
페닐실란, C6H5-SiH3
디페닐실란, (C6H5)2-SiH2
디페닐실란디올, (C6H5)2-Si-(OH)3
메틸페닐실란, C6H5-SiH2-CH3
디실라노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄, CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판, SiH3-C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2CH2-)3-(사이클릭)
디메틸디메톡시실란, (CH3)2-Si-(OCH3)2
디에틸디에톡시실란, (CH3CH2)2-Si-(OCH2CH3)2
디메틸디에톡시실란, (CH3)2-Si-(OCH2CH3)2
디에틸디메톡시실란, (CH3CH2)2-Si-(OCH3)2
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
1,1,3,3,-테트라메틸디실록산, (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산, (CH3)3-Si-O-Si-(CH3)3
1,3-비스(실라노메틸렌)디실록산, (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실로사닐)메탄, (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-테트라메틸시클로테트라실록산, -(-SiHCH3-O-)4-(사이클릭)
옥타메틸시클로테트라실록산, -(Si(CH3)2-O-)4-(사이클릭)
2,4,6,8,10-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(사이클릭)
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, -(SiH2-CH2-SiH2-O-)2-(사이클릭)
2,4,6-트리실란테트라히드로피란, -SiH2-CH2-SiH2-CH2-SiH2-O-(사이클릭)
2,5-디실란테트라히드로포란, -SiH2-CH2-CH2-SiH2-O-(사이클릭)
및 이들의 플루오르가 첨가된 유도체를 포함한다.
본 발명의 바람직한 양상에서, 규소 탄산화물 층은, 오존을 포함하는 산화 가스와 함께 세개 이상의 알킬기를 포함하는 유기규소 화합물의 반응에 의해 증착된다. 규소 산탄화물 층은, 유기규소 화합물이 산소를 포함하고 있다면 산화제 없이 증착될 수 있다. 바람직한 유기규소 화합물은,
트리메틸실란, (CH3)3-SiH
테트라메틸실란, (CH3)4-Si
1,1,3,3,-테트라메틸디실록산, (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산, (CH3)3-Si-O-Si-(CH3)3
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-테트라메틸시클로테트라실록산, -(-SiHCH3-O-)4-(사이클릭)
옥타메틸시클로테트라실록산, -(Si(CH3)2-O-)4-(사이클릭)
2,4,6,8,10-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(사이클릭)
및 이들의 플루오르가 첨가된 유도체를 포함한다.
가장 바람직한 유기규소 화합물은 트리메틸실란이며, 이는 이하 보다 상세히 설명된 바와 같이 비결정질 탄화규소 층을 만들기 위한 바람직한 알킬실란이다.
유기규소 화합물은, 규소 산탄화물 층이 증착되는 동안 산소(O2), 오존(O3), 일산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 물(H20), 또는 이들의 혼합물에 의해 반응하여 산화된다. 오존이 산화가스로 사용될 경우, 오존 발생기는 통상적으로 가스원의 약 15 중량% 산소를 오존으로 변환시키며, 잔류물은 통상적으로 산소이다. 그러나, 오존 농도는 소정의 오존 양과, 사용된 오존 발생 장치를 기초로 하여 증가되거나 감소될 수 있다. 산소를 포함하는 유기규소 화합물은 산소를 제공하기 위해 분리될 수 있다.
유기규소 화합물은, 증착된 필름의 탄소 함량이 약 1 원자량% 내지 약 50 원자량%, 바람직하게는 약 5 원자량% 내지 약5 0원자량%이 되도록 증착과정 동안 산화된다. 규소 산탄화물 층의 증착과정 동안, 기판은 약 -20℃ 내지 약 500℃ 사이의 온도에서 유지되고, 바람직하게는 약 170℃ 내지 약 180℃의 온도에서 유지된다.
후속하는 증착과정 동안, 증착된 유전체 재료는 약 1분 내지 약 60분 동안, 바람직하게는 약 30분 동안, 약 100℃ 내지 약 400℃ 사이의 온도에서 어닐링되며, 이에 따라 필요한 경우 유전체 재료의 고형성과 경도가 증가되고 수분 함량이 감소된다. 어닐링은 유전체 층의 수축 또는 변형을 억제하는 다음 층이 증착된 후에 수행되는 것이 바람직하다. 아르곤 또는 헬륨과 같은 불활성 가스는 어닐링 분위기에서 첨가될 수 있다.
규소 산탄화물 층의 플라즈마 향상 증착에 있어, 유기규소 재료는 약 0.03W/cm2내지 약 3.2W/cm2사이의 출력범위를 이용하여 증착되고, 이 출력범위는 200mm 기판에 대해 약 10W 내지 약 1000W 사이의 RF 전력레벨이다. 규소 산탄화물 층은 연속적으로 또는 개입(interruption)이 있으면서, 가령 챔버를 변화시키거나 냉각시간을 제공함으로써 증착될 수 있으며, 이에 따라 다공성을 개선시킨다. RF 전력은 13MHz 내지 14MHz와 같은 고주파수로 제공될 수 있다. RF 전력은 연속적으로 또는 짧은 지속시간 사이클로 제공될 수 있으며, 전원은 약 200Hz보다 낮은 사이클동안 정해진 레벨로, 그리고 총 듀티 사이클의 약 10% 내지 약 30%의 사이클로 제공될 수 있다.
플라즈마 향상 증착의 한 실시예에서, 산소 또는 산소 함유 화합물은 분리되어 반응성을 증가시키고 증착 필름의 소정의 산화를 달성한다. RF 전력은 증착챔버에 연결되어 화합물의 분리를 증가시킨다. 또한, 화합물은 증착챔버에 유입되기 전에 극초단파 챔버에서 분리될 수 있다.
증착은 하나의 증착챔버에서 일어나는 것이 바람직하지만, 규소 산탄화물 층은 두개 이상의 챔버에서 증착과정동안 필름의 냉각이 가능토록 연속적으로 증착될 수 있다.
규소 산탄화물 층상에 증착된 규소 및 탄소 함유층은 탄화규소 재료, 산소로 도핑된 탄화규소 재료, 질소로 도핑된 탄화규소 재료, 또는 이들의 화합물을 포함할 수 있다. 규소 및 탄소 함유층은 비결정질의 수소화 탄화규소가 바람직하다. 비결정질 탄화규소 층은 불활성 가스의 플라즈마에서 알킬실란 화합물, 또는 탄소 함유 재료와 규소 함유 재료의 반응에 의해 생성될 수 있다. 암모니아와 같은 질소원 또는 산소는 도핑된 탄화규소 층을 형성하기 위해 반응과정동안 존재할 수도 있다.
탄화규소 층을 증착하기 위한 적절한 알킬실란 화합물은,
메틸실란, CH3-SiH3
디메틸실란, (CH3)2-SiH2
트리메틸실란, (CH3)3-SiH
디에틸실란, ((C2H5)2SiH2)
프로필실란, (C3H7SiH2)
비닐메틸실란, (CH2=CH)CH3SiH2
1,1,2,2-테트라메틸디실란, (HSi(CH3)2-Si(CH3)2H)
헥사메틸디실란, ((CH3)3Si-Si(CH3)3)
1,1,2,2,3,3-헥사메틸트리실란, (H(CH3)2Si-Si(CH3)2-SiH(CH3)2)
1,1,2,3,3-펜타메틸트리실란, (H(CH3)2Si-SiH(CH3)-SiH(CH3)2)
디메틸디실라노에탄, (CH3-SiH2-(CH2)2-SiH2-CH3)
디메틸디실라노프로판, (CH3-SiH-(CH2)3-SiH-CH3)
테트라메틸디실라노에탄, ((CH)2-SiH-(CH2)2-SiH-(CH)2)
테트라메틸디실라노프로판, ((CH3)2-Si-(CH2)3-Si-(CH3)2)
및 이들의 탄화플루오르가 첨가된 유도체를 포함한다.
알킬실란 화합물은 상대적으로 불활성한 가스, 바람직하게는 헬륨 또는 아르곤, 또는 질소(N2)와 같은 희가스를 포함하는 플라즈마에서 반응한다. 증착된 탄화규소 필름은 약 6 또는 이 보다 낮은, 바람직하게는 약 3 또는 이 보다 낮은 유전상수를 가진다. 탄화규소 층의 증착은 규소 산탄화물 층의 유전 상수를 약 2.4보다 낮게 감소시킨다.
한 실시예에서 바람직한 탄화규소 층은 트리메틸실란이 플라즈마 처리 챔버에 약 10 내지 약 1000스텐다드 큐빅 센티미터 퍼 미닛(sccm)의 유동 속도로 공급됨으로써 증착된다. 또한, 헬륨, 아르곤, 또는 이들의 화합물과 같은 불활성 가스는 약 500sccm 내지 약 5000sccm의 유동 속도로 챔버에 공급된다. 챔버 압력은 약 100밀리토르(milliTorr) 내지 약 15토르로 유지된다. 기판 표면의 온도는 증착과정동안 약 100℃ 내지 약 450℃로 유지된다. 대안적으로, 도핑된 탄화규소 층은 산소 및/또는 질소원, 또는 다른 도펀트가 처리 챔버에 약 50sccm 내지 약10,000sccm의 유동 속도로 유입됨으로써 증착될 수 있다.
유기규소 화합물, 불활성 가스 및 선택적 도펀트는, 탄화규소 층이 증착되어 있는 기판으로부터 약 200밀리미터(mm) 내지 약 600밀리미터로 이격된 가스 분배 플레이트를 통해 처리 챔버로 유입된다. 하나의 13.56MHz RF 전원으로부터 나온 전력은 챔버(10)로 공급되어, 200mm 기판에 대해 약 0.3watts/cm2내지 약 3.2 watts/cm2의 전력 밀도, 또는 약 100와트 내지 1000와트의 전력레벨에서 플라즈마를 형성한다. 200mm 기판에 대해 약 0.9watts/cm2내지 약 2.3watts/cm2의 전력밀도, 또는 약 300와트 내지 약 700와트의 전력레벨가 처리 챔버에 공급되어 플라즈마를 발생시키는 것이 바람직하다. 또한, 가스 혼합물에서 도펀트에 대한 규소원의 비율은 약 1:1 내지 약 1:100의 범위를 가져야 한다. 상기 공정 파라미터는, 캘리포니아 산타클라라(California, Santa Clara)에 소재하는 어플라이드 머티어리얼스 인코포레이티드(Applied Materials, Inc.)로부터 구입 가능한 증착챔버에서 200mm(밀리미터) 기판상에서 수행될 경우, 탄화규소 층에 대해 약 100Å/min 내지 3000Å/min 범위의 증착률을 제공한다.
낮은 유전상수를 가진 탄화규소 층을 증착하기 위한 공정은 1998년 10월 1일자로 출원되어 동시 계류중인 미국특허출원 시리얼 제 09/165,248호와 2000년 3월 16일자로 출원되어 동시 계류중인 미국특허출원 시리얼 제 09/270,039호에 보다 상세히 설명되어 있으며, 상기 두 명세서는 본 발명에 대해 일치하는 범위에서 참조로 사용된다. 탄화규소 층을 증착하기 위해 설명된 실시예들은 본 발명을 설명하기 위해 제공되며, 도시된 특정 실시예들은 본 발명의 범위에 제한을 두지 않는다. 또한, 본 발명은 탄화규소 층을 증착하기 위해 사용된 재료와 다른 처리를 고려한다.
본 발명의 증착 과정은 이하 보다 상세히 설명된 바와 같은 기판 처리 시스템에서 수행될 수 있다.
CVD 반응기의 실례
도 1은 고진공 영역(15)을 가진 평행한 플레이트 화학 기상 증착 처리 챔버(10)의 수직 단면을 도시하고 있다. 처리 챔버(10)는 다기관에서 천공된 구멍을 통해 처리 가스를 기판 또는, 리프트 모터(14)에 의해 상승 또는 하강되는 기판 지지 플레이트 또는 서셉터(12)상에 놓이는 기판(도시 안됨)에 가스를 분배하기 위한 가스 분배 다기관(11)을 포함하고 있다. 또한, 통상적으로 TEOS의 액체 분사용과 같은 액체 분사 시스템(도시 안됨)이 액체인 유기규소 화합물을 분사하기 위해 제공될 수도 있다.
처리 챔버(10)는 저항 가열 코일(도시 안됨) 또는 외부 램프(도시 안됨)에 의해 가열되는 처리 가스와 기판을 포함한다. 도 1을 참조하면, 서셉터(12)는, 서셉터(12)가 하강 로딩/오프-로딩 위치와, 다기관(11)에 가까이 인접하여 있는 상승 처리 위치 사이에서 제어 가능하게 이동될 수 있도록 지지 스템(13)에 고정되어 있다.
서셉터(12)와 기판이 처리 위치(14)에 있을 경우, 이들은 절연체(17)에 의해 둘러싸이고, 처리 가스는 다기관(24)으로 배출된다. 처리되는동안, 다기관(11)에대해 불활성 가스는 기판의 표면에 걸쳐 반경방향으로 균일하게 분배된다. 스로틀 밸브를 갖춘 진공 펌프(32)는 챔버로부터 가스의 배출률을 제어한다.
다기관(11)에 도달하기 전에, 증착 및 캐리어 가스는 가스 라인(18)을 통해 혼합 시스템(19)으로 유입되고, 화합된 다음, 다기관(11)으로 보내진다. 일반적으로, 처리 가스에 대한 각각의 처리 가스 공급 라인(18)은 (i) 챔버에 처리 가스의 유동을 자동으로 또는 수동으로 폐쇄하기 위해 사용될 수 있는 안전 셧-오프 밸브(도시 안됨), 그리고 (ⅱ) 가스 공급 라인을 통해 가스의 유동을 측정하는 질량 유동 제어기(역시 도시 안됨)를 포함하고 있다. 독가스가 처리에 사용될 경우, 여러 개의 안전 셧-오프 밸브는 종래의 구조식으로 각 가스 공급 라인에 배치된다.
처리 챔버(10)에서 수행된 증착과정은 열처리 또는 플라즈마 향상 처리 중 어느 하나일 수 있다. 플라즈마 처리에서, 제어된 플라즈마는 통상적으로 (접지된 서셉터(12)를 갖춘) 전원장치(25)로부터 분배 다기관(11)에 인가된 RF에너지에 의해 기판에 인접하여 형성된다. 대안적으로, RF 전력은 서셉터(12)에 제공될 수 있고, 또는 RF 전력은 다른 주파수로 다른 구성요소에 제공될 수 있다. RF 전원장치(25)는 고진공 영역(15)으로 유입된 반응종의 분해를 향상시키기 위해 하나 또는 혼성 주파수 RF 전력 중 어느 하나를 공급할 수 있다. 혼성 주파수 RF 전원장치는 통상적으로 13.56MHz의 RF 고주파(RF1)로 전력을 분배 다기관(11)에 공급하고 360KHz의 RF 저주파(RF2)로 전력을 서셉터(12)에 공급한다. 본 발명의 규소 산탄화물 층은 일정한 고주파 RF 전력의 낮은 레벨 또는 고주파 RF 전력의 펄스된 레벨을 이용하여 생성되는 것이 가장 바람직하다.
산화 가스의 추가의 분해가 필요할 경우, 증착챔버로 유입되기 전에 선택적인 극초단파 챔버(28)가 0와트 내지 약 6000와트의 극초단파 전력을 산화 가스로 공급하기 위해 사용될 수 있다. 극초단파 전력의 개별적인 추가는 산화가스와 반응하기 전에 유기규소 화합물의 지나친 분해를 피할 수 있도록 한다. 유기규소 화합물과 산화가스에 대해 독립된 통로를 갖춘 가스 분배 플레이트는, 극초단파 전력이 산화가스에 인가될 경우 유용하다.
통상적으로, 챔버 라이닝 모두 또는 일부, 분배 다기관(11), 서셉터(12), 다양한 다른 반응기 하드웨어는 알루미늄 또는 아노다이징된 알루미늄과 같은 재료로 제조된다. 이런 CVD 반응기의 실례는, 본 발명의 양도인인 왕(Wang) 외에 의해 출원되고 어플라이드 머티어리얼스 인코포레이티드에 양도된, "A Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process"라는 제목으로 미국특허 제 5,000,113호에 설명되어 있다.
리프트 모터(14)는 처리 위치와 하강 기판-로딩 위치 사이에서 서셉터(12)를 상승 및 하강시킨다. 모터, 가스 혼합 시스템(19) 및 RF 전원장치(25)는 제어라인(36)을 통해 시스템 제어기(34)에 의해 제어된다. 처리 챔버는 질량 유동 제어기(MFCs) 및 스텐다드 또는 펄스된 RF 발생기와 같은 아날로그 어셈블리를 포함하며, 이들은 메모리(38)에 저장된 시스템 제어 소프트웨어를 실행시키는 시스템 제어기(34)에 의해 제어되며, 바람직한 실시예에서 메모리는 하드디스크 드라이브이다. 모터 및 선택적 센서는 진공 챔버(32)의 스로틀 밸브와 서셉터(12)를 위치시키기 위한 모터와 같은 이동식 기계 어셈블리의 위치를 결정하고 이동시키기 위해 사용된다.
시스템 제어기(34)는 CVD 반응기의 모든 운동을 제어하고, 이런 제어기(34)의 바람직한 실시예는 하드디스크 드라이브, 플로피 디스크 드라이브 및 카드랙을 포함한다. 카드랙은 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어기 보드를 포함한다. 시스템 제어기는 보드, 카드 케이지 및 커넥터의 치수와 형태를 정의하는 벌사 모듈 유럽피안(VME) 표준에 따른다. 또한, VME 표준은 16-비트 데이터 버스와 24-비트 어드레스 버스를 갖춘 버스 구조를 정의한다.
시스템 제어기(34)는 하드디스크 드라이브(38)에 저장된 컴퓨터 프로그램의 제어하에서 작동한다. 컴퓨터 프로그램은 시간, 가스의 혼합, RF 전력 레벨, 서셉터의 위치 및 특정 처리의 다른 파라미터를 규정한다.
도 2를 참조하면, 공정은 예를 들어 시스템 제어기(34)에서 작동하는 컴퓨터 프로그램 제품을 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 통상의 컴퓨터 판독 가능한 프로그래밍 언어로 쓰일 수 있다. 적합한 프로그램 코드가 통상의 텍스트 편집기를 사용하여 단일 파일, 또는 다중 파일 내에 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터의 사용 가능한 매체에 저장되거나 구체화된다. 입력된 코드가 고수준의 언어이면, 코드는 기계어로 번역되고, 결과적인 컴파일러 코드는 미리 기계어로 번역된 윈도우 라이브러리 루틴의 목적 코드와 연결된다. 연결되고 기계어로 번역된목적 코드를 실행하기 위해, 시스템 사용자는 목적 코드를 불러내어, 컴퓨터 시스템이 코드를 메모리에 장착시키고, CPU는 프로그램에서 확인된 작업을 수행하기 위해 코드를 읽고 실행한다.
도 2는 컴퓨터 프로그램(210)의 계층적 제어 구조의 설명적인 블록 선도를 도시한다. 사용자는 형광팬 인터페이스를 사용하여 CRT 모니터 상에 현시된 메뉴 또는 스크린에 응답하여 공정 세트 번호와 처리 챔버 번호를 공정 선택 서브루틴(220)에 입력한다. 공정 세트는 특정 공정을 수행하는데 필요한 공정 변수의 미리 결정된 세트이며, 미리 한정된 세트 번호에 의해 확인된다. 공정 선택 서브루틴(220)은 (ⅰ) 센츄라(Centura, 등록 상표) 플랫폼(어플라이드 머티어리얼스사로부터 구입 가능함)과 같은 클러스터 장치 상의 소정의 처리 챔버를 선택하고, (ⅱ) 소정의 공정을 수행하기 위한 처리 챔버를 작동하는데 필요한 소정의 처리 변수 세트를 선택한다. 특정 공정을 수행하는 처리 변수는 예를 들어 처리 가스 조성과 유동 속도, 온도, 압력, RF 바이어스 전력의 수준과 같은 플라즈마 조건 및 자기장 전력 수준, 냉각 가스 압력, 및 챔버 벽 온도와 같은 처리 조건에 관계되며 사용자에 처리 방법의 형태로 제공된다. 처리 방법에 의해 특정화된 변수는 형광펜/CRT 모니터 인터페이스를 사용하여 입력된다.
공정을 모니터하는 신호는 시스템 제어기의 아날로그 입력과 디지털 입력 보드에 의해 제공되고 공정을 제어하는 신호는 시스템 제어기(34)의 아날로그 출력과 디지털 출력 보드 상에 출력된다.
처리 시퀀스 서브루틴(230)은 확인된 처리 챔버와 공정 선택 서브루틴(220)으로부터의 처리 변수 세트를 수용하고, 다양한 처리 챔버의 작동을 제어하는 프로그램 코드를 포함한다. 다중 사용자는 처리 세트 번호와 처리 챔버 번호를 입력할 수 있거나, 사용자는 다중 처리 챔버 번호를 입력할 수 있어서, 시퀀스 서브루틴(230)은 소정의 시퀀스 내의 선택된 공정을 스케쥴하도록 작동한다. 바람직하게 시퀀스 서브루틴(230)은 (ⅰ) 챔버가 사용되는지를 결정하는 처리 챔버의 작동을 모니터하는 단계, (ⅱ) 사용되고 있는 챔버에서 어떤 공정이 수행되고 있는지를 결정하는 단계, 및 (ⅲ) 수행될 처리 챔버와 처리 형태의 이용가능성에 기초한 바람직한 공정을 실행하는 단계를 수행하는 컴퓨터 판독 가능한 프로그램 코드를 포함한다. 폴링과 같은 처리 챔버를 모니터하는 통상의 방법이 사용될 수 있다. 어떤 공정이 실행될지를 스케쥴할 때, 시퀀스 서브루틴(230)은 선택된 공정에 대한 바람직한 처리 조건, 또는 각각 특정의 사용자가 입력한 요구사항의 "에이지", 또는 시스템 프로그래머가 스케쥴 우선순위를 결정하는데 포함될 것을 요구하는 소정의 다른 관계 인자와 비교하여 사용될 처리 챔버의 현재 조건을 고려하여 설계될 수 있다.
시퀀서 서브루틴(230)이 어떤 처리 챔버와 처리 세트 조합이 실행될 것인지를 결정하면, 시퀀서 서브루틴(230)은 시퀀서 서브루틴(230)에 의해 결정된 처리 세트에 따라 처리 챔버(10) 내의 다중 처리 작업을 제어하는 챔버 관리 서브루틴(240)에 특정 처리 변수 세트를 통과시킴으로서 처리 세트를 실행하게 한다. 예를 들어, 챔버 관리 서브루틴(240)은 처리 챔버(10) 내에서 CVD 처리 작업을 제어하는 프로그램 코드를 포함한다. 챔버 관리 서브루틴(240)은 선택된 처리세트를 수행하는데 필요한 챔버 부재의 작동을 제어하는 다양한 챔버 부재 서브루틴의 실행을 제어한다. 챔버 부재 서브루틴의 예는 서셉터 제어 서브루틴(250), 처리 가스 제어 서브루틴(260), 압력 제어 서브루틴(270), 히터 제어 서브루틴(280), 및 플라즈마 제어 서브루틴(290)이다. 당업자는 이미 다른 챔버 제어 서브루틴이 반응챔버(10) 내에서 어떤 공정이 수행될 것이 요구되는지에 따라서 포함될 수 있다는 것을 인식할 것이다.
처리 공정 중에, 챔버 관리 서브루틴(240)은 실행될 특정 처리 세트에 따라 처리 부재 서브루틴을 선택적으로 스케쥴하거나 요청한다. 챔버 관리 서브루틴(240)은 시퀀서 서브루틴(230)이 어떤 처리 챔버(10)와 처리 세트가 다음에 실행될 것인지를 스케쥴하는 방법과 유사하게 처리 부재 서브루틴을 스케쥴한다. 일반적으로, 챔버 관리 서브루틴(240)은 다양한 챔버 부재를 모니터하는 단계, 처리 세트가 실행되는 처리 변수에 기초해서 어떤 부재가 작동될 것이 요구되는지를 결정하는 단계, 및 상기 모니터하는 단계 및 결정하는 단계에 응답하여 챔버 부재 서브루틴을 실행하는 단계를 포함한다.
특정 챔버 부재 서브루틴의 작동이 도 2를 참조하여 개시될 것이다. 서셉터 제어 위치 서브루틴(250)은 기판을 서셉터(12) 상에 장착시키고, 선택적으로 기판과 가스 분배 분기관(11) 사이의 공간을 제어하도록 처리 챔버(10) 내의 소정의 위치로 기판을 이동시키는데 사용되는 챔버 부재를 제어하는 프로그램 코드를 포함한다. 기판이 처리 챔버(10) 내에 장착될 때, 서셉터(12)는 기판을 수용하도록 하강하고, 그후, CVD 공정 중에 서셉터(12)는 기판을 가스 분배 분기관(11)으로부터 제1 거리 또는 공간에서 유지하도록 챔버 내의 소정의 높이로 상승된다. 처리 공정 중에, 서셉터 제어 서브루틴(250)은 챔버 관리 서브루틴(240)으로부터 전달되는 처리 변수 세트에 응답하여 서셉터(12)의 이동을 제어한다.
처리 가스 제어 서브루틴(260)은 처리 가스의 조성과 유동 속도를 제어하는 프로그램 코드를 포함한다. 처리 가스 제어 서브루틴(260)은 안전 마개 밸브의 개방/밀폐 위치를 제어하고, 소정의 가스 유동 속도를 얻기 위해 질량 유동 제어기를 상방향/하방향으로 경사지게 한다. 처리 가스 제어 서브루틴(260)은 모든 챔버 부재 서브루틴이 그런것처럼, 챔버 관리 서브루틴(240)에 의해 야기되고, 소정의 가스 유동 속도에 관계된 챔버 관리 서브루틴 처리 변수로부터 수용한다. 일반적으로, 처리 가스 제어 서브루틴(260)은 가스 공급 라인을 개방시키는 단계, 및 반복적으로 (ⅰ) 필요한 질량 유동 제어기를 읽는 단계, (ⅱ) 읽은 값과 챔버 관리 서브루틴(240)으로부터 수용된 소정의 유동 속도를 비교하는 단계, 및 (ⅲ) 필요시 가스 공급 라인의 유동 속도를 조절하는 단계에 의해 작동한다. 또한, 처리 가스 제어 서브루틴(260)은 불안정한 속도에 대한 가스 유동 속도를 모니터하는 단계, 및 불안정한 조건이 제거될 때 안전 마개 밸브를 활성화시키는 단계를 포함한다.
소정의 공정에서, 헬륨 또는 아르곤과 같은 불활성 가스는 반응성 처리 가스가 챔버내로 유입되기 전에 챔버 내의 압력을 안정화시키도록 처리 챔버(10) 내로 유입된다. 이러한 공정에 있어서, 처리 가스 제어 서브루틴(260)은 챔버 내의 압력을 안정화시키기에 필요한 양의 시간동안 불활성 가스를 챔버(10) 내로 유입시키는 단계를 포함하도록 프로그램되어, 상술된 단계가 수행될 것이다. 부가적으로,처리 가스가 액체 전구체, 예를 들어, 1,3,5-트리실라노-2,4,6-트리메틸렌(1,3,5-트리실라나시클로-헥산)으로부터 증발될 때, 처리 가스 제어 서브루틴(260)은 헬륨과 같은 전달 가스를 버블러 조립체의 액체 전구체를 통해 거품을 내게 하는 단계를 포함하도록 기록된다. 이러한 형태의 공정에 있어서, 처리 가스 제어 서브루틴(260)은 소정의 처리 가스 유동 속도를 얻기 위해 전달 가스의 유동, 버블러 내의 압력, 및 버블러 온도를 조절한다. 상술된 것처럼, 소정의 처리 가스 유동 속도는 공정 변수로서 처리 가스 제어 서브루틴(260)에 전달된다. 또한, 처리 가스 제어 서브루틴(260)은 소정의 처리 가스 유동 속도에 대해 필요한 값을 포함하는 저장된 테이블을 통과시킴으로써 소정의 처리 가스 유동 속도를 위해 필요한 전달 가스 유동 속도, 버블러 압력, 및 버블러 온도를 얻는 단계를 포함한다. 필요한 값이 얻어지면, 전달 가스 유동 속도, 버블러 압력 및 버블러 온도는 모니터되고, 필요한 값에 비교되어 조절된다.
압력 제어 서브루틴(270)은 배기 펌프(32) 내의 스로틀 밸브의 개구의 크기를 조절함으로써 처리 챔버(10) 내의 압력을 제어하는 프로그램 코드를 포함한다. 스로틀 밸브 개구의 크기는 총 처리 가스 유동, 처리 챔버의 크기, 및 배기 펌프(32)에 대한 펌핑 압력 세트 포인트에 관해서 소정의 수준으로 챔버의 압력을 조절하도록 설정된다. 압력 제어 서브루틴(270)이 야기될 때, 소정의, 또는 타겟 압력의 정도가 챔버 관리 서브루틴(240)으로부터 변수로서 받아들여진다. 압력 제어 서브루틴(270)은 챔버에 연결된 하나 이상의 통상의 압력계를 읽음으로써 처리 챔버(10) 내의 압력을 측정하고, 타겟 압력에 따라 저장된 압력 테이블로부터 PID(비례, 적분, 및 미분) 값을 얻고, 압력 테이블로부터 얻어진 PID 값에 따라 스로틀 밸브를 조절하도록 작동한다. 선택적으로, 압력 제어 서브루틴(270)은 처리 챔버(10)를 소정의 압력으로 조절하기 위해 스로틀 밸브를 특정 개방 크기로 개방 또는 밀폐하도록 기록될 수 있다.
히터 제어 서브루틴(280)은 서셉터(12)를 가열하도록 사용되는 가열 모듈 또는 방사 열의 온도를 제어하도록 프로그램 코드를 포함한다. 히터 제어 서브루틴(280)은 챔버 관리 서브루틴(240)에 의해 야기되어 타겟, 또는 세트포인트, 온도 변수를 받아들인다. 히터 제어 서브루틴(280)은 서셉터(12)에 위치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 측정된 온도와 세트포인트 온도를 비교하며, 세트포인트 온도를 얻기 위해 가열 모듈에 가해진 전류를 증가시키거나 감소시킨다. 온도는 저장된 환산표 내의 대응 온도를 찾거나, 제 4차 다항식으로 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 히터 제어 서브루틴(280)은 점진적으로 가열 모듈에 가해진 전류의 경사 엎/다운을 제어한다. 점진적인 경사 엎/다운은 가열 모듈의 수명과 신뢰도를 증가시킨다. 부가적으로, 빌트-인-페일-세이프(built-in-fail-safe) 모드가 처리 안전 컴플라이언스를 탐지하도록 포함될 수 있고, 처리 챔버(10)가 적절하게 설치되지 않으면 가열 모듈의 작동을 중지시킬 수 있다.
플라즈마 제어 서브루틴(290)은 처리 챔버(10) 내의 처리 전극에 가해진 RF 바이어스 전력 수준을 설정하거나, 부가적으로 처리 챔버 내에 생성된 자기장의 정도를 설정하는 프로그램 코드를 포함한다. 전술된 챔버 부재 서브루틴과 유사하게, 플라즈마 제어 서브루틴(290)은 챔버 관리 서브루틴(240)에 의해 야기된다.
상기의 CVD 시스템의 개시 내용은 주로 설명의 목적이며, 전극 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도 연결된 RF 고밀도 플라즈마 CVD 장치, 등과 같은 다른 플라즈마 CVD 장치가 사용될 수도 있다. 부가적으로, 서셉터 설계, 히터 설계, RF 전력 연결부 및 다른 부재의 위치의 변화와 같은 상술된 시스템의 변화가 가능하다. 예를 들어, 웨이퍼는 저항성 가열 서셉터에 의해 지지되고 가열될 수 있다. 본 발명에 따른 예비 처리된 층을 형성하는 예비처리 및 방법은 소정의 특정 장치 또는 소정의 특정 플라즈마 강화 방법에 제한되는 것은 아니다.
탄화규소 재료 및 규소 산탄화물 층의 증착
규소 산탄화물 층과, 탄화규소 층 또는 그 위에 증착된 탄화규소 층을 포함하는 다마스크 구조체가 도 3에 도시되어 있다. 전도성 피쳐(310)가 기판(300)에 배치되어 있다. 규소 산탄화물은 설명된 바와 같이 탄화규소의 배리어 층(312) 또는 유전체 리니어 상에 유전체 층(314)으로서 증착된다. 리니어 또는 배리어 층은 대안적으로 질화 규소와 같은 배리어 재료와 다른 유전체 리니어를 포함할 수 있다. 탄화규소는 여기서 설명된 바와 같이 유전체 층(314)에 캡 층(316)으로서 증착된다. 캡 층(316)은 추가의 기판 처리동안 에칭 스톱 또는 리니어 층으로서 수행될 수 있다. 캡 층(316), 유전체 층(314), 그리고 유전체 리니어 또는 배리어 층(312)은 패턴 에칭되어 인터커넥트(317)의 개구를 형성하며, 이에 따라 아래층인 전도성 피쳐(310)에 리니어가 노출된다. 전도성 리니어/배리어 층(318)은 인터커넥트(317) 내에 증착되고, 전도성 재료(320)가 그 위에 증착되어 인터커넥트(317)를 매입한다. 도시된 바와 같이, 기판은 통상적으로 증착과정 후에 평탄화된다.
본 발명에 따라 제조된 바람직한 다마스크 구조체는, 도 3에 도시된 바와 같이 규소 산탄화물 층과 탄화규소 층을 포함하며, 이런 구조체를 만들기 위한 일련의 방법이 도 4a 내지 4c에 개략적으로 도시되어 있으며, 이 도면들은 기판에 형성된 본 발명의 단계를 가진 기판의 단면이다.
도 4a에 도시된 바와 같이, 설명된 증착 과정을 이용하여 하나 이상의 유기규소 화합물로부터 형성된 탄화규소의 유전체 층(314)은, 리니어 또는 배리어 층(312) 상에 약 5,000Å 내지 약 10,000Å 사이의 두께로 증착되는데, 이는 제조되는 구조체의 크기에 달려있다. 유전체 층(314)은 플라즈마 향상 증착 과정을 통해 증착될 수 있지만, 약 15중량% 오존을 포함하는 산소와 트리메틸실란의 반응에 의해 플라즈마-프리 증착과정에서 증착되는 것이 바람직하다.
리니어 또는 배리어 층(312)은 불활성 가스의 플라즈마를 이용하여 알킬실란 화합물의 PECVD로부터 얻어진 탄화규소 층일 수 있다. 탄화규소 층은 산소 또는 질소에 의해 도핑될 수 있다. 리니어/배리어 층(312)은 대안적으로 질화 규소와 같은 다른 재료를 포함할 수 있는데, 이는 기판(300)에 앞서 형성된 전도성 피쳐(310)를 포함할 수 있는 구리와 같은 전도성 재료의 확산 및/또는 산화를 최소화한다.
그 다음, 여기서 설명된 탄화규소 층 또는 도핑된 탄화규소층을 포함하는 캡 층(316)은, 트리메틸실란의 반응에 의해 200mm 기판에 대해 약 10 내지 약 1000와트 범위의 RF 전력을 이용하여 약 200 내지 1000Å의 두께로 유전체 층(314) 상에증착된다. 탄화규소 재료는 산소 또는 질소에 의해 도핑될 수 있다.
그 다음, 도 4b에 도시된 바와 같이, 캡 층(316), 유전체 층(314), 그리고 리니어 또는 배리어 층(312)은 패턴 에칭되어 인터커넥트(317)를 형성하고 기판(300)의 전도성 피쳐(310)에 노출된다. 바람직하게, 캡 층(316), 유전체 층(314), 그리고 리니어 또는 배리어 층(312)은 탄화규소 필름용 에칭 과정과 종래의 포토리소그래피를 이용하여 패턴 에칭된다. 캡 층(316)을 패턴하기 위해 사용된 임의의 포토레지스트 또는 다른 재료는 산소 스트립 또는 다른 적절한 과정을 이용하여 제거된다.
증착된 재료의 에칭 및 포토레지스트 재료의 제거에 후속하여, 캡 층(316), 유전체 층(314), 그리고 리니어 또는 배리어 층(312)의 노출된 영역은 반응성 예비-세정 과정에 의해 처리되어 오염물질, 특히 인터커넥트(317)의 노출된 영역과 기판의 표면에 형성될 수 있는 입자 물질, 잔여물 및 산화물이 제거된다. 반응성 예비-세정 과정은 플라즈마, 바람직하게는 아르곤과 같은 불활성 가스 및/또는 수소를 포함하는 플라즈마에 200mm 기판에 대해 약 10와트 내지 1000와트의 전력 레벌, 또는 0.03 watts/cm2내지 약 3.2 watts/cm2의 전력밀도로 기판을 노출하는 단계를 포함한다. 처리 챔버는 반응성 세정 과정동안 약 20토르 또는 이 보다 낮은 압력으로, 그리고 약 450℃ 또는 이 보다 낮은 기판 온도로 유지된다.
도 4c를 참조하면, 캡 층(316), 유전체 층(314), 그리고 리니어 또는 배리어 층(312)이 에칭되어 인터커넥트(317)를 형성하고 포토레지스트가 제거된 후, 인터커넥트(317)는 전도성 재료(320)로 매입된다. 구조체는 알루미늄, 구리, 텅스텐 또는 이들의 화합물과 같은 전도성 재료로 형성되어 확산을 억제하는 것이 바람직하다. 구리(알루미늄이 3.1Ω-cm인 것과 비교하여 1.7Ω-cm)의 낮은 저항도 때문에 구리를 이용하여 보다 작은 피쳐를 형성하는 것이 오늘날의 추세이다.
바람직하게는, 전도성 배리어 층(318)이 인터커넥트(317)에서 컨포말하게 먼저 증착되어 규소 및/또는 유전체 재료의 주변에서 구리이동을 억제한다. 배리어 층은 티탄, 질화 티탄, 탄탈, 질화 탄탈 및 다른 종래의 배리어 층 재료들 중 이들의 화합물을 포함한다. 이에 따라, 구리(320)는 화학 기상 증착, 물리 기상 증착, 전기도금 또는 이들의 조합을 이용하여 증착되어 전도성 구조체를 형성한다. 구조체가 구리 또는 다른 전도성 재료로 매입되면, 표면은 화학 기계 연마를 이용하여 평탄화되고, 이에 따라 도 3에 도시된 최종 다마스크 구조체가 생성된다.
두개의 규소 산탄화물 층과, 두개의 탄화규소 캡 층 또는 그 위에 증착된 도핑된 탄화규소 층을 포함하는 듀얼 다마스크 구조체는, 도 5에 도시되어 있다. 전도성 피쳐(502)가 기판(500)에 증착된다. 제 1 규소 산탄화물 층(514)은 리니어 또는 배리어 층(512) 상에 제 1 유전체 층(510)으로서 증착된다. 제 1 탄화규소 캡 층(514)은 설명된 바와 같이 제 1 유전체 층(510)상에 증착된다. 제 1 탄화규소 캡 층(514)은 규소 산탄화물 층의 유전 상수를 감소시키고 패턴 에칭되어 컨택트/비어와 같은 수직 인터커넥트의 개구를 형성한다. 듀얼 다마스크 사용에 있어, 제 2 규소 산탄화물 층을 포함하는 제 2 유전체 층(519)은 패턴된 탄화규소 층(518)상에 증착되고 패턴 에칭되어 라인과 같은 수평 인터커넥트를 형성한다.에칭 과정은 에칭 스톱으로서 작용하는 제 1 탄화규소 층(514)에 대해 아래로 수평한 인터커넥트를 형성하고 수직 인터커넥트를 형성하기 위해 수행되며, 전도성 재료(526)를 가진 인터커넥트가 매입되기 전에 기판(500)의 전도성 피쳐(502)에 노출시킨다.
도 5에 도시된 듀얼 다마스크 구조체를 만들기 위한 바람직한 일련의 방법은 도 6a 내지 6e에 도시되어 있으며, 도면은 기판에 형성된 본 발명의 단계를 가진 기판의 단면이다.
도 6a에 도시된 바와 같이, 유기규소 화합물과 증착과정으로부터 얻어진 규소 산탄화물 재료의 초기 제 1 유전체 층(510)은 리니어 또는 배리어 층(512) 상에 약 5,000Å 내지 약 10,000Å의 두께로 증착되는데, 이는 제조되는 구조체의 크기에 달려있다. 제 1 유전체 층(510)은 플라즈마 향상 증착 과정에서 증착될 수 있으나, 약 15중량% 오존을 포함하는 산소와 트리메틸실란의 반응에 의해 플라즈마-프리 증착 과정에서 증착되는 것이 바람직하다. 리니어 층(512)은 산소 또는 질소에 의해 도핑될 수 있는 탄화규소 층일 수 있다. 리니어/배리어 층(512)은 대안적으로 질화 규소와 같은 다른 재료를 포함할 수 있으며, 이는 기판(500)에 앞서 형성된 전도성 피쳐(502)를 포함할 수 있는 구리와 같은 전도성 재료의 확산 및/또는 산화를 최소화한다.
그 다음, 도 6b에 도시된 바와 같이, 설명된 도핑된 탄화규소 층 또는 탄화규소 층을 포함하는 제 1 캡 층(514)은 트리메틸실란의 반응에 의해 200mm 기판에 대해 약 10 내지 1000와트 범위의 RF 전력을 이용하여 제 1 유전체 층상에 약 200내지 1000Å의 두께로 증착된다. 그 다음, 제 1 캡 층(514)은 패턴 에칭되어 컨택트/비어 개구(516)를 형성하고, 도 6c에 도시된 바와 같이 형성되는 컨택트/비어가 있는 영역에서 제 1 유전체 층(510)을 노출시킨다. 바람직하게는, 제 1 캡 층(514)은 탄화규소 필름용 에칭 과정과 종래의 포토리소그래피를 이용하여 패턴 에칭된다.
제 1 캡 층(514)이 에칭되어 컨택트/비어(516)를 패턴하고 포토레지스트가 제거된 후, 제 2 유전체 층(518)은 도 6d에 도시된 바와 같이, 그리고 제 1 유전체 층(510)에 대해 설명된 바와 같이, 제 1 캡 층(514)상에 약 5,000 내지 10,000Å의 두께로 증착된다.
그 다음, 설명된 탄화규소 층 또는 도핑된 탄화규소 층을 포함하는 제 2 캡 층(519)은 제 1 캡 층(514)에 대해 설명된 바와 같이, 제 2 유전체 층(518)상에 약 200 내지 1000Å의 두께로 증착된다. 탄화규소 재료는 산소 또는 질소에 의해 도핑될 수 있다. 그 다음, 제 2 캡 층(519)은 도 6e에 도시된 바와 같이, 그리고 제 1 캡 층(514)에 대해 설명된 바와 같이, 패턴되어 라인을 형성한다. 그 다음, 라인(520)과 컨택트/비어(516)는 반응성 이온 에칭법 또는 다른 이방성 에칭법을 이용하여 에칭되어 금속화 구조체(예를 들면, 라인 및 컨택/비어에 대한 개구)를 형성하고 도 6f에 도시된 바와 같이 전도성 피쳐(502)를 노출시킨다. 제 2 캡 층(519)을 패턴 및 에칭하기 위해 사용된 다른 재료 또는 임의의 포토레지스트는, 산소 스트립 또는 다른 적절한 과정을 이용하여 제거된다.
증착된 재료의 에칭과 포토레지스트 재료의 제거에 후속하여, 제 2 캡층(519), 제 2 유전체 층(518), 제 1 캡 층(514), 제 유전체 층(510), 그리고 리니어 또는 배리어 층(512)의 노출된 영역은 반응성 예비-세정 과정에 의해 처리되고, 이에 따라 컨택트/비어 개구(516), 라인 개구(520)와 전도성 피쳐(502)의 노출된 영역에 형성될 수 있는 오염물질, 입자 물질, 잔류물 및 산화물이 제거된다. 반응성 예비-세정 과정은 플라즈마, 바람직하게는 아르곤과 같은 불활성 가스 및/또는 수소를 포함하는 플라즈마에 200mm기판에 대해 0.03watts/cm2내지 약 3.2watts/cm2의 전력밀도, 또는 약 10와트 내지 1000와트의 전력레벨에서 기판을 노출하는 단계를 포함한다. 처리 챔버는 반응성 세정 과정동안 약 20토르 또는 이 보다 낮은 압력으로, 그리고 약 450℃ 또는 이 보다 낮은 기판온도로 유지된다.
그 다음, 금속화 구조체는 알루미늄, 구리, 텅스텐 또는 이들 화합물과 같은 전도성 재료로 형성된다. 구리(알루미늄이 5.1Ω-cm인 것과 비교하여 1.7Ω-cm)의 낮은 저항도 때문에 구리를 이용하여 보다 작은 피쳐를 형성하는 것이 오늘날의 추세이다. 바람직하게는, 도 6g에 도시된 바와 같이, 먼저 전도성 배리어 층(524)이 금속화 패턴으로 컨포말하게 증착되어 규소 및/또는 유전체 재료 주변에서 구리 이동을 억제한다. 배리어 층은 티탄, 질화 티탄, 탄탈, 질화 탄탈 및 다른 종래의 배리어 층 재료들 중 이들의 화합물을 포함한다. 이에 따라, 구리(526)는 화학 기상 증착, 물리 기상 증착, 전기도금, 또는 이들의 조합을 이용하여 증착되어 전도성 구조체를 형성한다. 구조체가 구리 또는 다른 금속에 의해 매입되면, 표면은 도 5에 도시된 바와 같이 화학 기계 연마를 이용하여 평탄화된다.
설명된 규소 산탄화물 층과, 규소 및 탄소 함유 재료는 도 1에 도시되고 설설명된 CVD 챔버를 이용하여 도 7에 도시된 바와 같이, 간격 매입 과정에 사용될 수 있다. 도 7를 참조하면, 기판은 처리 챔버(10)에 배치되고(700), 탄화규소 리니어 층은 트리메틸실란과 같은 상기 설명된 알킬실란 화합물의 반응으로부터 CVD 또는 플라즈마 향상 CVD 처리에 의해 증착된다(705). 증착 단계(705)는 처리 챔버(10)에서 전기 용량적으로 연결된 플라즈마, 또는 유도적 및 전기 용량적으로 연결된 플라즈마를 포함한다.
그 다음, 규소 산탄화물 간격 매입 층은 트리메틸실란과 같은 유기규소 화합물과, 산소 및 오존의 화합물과 같은 산화제의 반응에 의해 리니어 층상에 증착된다(710). 그 다음, 간격 매입 층은, 습기를 제거하고 증착된 재료를 고형화하기에 요구되는 시간 주기 동안 불활성 분위기에서 어닐링될 수 있다. 그 다음, 탄화규소 캡 층은, 상대적으로 불활성한 가스의 플라즈마에서 트리메틸실란과 같은 상술된 알킬실란 화합물의 반응으로부터 플라즈마 향상 CVD 처리를 이용하여 간격 매입 층상에 증착된다(715). 그 다음, 기판은 처리 챔버(10)로부터 제거된다(720).
도 8a 내지 8e를 참조하면, 3-층 간격 매입 처리는 트리메틸실란과 같은 알킬실란 화합물의 반응에 의해 PECVD 라이닝 층(800)을 제공하여, 설명된 바와 같이 비결정질 수소화 탄화규소 층을 생성한다. 라이닝 층(800)은 후속하는 유기규소 간격 매입 층(802)과 아래층에 놓인 기판 표면(804)과 이런 기판 표면상에 형성된 금속 라인(806, 808, 810) 사이에서 격리 층으로 작용한다. 간격 매입 층(802)은 비결정질 수소화 탄화규소 층의 캡핑 층(812)에 의해 캡핑된다. 이 처리는 CVD 처리 챔버(10)용 컴퓨터 제어기(34)의 메모리(38)에 저장된 컴퓨터 프로그램을 이용하여 수행되고 제어된다.
도 8a를 참조하면, 한 실시예에서, PECVD 라이닝 층(800)은 트리메틸실란((CH3)3SiH)과 같은 유기규소가 유입되고, 헬륨 또는 아르곤과 같은 불활성 가스의 플라즈마가 발생됨으로써 처리 챔버(10)에서 증착된다. 한 실례에서 처리 체계는, 트리메틸실란을 약 30sccm 내지 500sccm의 유동 속도로 처리 챔버로 유입하는 단계, 헬륨, 아르곤 또는 이들 화합물을 약 100sccm 내지 2000sccm의 유동 속도로 처리 챔버에 유입하는 단계, 약 3토르 내지 약 10 토르의 압력으로 챔버를 유지하는 단계, 그리고 약 300와트 내지 700와트의 전력을 챔버에 공급하여 처리 가스의 플라즈마를 발생시키는 단계를 포함한다. 가스 분배 플레이트(11)는 기판으로부터 약 300mm 내지 약 600mm가 이격되어 있다.
도 8b를 참조하면, 간격 매입 층(802)은 설명된 바와 같이 알킬실란 화합물을 이용하여 증착된다. 간격 매입 층(802)을 위한 바람직한 처리 가스는 트리메틸실란((CH3)3SiH), 오존 및 O3이다. 한 실시예에서, 증착 과정은 약 50sccm 내지 약 500sccm, 바람직하게는 약 175sccm의 유동 속도로 트리메틸실란을 유입하는 단계, 산소 및 오존의 혼합물을 약 2000sccm 내지 약 6000sccm, 바람직하게는 약 5000sccm의 유동 속도로 유입하는 단계, 여기서 오존은 혼합물의 약 15 중량%를 포함하며, 트리메틸실란((CH3)3SiH)과 O3가 반응하는 단계, 그리고 간격 매입 층(802)의 증착과정 동안 약 50토르 내지 약 500토르, 바람직하게는 약 100토르의 챔버 압력을 유지하는 단계를 포함한다. 간격 매입 층(802)은 도 8c에 도시된 바와 같이 부분적으로 경화되고 어닐링되어, 도 8d에 도시된 바와 같이 캡 층(812)이 증착되기 전에, 물과 같은 용매가 제거될 수 있다. 경화(curing)는 상대적으로 불활성한 가스, 가령 희가스 또는 질소와 같은 가스의 분위기에서 약 30분동안 약 400℃의 온도 하에서 펌핑에 의해 처리 챔버에서 수행된다.
도 8d를 참조하면, PECVD 간격 매입 층(802)이 증착된 후에, 비결정질 수소화 탄화규소의 캡핑 층(812)은 설명된 플라즈마 처리에 의해 그 층상에 증착된다. 도 8e를 참조하면, 캡핑 층이 증착된 후에, 경우에 따라, 간격 매입 층(802)은 습기와 다른 용매가 제거되도록, 노 또는 다른 챔버에서 약 100℃ 내지 약450℃의 온도로 어닐링되는 것이 바람직하다. 물론, 처리 조건은 증착된 필름의 소정의 특성에 따라 변화될 것이다.
이상 설명된 바와 같이, 본 발명은 낮은 유전체 상수를 가진 규소 산탄화물 층을 증착하고 이런 규소 산탄화물 층상에 규소 및 탄소를 함유하는 층을 증착하기 위한 방법을 일반적으로 제공한다. 상술된 내용이 본 발명의 바람직한 실시예에 대해 설명되어 있지만, 본 발명의 다른 그리고 추가의 실시예가 본 발명의 기본적인 범위로부터 벗어남 없이 달성될 수 있으며, 본 발명의 범위는 따르는 청구범위에 의해 결정된다.

Claims (40)

  1. 기판을 처리하기 위한 방법으로서,
    규소, 산소 및 탄소를 포함하는 유전체 층을 화학 기상 증착법에 의해 상기 기판상에 증착하는 단계; 그리고
    상기 유전체 층상에 규소 및 탄소 함유층을 증착하는 단계를 포함하며,
    상기 유전체 층이 적어도 1 원자량%의 탄소 함량과 약 3보다 낮은 유전상수를 가지는 방법.
  2. 제 1항에 있어서, 상기 유전체 층을 유기실란 화합물과 산화 가스의 반응에 의해 증착하는 방법.
  3. 제 1항에 있어서, 상기 유전체 층을 트리메틸실란, 테트라메틸실란, 1,1,3,3-테트라메틸디실록산, 헥사메틸디실록산, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 2,4,6,8,10-펜타메틸시클로펜타실록산, 이들의 탄화플루오르가 첨가된 유도체, 및 이들의 혼합물의 군(group)으로부터 선택된 유기실란 화합물로부터 증착하는 방법.
  4. 제 2항에 있어서, 상기 유기실란 화합물이 세개 이상의 알킬기를 포함하는 방법.
  5. 제 2항에 있어서, 상기 산화 가스를, N2O, O2, O3및 이들의 혼합물로 구성된 군으로부터 선택하는 방법.
  6. 제 2항에 있어서, 상기 유기실란 화합물이, 트리메틸실란과 오존을 포함하는 산화 가스를 포함하는 방법.
  7. 제 2항에 있어서, 상기 유전체 층을, 2,4,6,8-테트라메틸시클로테트라실록산의 산화에 의해 증착하는 방법.
  8. 제 1항에 있어서, 상기 유전체 층의 유전 상수를, 규소 및 탄소 함유층의 증착에 의해 감소시키는 방법.
  9. 제 1항에 있어서, 상기 유전체 층이 약 3 또는 이 보다 낮은 유전 상수를 가지는 방법.
  10. 제 1항에 있어서, 상기 규소 및 탄소 함유층이, 처리 챔버에서 상대적으로 불활성한 가스와 알킬실란 화합물을 유입하고 플라즈마를 발생시킴으로써 증착된 탄화규소 층인 방법.
  11. 제 10항에 있어서, 상기 알킬실란 화합물이 트리메틸실란인 방법.
  12. 제 10항에 있어서, 상기 유전체 층의 유전 상수를, 탄화규소 층의 증착에 의해 감소시키는 방법.
  13. 제 10항에 있어서, 상기 플라즈마를, 약 4.3watts/cm2내지 약 10.0 watts/cm2의 RF 전력밀도를 공급함으로써 발생시키는 방법.
  14. 기판을 처리하기 위한 방법으로서,
    유기실란 화합물과 산화가스를 반응시킴으로써 상기 기판에 유전체 층을 증착하는 단계; 그리고
    상기 유전체 층에 탄화규소 층 또는 도핑된 탄화규소 층을 증착시킴으로써 상기 유전체 층의 유전상수를 감소시키는 단계를 포함하며,
    상기 유전체 층은 적어도 1 원자량%의 탄소 함량을 가지며 약 3보다 낮은 유전상수를 가지는 방법.
  15. 제 14항에 있어서, 상기 유전체 층을, 트리메틸실란, 테트라메틸실란, 1,1,3,3-테트라메틸디실록산, 헥사메틸디실록산, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 2,4,6,8,10-펜타메틸시클로펜타실록산, 이들의 탄화플루오르가 첨가된 유도체, 및 이들의 혼합물의 군(group)으로부터 선택된 유기실란 화합물로부터 증착하는 방법.
  16. 제 14항에 있어서, 상기 유기실란 화합물이 세개 이상의 알킬기를 포함하는 방법.
  17. 제 14항에 있어서, 상기 산화 가스를, N20, O2, O3및 이들의 화합물로 구성된 군으로부터 선택하는 방법.
  18. 제 14항에 있어서, 상기 유기실란 화합물이 트리메틸실란과 오존을 포함하는 산화가스를 포함하는 방법.
  19. 제 14항에 있어서, 상기 유기실란 화합물이 2,4,6,8-테트라메틸시클로테트라실록산을 포함하는 방법.
  20. 제 14항에 있어서, 상기 유전체 층이, 상기 탄화규소 층의 증착 전에 약 1원자량% 내지 약 50원자량%의 탄소 함량을 가지는 방법.
  21. 제 14항에 있어서, 상기 유전체 층이, 상기 탄화규소 층의 증착 전에 약 3 또는 이 보다 낮은 유전상수를 가지는 방법.
  22. 제 14항에 있어서, 상기 탄화규소 층을, 알킬실란 화합물과 상대적으로 불활성한 가스를 처리 챔버로 유입시키고 플라즈마를 발생시킴으로써 증착하는 방법,
  23. 제 22항에 있어서, 상기 알킬실란 화합물이 트리메틸실란인 방법.
  24. 제 22항에 있어서, 상기 유전체 층의 상기 유전상수가, 상기 탄화규소 층의 증착 후에 약 2.4보다 낮은 방법.
  25. 제 22항에 있어서, 상기 플라즈마를, 약 4.3watts/cm2내지 약 10.0watts/cm2의 RF 전력밀도를 공급함으로써 상기 기판 위에서 발생시키는 방법.
  26. 제 22항에 있어서, 상기 탄화규소 층을, 유전체 층 두께의 감소 없이 상기 유전체 층으로부터 탄소를 제거하는 플라즈마 조건하에서 증착하는 방법.
  27. 기판을 처리하기 위한 방법으로서,
    세개 이상의 알킬기를 가진 유기실란 화합물과 오존을 반응시킴으로써 상기기판상에 유전체 층을 증착하는 단계; 그리고
    상기 유전체 층의 유전 상수를 감소시키기에 충분한 플라즈마 조건하에서 알킬실란 화합물을 반응시킴으로써 상기 유전체 층상에 탄화규소 층 또는 도핑된 탄화규소 층을 증착하는 단계를 포함하며,
    상기 유전체 층은 약 5 원자량% 내지 약 50 원자량%의 탄소함량을 가지며 약 3보다 낮은 유전상수를 가지는 방법.
  28. 제 27항에 있어서, 상기 유기실란 화합물이 세개 이상의 알킬기를 포함하는 방법.
  29. 제 27항에 있어서, 상기 유기실란 화합물이 트리메틸실란이고 상기 알킬실란 화합물이 트리메틸실란인 방법.
  30. 제 27항에 있어서, 상기 유기실란 화합물이 2,4,6,8-테트라메틸시클로테트라시록산인 방법.
  31. 제 27항에 있어서, 상기 유전체 층이, 상기 탄화규소 층의 증착 후에 약 2.4 또는 이 보다 낮은 유전상수를 가지는 방법.
  32. 제 27항에 있어서, 상기 플라즈마를, 약 4.3watts/cm2내지 약 10.0watts/cm2의 RF 전력밀도를 공급함으로써 발생시키는 방법.
  33. 규소, 산소 및 탄소를 포함하는 유전체 층; 그리고
    상기 유전체 층을 캡핑하는 규소 및 탄소 함유층을 포함하며,
    상기 유전체 층이 적어도 1 원자량%의 탄소 함량을 가지는 기판.
  34. 제 33항에 있어서, 상기 유전체 층이 약 5 원자량% 내지 약 50 원자량%의 탄소 함량을 가지는 기판.
  35. 제 33항에 있어서, 상기 유전체 층이 약 2.4 또는 이 보다 낮은 유전상수를 가지는 기판.
  36. 제 33항에 있어서, 상기 유전체 층이, 상기 유전체 층의 수축 없이 탄소를 제거함으로써 형성된 공극을 가지는 기판.
  37. 제 33항에 있어서, 상기 규소 및 탄소 함유층이 비결정질 수소화 탄화규소 층인 기판.
  38. 규소, 산소 및 탄소를 포함하고 하나 이상의 인터커넥트를 형성하는 유전체 층; 그리고
    상기 유전체 층을 캡핑하고 하나 이상의 인터커넥트를 더 형성하는 규소 및 탄소 함유층을 포함하며,
    상기 유전체 층이 적어도 1 원자량%의 탄소 함량을 가지는 다마스크 구조체.
  39. 제 38항에 있어서, 상기 유전체 층이 5 원자량% 내지 50 원자량%의 탄소 함량을 가지는 다마스크 구조체.
  40. 제 38항에 있어서, 상기 규소 및 탄소 함유층이 비결정질 수소화 탄화규소 층이고 상기 유전체 층이 약 2.4 또는 이 보다 낮은 유전상수를 가지는 다마스크 구조체.
KR1020010061442A 2000-10-05 2001-10-05 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 KR100857649B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/679,843 2000-10-05
US09/679,843 US6627532B1 (en) 1998-02-11 2000-10-05 Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition

Publications (2)

Publication Number Publication Date
KR20020027269A true KR20020027269A (ko) 2002-04-13
KR100857649B1 KR100857649B1 (ko) 2008-09-08

Family

ID=24728596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010061442A KR100857649B1 (ko) 2000-10-05 2001-10-05 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법

Country Status (6)

Country Link
US (3) US6627532B1 (ko)
EP (1) EP1195451B1 (ko)
JP (1) JP2002198366A (ko)
KR (1) KR100857649B1 (ko)
DE (1) DE60116216T2 (ko)
TW (1) TW499709B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US7202160B2 (en) 2003-07-28 2007-04-10 Samsung Electronics, Co., Ltd. Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
KR101046467B1 (ko) * 2004-03-15 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법

Families Citing this family (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
JP4177993B2 (ja) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6664185B1 (en) * 2002-04-25 2003-12-16 Advanced Micro Devices, Inc. Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7112615B2 (en) 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
KR100434508B1 (ko) * 2002-08-01 2004-06-05 삼성전자주식회사 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
JP4109531B2 (ja) * 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US20040124420A1 (en) * 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP3866694B2 (ja) * 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
JP3666751B2 (ja) * 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP4198631B2 (ja) * 2004-04-28 2008-12-17 富士通マイクロエレクトロニクス株式会社 絶縁膜形成方法及び半導体装置
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN100558940C (zh) * 2004-08-18 2009-11-11 陶氏康宁公司 涂布的基片及其制备方法
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP4855467B2 (ja) * 2005-07-01 2012-01-18 コミサリア ア レネルジー アトミック エ オ ゼネルジー アルテルナティブ 濡れヒステリシスが低い疎水性表面被覆、その堆積方法、微細要素および使用
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置
US20070190742A1 (en) * 2006-02-16 2007-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including shallow trench isolator and method of forming same
WO2007095973A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Integrated system for semiconductor substrate processing using liquid phase metal deposition
US7803719B2 (en) 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
US20070264843A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US20100140754A1 (en) * 2006-08-15 2010-06-10 Jsr Corporation Film-forming material, silicon-containing insulating film and method for forming the same
CN101611043B (zh) * 2007-02-14 2013-03-13 Jsr株式会社 含硅膜形成用材料、以及含硅绝缘膜及其形成方法
WO2009008424A1 (ja) * 2007-07-10 2009-01-15 Jsr Corporation ケイ素化合物の製造方法
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
US7981771B2 (en) * 2008-06-04 2011-07-19 International Business Machines Corporation Structures and methods to enhance Cu interconnect electromigration (EM) performance
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
FR2982609B1 (fr) * 2011-11-16 2014-06-20 Saint Gobain Vitrage hydrophobe
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
KR102141670B1 (ko) 2014-01-29 2020-08-05 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573552B2 (en) 2018-03-15 2020-02-25 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4168330A (en) 1977-10-13 1979-09-18 Rca Corporation Method of depositing a silicon oxide layer
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
EP0154483B1 (en) 1984-03-03 1989-12-27 Stc Plc Improved pulsed plasma process
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
FR2591587A1 (fr) * 1985-12-17 1987-06-19 Saint Gobain Vitrage Film organo-mineral depose sur un substrat en verre eventuellement revetu d'une ou plusieurs couches metalliques minces.
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
FR2651782B1 (fr) * 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) * 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH053258A (ja) * 1990-09-25 1993-01-08 Kawasaki Steel Corp 層間絶縁膜の形成方法
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
CA2072378C (en) * 1991-11-21 2000-12-26 Vlado Ivan Matkovich System for processing separate containers of biological fluid
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) * 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5874367A (en) * 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
JPH0795548B2 (ja) * 1992-09-10 1995-10-11 アプライド マテリアルズ インコーポレイテッド 二酸化珪素膜の気相成長法
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
US5465680A (en) * 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5888593A (en) * 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
JPH0855913A (ja) * 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH08181276A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ko) 1995-01-04 1996-09-11 Air Prod & Chem
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5637351A (en) * 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5593247A (en) * 1995-09-07 1997-01-14 Endcor Inc. Programmable boat lift control system
JP3744981B2 (ja) * 1995-09-14 2006-02-15 オリヱント化学工業株式会社 新規フタロシアニン又はナフタロシアニン誘導体
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) * 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09212535A (ja) 1996-01-31 1997-08-15 Hitachi Ltd プリント基板への部品実装設計方法およびその支援装置
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
WO1998008249A1 (en) * 1996-08-24 1998-02-26 Trikon Equipments Limited Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) * 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
KR19980064444A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 다층 집적 회로 유전체 구조의 에칭 방법
US6303488B1 (en) 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
US6211096B1 (en) * 1997-03-21 2001-04-03 Lsi Logic Corporation Tunable dielectric constant oxide and method of manufacture
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
JP3456391B2 (ja) * 1997-07-03 2003-10-14 セイコーエプソン株式会社 半導体装置の製造方法
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6248429B1 (en) * 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US20030089992A1 (en) * 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP3827056B2 (ja) 1999-03-17 2006-09-27 キヤノンマーケティングジャパン株式会社 層間絶縁膜の形成方法及び半導体装置
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP2002175856A (ja) * 2000-10-13 2002-06-21 Hewlett Packard Co <Hp> 2つの物体を電気的に接続するための方法および装置
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
EP1352107A2 (en) * 2000-10-25 2003-10-15 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US7211524B2 (en) 2002-05-17 2007-05-01 Hynix Semiconductor Inc. Method of forming insulating layer in semiconductor device
US7202160B2 (en) 2003-07-28 2007-04-10 Samsung Electronics, Co., Ltd. Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
KR101046467B1 (ko) * 2004-03-15 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법

Also Published As

Publication number Publication date
EP1195451A1 (en) 2002-04-10
US6784119B2 (en) 2004-08-31
JP2002198366A (ja) 2002-07-12
US20040166665A1 (en) 2004-08-26
KR100857649B1 (ko) 2008-09-08
DE60116216D1 (de) 2006-02-02
US6627532B1 (en) 2003-09-30
US7074708B2 (en) 2006-07-11
EP1195451B1 (en) 2005-12-28
US20040029400A1 (en) 2004-02-12
TW499709B (en) 2002-08-21
DE60116216T2 (de) 2006-08-31

Similar Documents

Publication Publication Date Title
KR100857649B1 (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
US6656837B2 (en) Method of eliminating photoresist poisoning in damascene applications
US6943127B2 (en) CVD plasma assisted lower dielectric constant SICOH film
US6806207B2 (en) Method of depositing low K films
US7060330B2 (en) Method for forming ultra low k films using electron beam
KR100696035B1 (ko) Cvd 나노포러스 실리카 저유전상수 막
US7056560B2 (en) Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
EP1055012B1 (en) Plasma processes for depositing low dielectric constant films
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
US6897163B2 (en) Method for depositing a low dielectric constant film
KR20010069210A (ko) 낮은 수치의 절연 상수를 갖는 필름을 증착하기 위한 방법
US20030211244A1 (en) Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 11