KR101046467B1 - 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법 - Google Patents
낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법 Download PDFInfo
- Publication number
- KR101046467B1 KR101046467B1 KR1020067021419A KR20067021419A KR101046467B1 KR 101046467 B1 KR101046467 B1 KR 101046467B1 KR 1020067021419 A KR1020067021419 A KR 1020067021419A KR 20067021419 A KR20067021419 A KR 20067021419A KR 101046467 B1 KR101046467 B1 KR 101046467B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- oxidizing gas
- organosilicon compound
- silicon
- plasma
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 136
- 239000003989 dielectric material Substances 0.000 title description 16
- 238000009832 plasma treatment Methods 0.000 title description 15
- 230000008569 process Effects 0.000 claims abstract description 108
- 238000000151 deposition Methods 0.000 claims abstract description 80
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 77
- 239000010703 silicon Substances 0.000 claims abstract description 75
- 239000000758 substrate Substances 0.000 claims abstract description 72
- 150000003961 organosilicon compounds Chemical class 0.000 claims abstract description 68
- 230000001590 oxidative effect Effects 0.000 claims abstract description 68
- 230000000977 initiatory effect Effects 0.000 claims abstract description 48
- 230000004888 barrier function Effects 0.000 claims abstract description 41
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 24
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 24
- 239000007789 gas Substances 0.000 claims description 84
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 77
- 239000001301 oxygen Substances 0.000 claims description 64
- 229910052760 oxygen Inorganic materials 0.000 claims description 64
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 63
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 59
- 229910052757 nitrogen Inorganic materials 0.000 claims description 29
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 20
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 14
- 239000011261 inert gas Substances 0.000 claims description 13
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 12
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 12
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 10
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 9
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 9
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 8
- 239000001569 carbon dioxide Substances 0.000 claims description 6
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 6
- 238000003672 processing method Methods 0.000 claims description 6
- 239000010410 layer Substances 0.000 abstract description 209
- 239000012790 adhesive layer Substances 0.000 abstract description 7
- 239000011159 matrix material Substances 0.000 abstract 1
- 239000012044 organic layer Substances 0.000 abstract 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 65
- 229910010271 silicon carbide Inorganic materials 0.000 description 65
- 230000008021 deposition Effects 0.000 description 54
- 239000000463 material Substances 0.000 description 51
- 210000002381 plasma Anatomy 0.000 description 39
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 19
- 229910052802 copper Inorganic materials 0.000 description 19
- 239000010949 copper Substances 0.000 description 19
- 239000001307 helium Substances 0.000 description 17
- 229910052734 helium Inorganic materials 0.000 description 17
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 17
- 239000011229 interlayer Substances 0.000 description 17
- 238000002203 pretreatment Methods 0.000 description 14
- -1 oxygen ions Chemical class 0.000 description 13
- 150000001875 compounds Chemical class 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 11
- 239000002243 precursor Substances 0.000 description 11
- 125000004122 cyclic group Chemical group 0.000 description 10
- 238000011065 in-situ storage Methods 0.000 description 10
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 239000002019 doping agent Substances 0.000 description 8
- 230000009977 dual effect Effects 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 6
- 238000005530 etching Methods 0.000 description 6
- 239000011521 glass Substances 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 5
- 238000005336 cracking Methods 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen(.) Chemical compound [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 4
- 150000001282 organosilanes Chemical class 0.000 description 4
- 125000005375 organosiloxane group Chemical group 0.000 description 4
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 4
- 239000004593 Epoxy Substances 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 230000001965 increasing effect Effects 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 238000011282 treatment Methods 0.000 description 3
- PUNGSQUVTIDKNU-UHFFFAOYSA-N 2,4,6,8,10-pentamethyl-1,3,5,7,9,2$l^{3},4$l^{3},6$l^{3},8$l^{3},10$l^{3}-pentaoxapentasilecane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O[Si](C)O1 PUNGSQUVTIDKNU-UHFFFAOYSA-N 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 239000011230 binding agent Substances 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- OIKHZBFJHONJJB-UHFFFAOYSA-N dimethyl(phenyl)silicon Chemical compound C[Si](C)C1=CC=CC=C1 OIKHZBFJHONJJB-UHFFFAOYSA-N 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000003475 lamination Methods 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- OKHRRIGNGQFVEE-UHFFFAOYSA-N methyl(diphenyl)silicon Chemical compound C=1C=CC=CC=1[Si](C)C1=CC=CC=C1 OKHRRIGNGQFVEE-UHFFFAOYSA-N 0.000 description 2
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 description 2
- FWITZJRQRZACHD-UHFFFAOYSA-N methyl-[2-[methyl(silyloxy)silyl]propan-2-yl]-silyloxysilane Chemical compound C[SiH](O[SiH3])C(C)(C)[SiH](C)O[SiH3] FWITZJRQRZACHD-UHFFFAOYSA-N 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000035882 stress Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- VFHJWQUCFQTIAR-UHFFFAOYSA-N 2-methylideneoxatrisiletane Chemical compound C=[Si]1O[SiH2][SiH2]1 VFHJWQUCFQTIAR-UHFFFAOYSA-N 0.000 description 1
- OPLQHQZLCUPOIX-UHFFFAOYSA-N 2-methylsilirane Chemical compound CC1C[SiH2]1 OPLQHQZLCUPOIX-UHFFFAOYSA-N 0.000 description 1
- CXYAFFSHJZNAKZ-UHFFFAOYSA-N C[Si]1(O[Si](O[Si](O[Si](O1)(C)C)(C)C)(C)C)C.C[Si]1(O[Si](O[Si](O[Si](O1)(C)C)(C)C)(C)C)C Chemical compound C[Si]1(O[Si](O[Si](O[Si](O1)(C)C)(C)C)(C)C)C.C[Si]1(O[Si](O[Si](O[Si](O1)(C)C)(C)C)(C)C)C CXYAFFSHJZNAKZ-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- 229910008072 Si-N-Si Inorganic materials 0.000 description 1
- HIVGXUNKSAJJDN-UHFFFAOYSA-N [Si].[P] Chemical compound [Si].[P] HIVGXUNKSAJJDN-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 150000007824 aliphatic compounds Chemical class 0.000 description 1
- 125000001931 aliphatic group Chemical group 0.000 description 1
- 150000001338 aliphatic hydrocarbons Chemical class 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000003466 anti-cipated effect Effects 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- UWAXDPWQPGZNIO-UHFFFAOYSA-N benzylsilane Chemical compound [SiH3]CC1=CC=CC=C1 UWAXDPWQPGZNIO-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- UORVGPXVDQYIDP-BJUDXGSMSA-N borane Chemical class [10BH3] UORVGPXVDQYIDP-BJUDXGSMSA-N 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 150000001923 cyclic compounds Chemical class 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- VDCSGNNYCFPWFK-UHFFFAOYSA-N diphenylsilane Chemical compound C=1C=CC=CC=1[SiH2]C1=CC=CC=C1 VDCSGNNYCFPWFK-UHFFFAOYSA-N 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- HTDJPCNNEPUOOQ-UHFFFAOYSA-N hexamethylcyclotrisiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O1 HTDJPCNNEPUOOQ-UHFFFAOYSA-N 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 125000005647 linker group Chemical group 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- ANKWZKDLZJQPKN-UHFFFAOYSA-N methyl-[[methyl(silyloxy)silyl]methyl]-silyloxysilane Chemical compound [SiH3]O[SiH](C)C[SiH](C)O[SiH3] ANKWZKDLZJQPKN-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- XOAJIYVOSJHEQB-UHFFFAOYSA-N trimethyl trimethoxysilyl silicate Chemical compound CO[Si](OC)(OC)O[Si](OC)(OC)OC XOAJIYVOSJHEQB-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Analytical Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
두 개의 낮은 K 유전체층들 간에 낮은 유전 상수를 갖는 접착층을 증착하기 위하여 기판을 처리하는 방법들이 제공된다. 하나의 관점에서, 본 발명은, 유기실리콘 화합물 및 산화 기체를 유기실리콘 화합물 대 산화 기체의 제1 비로 상기 공정 챔버로 유입시키는 단계, 적어도 실리콘 및 탄소를 포함하는 배리어층 상에 개시층(initiation layer)을 형성하기 위하여 상기 산화 기체 및 상기 유기실리콘 화합물의 플라즈마를 생성하는 단계, 상기 유기실리콘 화합물 및 상기 산화 기체를 상기 제1 비보다 큰 유기실리콘 화합물 대 산화 기체의 제2 비로 상기 공정 챔버로 유입시키는 단계 및 상기 유전체 개시층에 인접하게 제1 유전체층을 증착하는 단계를 포함하는 기판 처리 방법을 제공한다.
Description
본 발명은 집적 회로들의 제조에 관한 것이며, 기판상에 유전체층들을 증착하는 공정 및 유전체층에 의해 형성된 구조물들에 관한 것이다.
현대 반도체 소자들의 제조에 있어서의 주요한 단계들 중 하나는 기체들의 화학작용에 의해 기판상에 유전체층들 및 금속 층들을 형성하는 것이다. 이러한 증착 공정들은 화학 기상 증착 또는 CVD로 언급된다. 종래의 열적 CVD 공정들은 원하는 층을 생성하기 위해 유도-열 화학 반응들이 일어나는 기판 표면에 반응성 기체들을 공급한다.
반도체 소자 구조들은 이러한 소자들이 몇 십년 전 처음으로 도입된 이래로 크기가 급격히 작아지고 있다. 그 이후로, 집적 회로들은 일반적으로 2년마다 하나의 칩에 맞는 소자들의 갯수가 두배가 된다는 2년/2분의 1 크기 룰(종종 무어의 법칙으로 불린다)에 따라왔다. 오늘날의 제조 설비들은 일반적으로 0.35㎛, 심지어 0.18㎛의 피처 크기를 갖는 소자들을 생산하고 있으며, 미래의 설비들은 곧 더욱 작은 구조들을 갖는 소자들을 생산하게 될 것이다.
집적 회로들 상에 소자들의 크기를 더 줄이기 위하여, 낮은 저항력을 갖는 전도성 재료들을 사용하는 것과 또한 인접한 금속 라인들간의 용량 결합을 줄이기 위하여 낮은 유전 상수들(유전 상수<4.0)을 갖는 절연체들을 사용하는 것은 필수적이다. 이러한 하나의 낮은 k 유전체 재료는 도핑되지 않은 실리콘 유리(USG: un-doped silicon glass) 또는 플루오르 도핑된 실리콘 유리(FSG: fluorine-doped silicon glass)와 같은 스핀-온(spin-on) 유리이고, 이는 반도체 제조 공정에서 갭 충전 층으로 증착될 수 있다. 또 다른 낮은 k 유전체 재료는 다마신(damascene) 피처의 제조에 있어 유전체 층으로서 사용될 수 있는 실리콘 옥시탄화물이다.
허용되는 하나의 전도성 재료는 구리 및 구리 합금이며, 구리가 알루미늄보다 낮은 저항력(알루미늄의 3.1 μΩ-cm에 비교해서 1.7μΩ-cm), 높은 전류 및 높은 수송력(carrying capacity)을 갖기 때문에, 이는 서브-쿼터-마이크론(sub-quarter-micron) 상호 접속 기술에 대한 선택의 재료가 된다. 이러한 특성들은 증가된 소자 속도 및 높은 집적도에서 확인되고, 높은 전류 밀도를 지원하는데 중요하다. 더 나아가, 구리는 우수한 열 전도성을 가지고 매우 순수한 상태에서 이용 가능하다.
반도체 소자들에 구리를 이용하는 데 있어 문제점 중 하나는 구리가 정밀한 패턴을 달성하도록 에칭하는 것이 어렵다는 점이다. 상호 접속부를 형성하기 위하여 종래의 증착/에칭 공정들을 이용하여 구리로 에칭하는 것은 만족스럽지 못했다. 따라서, 구리 함유 재료들 및 낮은 k 유전체 재료들을 갖는 상호 접속부들을 제조하는 새로운 방법이 개발되고 있다.
수직 및 수평적인 상호 접속부들을 형성하기 위한 하나의 방법은 다마신 또 는 이중 다마신 방법에 의한 것이다. 다마신 방법에 있어서, 낮은 k 유전체 재료들과 같은 하나 이상의 유전체 재료들은 증착되고, 수직 상호접속부들, 즉 비아들 및 수평 상호접속부들, 즉 라인들을 형성하기 위해 패턴 에칭된다. 이후 구리 함유 재료들과 같은 전도성 재료들, 및 낮은 k 유전체 근처로의 구리 함유 재료들의 확산을 방지하기 위해 사용되는 배리어층 재료들과 같은 다른 재료들은 에칭된 패턴으로 박아 넣어진다. 다음 기판의 필드상에서와 마찬가지로, 에칭된 패턴과 무관한 임의의 과도한 구리 함유 재료들 및 과도한 배리어층 재료가 제거된다.
그러나, 실리콘 옥시탄화물층들 및 실리콘 탄화물층들이 다마신 구성에서 낮은 k 재료들로서 사용될 때, 공정 중에 보다 덜 만족스러운 삽입층 접착이 관찰되었다. 기판을 처리하기 위한 몇몇 기술들은 층 균열과 같은 층 결함들을 증가시킬 수 있는 힘을 가할 수 있다. 예를 들어, 초과 구리 함유 재료들은 화학 기계적 연마 공정에서 기판과 연마 패드 간의 기계적 마모에 의해 제거될 수 있으며, 상기 기판과 상기 연마 패드 간의 힘은 증착된 낮은 k 유전체 재료들이 층 균열을 초래하도록 하는 응력을 유도할 수 있다. 다른 실시예에서, 증착된 재료들을 어닐링하는 단계는 낮은 k 유전체 재료들에 균열을 야기시킬 수 있는 높은 열적 응력들을 유도할 수 있다.
따라서, 낮은 k 유전체 층들 간의 삽입층 접착력을 증가시키는 공정이 요구된다.
본 발명의 관점들은 일반적으로 두 개의 낮은 k 유전체 층들 사이에 낮은 유전 상수를 갖는 접착층을 증착하는 방법을 제공한다. 하나의 관점에서, 본 발명은, 적어도 실리콘 및 탄소를 포함하는 배리어층을 갖는 기판을 공정 챔버에 배치하는 단계, 유기실리콘 화합물 및 산화 기체를 유기 실리콘 화합물 대 산화 기체의 제1 비로 상기 공정 챔버로 유입시키는 단계, 상기 배리어 층상에 개시층(initiaion layer)을 형성하기 위하여 산화 기체 및 유기 실리콘 화합물의 플라즈마를 생성하는 단계, 상기 제1 비보다 큰 유기 실리콘 화합물 대 산화 기체의 제2 비로 유기실리콘 화합물 및 산화 기체를 상기 공정 챔버로 유입시키는 단계 및 상기 유전체 개시층과 인접하게 제1 유전체층을 증착하는 단계를 포함하는 기판 처리 방법을 제공하며, 여기서 상기 유전체 층은 실리콘, 산소 및 탄소를 포함하며, 약 3 이하의 유전 상수를 가진다.
본 발명의 또 다른 관점에서, 적어도 실리콘, 질소 및 탄소를 포함하는 배리어층을 가지는 기판을 공정 챔버에 배치하는 단계, 상기 공정 챔버로 비활성 기체를 유입시키는 단계, 상기 배리어층의 표면을 변형시키기 위하여 단일-주파수 RF 전력 소스로부터 제1 플라즈마를 생성하는 단계, 유기실리콘 화합물 및 산화 기체를 1:1의 비로 상기 공정 챔버로 유입시키는 단계, 상기 배리어층상에 개시층을 형성하기 위하여 이중-주파수 RF 전력 소스로부터 제2 플라즈마를 생성하는 단계, 유기실리콘 화합물 및 산화 기체를 10:1보다 큰 비로 상기 공정 챔버로 유입시키는 단계, 상기 유전체 개시층에 인접하게 제1 유전체층을 증착하는 단계를 포함하는 기판 처리 방법이 제공되며, 여기서 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고, 약 3 이하의 유전 상수를 갖는다.
본 발명의 또 다른 관점에서, 적어도 실리콘 및 탄소를 포함하는 배리어층을 가지는 기판을 공정 챔버에 배치하는 단계, 상기 공정 챔버로 산화 기체를 유입시키는 단계, 상기 산화 기체의 플라즈마를 생성하는 단계 및 상기 배리어층의 표면을 처리하는 단계, 제1 유량으로 유기실리콘 화합물을 유입시키는 단계, 상기 산화 기체 및 상기 유기실리콘 화합물로부터 상기 배리어층상에 개시층을 증착시키는 단계, 상기 제1 유량보다 큰 제2 유량으로 상기 유기실리콘 화합물을 유입시키는 단계, 상기 산화 기체 및 상기 유기실리콘 화합물로부터 상기 유전체 개시층에 인접하게 제1 유전체층을 증착시키는 단계를 포함하는 기판 처리 방법이 제공되며, 여기서, 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고, 약 3 이하의 유전 상수를 갖는다.
본 발명의 또 다른 관점에서, 적어도 실리콘 및 탄소를 포함하는 배리어층을 가지는 기판을 공정 챔버에 배치하는 단계, 상기 공정 챔버에 산화 기체를 유입시키는 단계, 상기 산화 기체의 플라즈마를 생성하는 단계 및 상기 배리어층상에 개시층을 형성하는 단계, 상기 공정 챔버로 유기실리콘 화합물을 유입시키는 단계, 상기 유기실리콘 화합물 및 상기 산화 기체를 반응시키는 단계 및 상기 개시층에 인접하게 제1 유전체층을 증착하는 단계를 포함하는 기판 처리 방법에 제공되며, 여기서 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고, 약 3 이하의 유전 상수를 갖는다.
본 발명의 상기 관점들이 성취되고 상세히 이해될 수 있도록 하기 위하여, 상기 간략히 요약된 본 발명의 더욱 상세한 설명이 첨부 도면들에 도시된 그 실시예들에 참조될 수 있다.
그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시할 뿐이고, 그것의 원칙을 제한하기 위함이 아니며, 본 발명에 대하여 다른 동일한 효과적인 실시예들을 허용함을 유념하라.
도 1은 본 명세서에 기재된 실리콘 탄화물 및 실리콘 옥시탄화물층들을 포함하는 이중 다마신 구조물을 도시하는 단면도이며,
도 2a-2f는 본 발명의 이중 다마신 증착 순서의 일 실시예를 도시하는 단면도이다.
본 발명의 관점에 대한 더 깊은 이해를 위하여, 다음의 상세한 설명이 참조된다.
본 명세서에 기재된 본 발명의 관점들은 유전체 층들의 삽입층 접착을 개선하기 위하여 접착 유전체 재료 및/또는 유전체층들 간에 표면을 처리하기 위한 방법 및 장치를 참조한다. 삽입층 접착을 개선하는 것은 다음의 유전체층을 증착하는 단계 존에 유전체 개시층을 형성하는 단계를 포함할 수 있다. 이러한 개시층은 실리콘, 탄소 및 선택적으로 산소를 포함할 수 있다. 유전체층들 간에 접착을 개선하기 위한 처리들은, 이어지는 증착에 앞서, 예를 들어 비활성 기체, 산화 기체 또는 두 가지 모두의 플라즈마 처리의 적용과 같은, 증착된 층의 표면을 변형하는 단계를 포함한다. 실리콘, 탄소 및 선택적으로 산소 포함 재료의 표면 처리는 증 착된 재료상에 더욱 산화물같은 표면을 형성하여, 삽입층 접착력이 강화되는 것으로 여겨진다.
실리콘 탄화물층상에 증착된 실리콘 옥시탄화물층을 위해 본 명세서에 개시된 증착 공정들을 이용하여 형성된 다마신 구조물이 도 1에 도시된다. 도 1 및 2a-2f에 개시된 바와 같은 공정을 형성하는 다음 구조물은 설명적이며, 본 발명의 범위를 한정하여 해석되거나 파악되어서는 안 될 것이다. 다음의 삽입층 접착 공정들은 낮은 k 에칭 스톱(stop)층(114) 및 삽입 유전체층(118)과 마찬가지로 실리콘 탄화물 배리어층(112) 및 유전체층(110) 사이에 사용되는 반면, 본 발명은 삽입층 접착 공정들이 다마신 구조 또는 유전체 적층 구조에서 어떤 적합한 유전체 층들 간에 사용될 수 있을 것이라 예상한다.
내부의 기판 표면 재료(105)에 형성된 금속 피처들(107)을 갖는 기판(100)이 공정 챔버에 제공된다. 제1 실리콘 탄화물 배리어층(112)은 일반적으로 기판과 연속적으로 증착된 재료 사이에 상호-레벨(inter-level) 확산을 제거하기 위하여 기판 표면상에 증착된다. 제1 실리콘 탄화물 배리어층(112)은 질소 및/또는 산소 도핑될 수 있다. 배리어층 재료들은 4 이하 같은, 약 9까지의 유전 상수들을 가질 수 있으며, 이러한 유전 상수는 약 2.5와 약 4 미만 사이인 것이 바람직하다. 실리콘 탄화물 배리어 층들은 약 5 이하, 바람직하게는 약 4 미만의 유전 상수들을 가질 수 있다. 질소 프리 실리콘 탄화물의 캡핑층(미도시)은 질소 소스 기체를 최소화하거나 또는 제거함으로써 제1 실리콘 탄화물 배리어층(112)상에 인 시튜(in situ)로 증착될 수 있다. 개시층(113)은 제1 실리콘 탄화물 배리어층(112)상에 증착될 수 있고, 본 명세서에 개시된 바와 같은 예비-처리 공정이 개시층(113)을 증착하는 단계에 앞서 사용될 수 있다.
산화된 유기실리콘 화합물의 제1 유전체층(110)은 개시층(113)상에 증착된다. 제1 유전체층(110)은 그 후 플라즈마 또는 e-빔 공정을 이용하여 후-처리(post-treatment) 된다. 대안적으로, 실리콘 산화물 캡핑층(미도시)은 상기 증착된 재료로부터 탄소를 제거하기 위하여, 본 명세서에 기재된 실리콘 옥시탄화물 증착 공정에서 산소 농도를 증가시킴으로써 상기 제1 유전체층(110)상에 인 시튜 증착될 수 있다.
질소 또는 산소로 도핑될 수 있는 실리콘 탄화물의 에칭 스톱(114)(또는 제2 배리어층)은 그 후 상기 제1 유전체층(110)상에 증착된다. 이러한 에칭 스톱(114)은 그 위에 증착된 질소 프리 실리콘 탄화물 캡핑층을 가질 수 있다. 에칭 스톱(114)은 그 후 콘택들/비아들(116)의 개구부들을 한정하기 위해 패턴닝되고 에칭된다. 본 명세서에 기재된 바와 같이, 삽입층 접착층 또는 개시층(115)은, 그 후에 증착된 유전체 재료들을 이용한 삽입층 접착을 개선하기 위하여, 에칭 또는 추가적인 유전체 에칭과 같은 뒤따르는 공정에 앞서 상기 층(114)상에 형성될 수 있다. 개선된 접착층은 예비-처리(pre-treatment) 공정 및 본 명세서에 기재된 바와 같은 개시층을 포함할 수 있다. 상기 삽입층 접착 표면은 본 명세서에 기재된 기술들에 의하여 형성될 수 있다. 산화 유기실란(organosilane) 또는 유기실록산(organosiloxane)의 제2 유전체층(118)은 그 후 패터닝된 에칭 스톱상에 증착된다. 제2 유전체층(118)은 그 후 플라즈마 또는 e-빔 처리되고/처리되거나 그 위에 본 명세서에 기재된 상기 공정에 의해 배치된 실리콘 산화물 캡핑 재료를 가질 수 있다.
포토레지스트 재료 UV-5와 같은, Massachusetts, Marlborough의 Shipley Company Inc.로부터 상업적으로 제공 가능한, 종래 본 기술 분야에서 알려진 레지스트(122)는 그 후 상호접속부 라인들(120)을 한정하기 위하여 본 기술 분야에서 알려진 종래의 수단들에 의해 증착되고 패터닝된다. 그 후. 상기 에칭 스톱까지 이르는 상호접속부를 한정하고 콘택들/비아들을 한정하기 위해 패터닝된 에칭 스톱에 의해 노출된 무방비의 유전체를 에칭하기 위하여 단일 에칭 공정이 수행된다.
본 발명에 따라 제조된 바람직한 이중 다마신 구조물은 도 2E에 도시된 바와 같은 노출된 실리콘 옥시탄화물층의 플라즈마 처리 또는 e-빔 처리를 포함하고, 이러한 구조물을 만드는 방법은 그 위에 형성된 본 발명의 단계들을 가지는 구조물의 단면도인 도 2a-2f에서 연속하여 개략적으로 도시된다.
도 2a에 도시된 바와 같이, 제1 실리콘 탄화물 배리어층(112)은 상기 기판 표면상에 증착된다. 상기 제1 실리콘 탄화물 배리어층(112)의 실리콘 탄화물 재료는 질소 및/또는 산소로 도핑될 수 있다. 도시되지 않았으나, 질소 프리 실리콘 탄화물 또는 실리콘 산화물의 캡핑층은 상기 배리어층(112)상에 증착될 수 있다. 질소 프리 실리콘 탄화물 또는 실리콘 산화물은 상기 공정 기체의 조성물을 조정함으로써 인 시튜로 증착될 수 있다.
개시층(113)은 실제 개시층 재료 증착을 수반하는 상기 제1 실리콘 탄화물 배리어층(112)의 플라즈마 처리에 의해 증착될 수 있다: 두 공정들 모두는 연속적으로 인 시튜로 수행될 수 있다. 헬륨(He), 아르곤(Ar), 네온(Ne) 및 그들의 조합물들은 플라즈마 처리에 사용될 수 있다.
비활성 기체 예비-처리 공정의 일 예는, 약 1500 sccm의 유량으로 공정 챔버로 헬륨을 공급하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 약 350℃의 기판 온도를 유지하는 단계, 상기 기판 표면으로부터 약 450 mils에서 기체 분배기(distributor)를 배치하는 단계 및 약 15초의 기간 동안 약 13.56 MHZ의 고주파수에서 약 300W의 RF 전력 레벨을 적용함으로써 플라즈마를 생성하는 단계를 포함한다.
개시층(113)의 증착의 일예는, 500 sccm의 유량으로 상기 공정 챔버로 산소를 유입시키는 단계, 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane)을 약 500 miligrams/minute(mgm)의 유량으로(OMCTS에 대한 약 39 sccm에 해당하는) 유입시키는 단계, 약 4800 sccm의 유량으로 헬륨을 유입시키는 단계, 약 350℃의 기판 온도로 상기 챔버를 유지하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 상기 기판 표면으로부터 약 350 mils에서 기체 분배기를 배치하는 단계 및 13.56 MHz에 약 500 watts 및 356 KHz에 약 150 watts의 RF 전력을 제공하는 단계를 포함한다.
트리메틸실란 및/또는 옥타메틸시클로테트라실록산과 같은, 본 명세서에 기재된 공정에 의해 산화된 유기실란 또는 유기실록산으로부터의 실리콘 옥시탄화물의 최초 제1 유전체층(110)은, 제조되는 기판의 크기에 따라, 약 5,000 내지 약 15,000 Å의 두께로 개시층(113)상에 증착된다. 상기 제1 유전체층은 파랄린(paralyne)을 포함하는 낮은 중합체 재료 또는 도핑되지 않은 실리콘 유리(USG) 또는 플루오르-도핑된 실리콘 유리(FSG)와 같은 낮은 k 스핀-온(spin-on) 유리와 같은 또 다른 낮은 k 유전체 재료를 포함할 수 있다. 제1 유전체층은 그 후 본 명세서에 기재된 바와 같이 플라즈마 공정에 의해 처리될 수 있다.
도 2b에 도시된 바와 같이, 질소 및/또는 산소 도핑된 실리콘 탄화물일 수 있는 낮은 k 에칭 스톱(114)은 그 후 약 100 Å 내지 약 1,000Å의 두께로 상기 제1 유전체층상에 증착된다. 이후 유전체 개시층과 같은, 본 명세서에 기재된 공정들 중 어느 하나에 의해 형성된 삽입층 유전체 접착층 또는 표면(115)은 낮은 k 에칭 스톱층(114)상에 형성되거나 증착된다. 낮은 k 에칭 스톱층(114) 및/또는 삽입층 유전체 접착층 또는 표면(115)은 실리콘 탄화물 재료 또는 실리콘 옥시탄화물 재료에 대해 본 명세서에서 개시된 것처럼, 플라즈마 처리될 수 있다. 층(115)은 개시층(113)에 대해 기재된 바와 같이 증착될 수 있다.
그 후 낮은 k 에칭 스톱(114)은 콘택/비아 개방부들(116)을 한정하고 콘택들/비아들이 도 2c에 도시된 바와 같이 형성되는 영역들에서 제1 유전체층(110)을 노출하기 위하여 패턴 에칭된다. 낮은 k 에칭 스톱(114)은 플루오르, 탄소 및 산소 이온들을 이용한 종래의 포토리소그래피(photolithography) 및 에칭 공정들로 패턴 에칭되는 것이 바람직하다. 도시되지 않았으나, 약 100 Å과 약 500 Å 사이의 질소 프리 실리콘 탄화물 또는 실리콘 산화물 캡핑층은, 그 이상의 재료들을 증착하기에 앞서, 낮은 k 에칭 스톱(114) 및/또는 삽입층 유전체 접착층 또는 표면(115)상에 증착될 수 있다.
낮은 k 에칭 스톱(114)이 콘택들/비아들을 패터닝하기 위해 에칭되고 레지스트 재료가 제거된 후, 트리메틸실란과 같은, 본 명세서에 기재된 공정에 의한 산화 유기실란 또는 유기 실록산으로부터의 실리콘 옥시탄화물의 제2 유전체층(118)은 도 2d에 도시된 바와 같이 약 5,000 내지 약 15,000 Å의 두께로 증착된다. 제2 유전체층(118)은 그 후 제1 유전체층(110)에 대하여 본 명세서에서 기재된 바와 같이 플라즈마 공정에 의해 처리될 수 있다.
레지스트 재료(122)는 그 후 제2 유전체층(118)(또는 캡핑층)상에 증착되고, 도 2E에 도시된 바와 같이 상호접속부 라인들(120)을 한정하기 위하여 바람직하게는 종래 포토리소그래피 공정들을 이용하여 패터닝된다. 레지스트 재료(122)는, Massachusetts, Marlborough의 Shipley Company Inc.로부터 상업적으로 제공 가능한, 높은 활성화 에너지 레지스트 재료인 UV-5와 같은 종래 기술 분야에서 알려진 재료들을 포함한다. 상기 상호접속부들 및 콘택들/비아들은 그 후 도 2F에 도시된 바와 같이 금속화 구조물을 한정하기 위하여(즉, 상호 접속부 및 콘택/비아) 반응성 이온 에칭 또는 다른 이방성 에칭 기술들을 이용하여 에칭된다. 에칭 스톱(114) 또는 제2 유전체층(118)을 패터닝하기 위해 사용된 어떤 레지스트 재료 또는 다른 재료라도 산소 스트립 또는 다른 적절한 공정을 이용하여 제거된다.
금속화 구조물은 그 후 알루미늄, 구리, 텅스텐 또는 그들의 조합물들과 같은 전도성 재료를 이용하여 형성된다. 현재는 구리의 낮은 저항성(알루미늄의 3.1 mΩ-cm과 비교하여 1.7mΩ-cm)으로 인하여 더 작은 피처들을 형성하기 위하여 구리를 사용하는 추세이다. 구리가 주변의 실리콘 및/또는 유전체 재료로 이동하는 것을 막기 위하여 탄탈 질화물과 같은 적합한 금속 배리어층이 먼저 금속화 패턴 컨포멀하게(conformally) 증착되는 것이 바람직하다. 이후 구리는 전도성 구조물을 형성하기 위하여 화학 기상 증착, 물리 기상 증착, 전기 도금 또는 그 조합들 중 어느 하나를 사용하여 증착된다. 일단 이러한 구조물이 구리 또는 다른 전도성 금속으로 채워지면, 그 표면은 화학 기계적 연마를 이용하여 평탄화된다.
일 관점에서, 삽입층 접착은 실리콘 옥시탄화물층의 증착에 앞선 개시층의 증착에 의하여 개선될 수 있다. 선택적으로, 실리콘 탄화물 또는 도핑된 실리콘 탄화물과 같은 밑에 있는 유전체층의 예비-처리 공정은 개시층의 증착에 앞서 수행될 수 있다. 플라즈마를 생성하기 위한 RF 전력의 적용은 예비-처리 단계들 사이 또는 증착 단계들 사이의 기체 변이 중에 중단되지 않을 것이다.
개시층 증착
다음의 증착 공정들은 300 mm Producer™ 이중 증착 스테이션 공정 챔버를 사용하는 것을 설명하고, 예를 들어 유량 및 전체 유량들은 이에 따라 해석되어야 하며, 상기 챔버에서 각 증착 단계에서의 공정 유량들을 설명하기 위해 둘로 나누어져야 한다. 또한, 각각의 파라미터들은 다양한 챔버들에서 플라즈마 공정들을 수행하기 위하여, 그리고 200 mm 기판들과 같이 상이한 기판 크기들을 위하여 수정될 수 있다.
다음의 증착 공정들은 300 mm Producer™ 이중 증착 스테이션 공정 챔버를 사용하는 것을 설명하고, 예를 들어 유량 및 전체 유량들은 이에 따라 해석되어야 하며, 상기 챔버에서 각 증착 단계에서의 공정 유량들을 설명하기 위해 둘로 나누어져야 한다. 또한, 각각의 파라미터들은 다양한 챔버들에서 플라즈마 공정들을 수행하기 위하여, 그리고 200 mm 기판들과 같이 상이한 기판 크기들을 위하여 수정될 수 있다.
본 명세서에 기재된 증착 공정들은 하나의 연속적인 플라즈마 공정에서 수행되거나, 또는 예를 들어 각 층 증착 단계에 대하여 하나인, 둘 이상의 생성된 플라즈마를 포함할 수 있다. 본 명세서에 기재된 예비-처리 및 증착 공정들은 또한 하나의 연속적인 플라즈마 공정에서 수행되거나, 또는 예를 들어 상기 예비처리 공정에 대한 하나의 생성된 플라즈마 및 상기 층 증착 단계들에 대한 하나 이상의 생성된 플라즈마; 또는 상기 예비-처리 공정에 대한 하나의 플라즈마 및 상기 유전체 증착 단계에 대한 개시층 증착 단계 및 제2 생성된 플라즈마를 포함할 수 있다.
상기 예비-처리 공정은 비활성 기체, 산화 기체 또는 두 가지 모두를 사용하여 밑에 놓인 유전체의 플라즈마 처리를 포함한다. 플라즈마 처리는 순차적으로 증착되는 재료와 더 유사한 하부의 유전체 재료의 표면을 형성하는 것으로 여겨진다. 예를 들어, 산소 플라즈마는 표면과 더 유사한 산화물을 생성하는 것으로 여겨진다. 플라즈마 처리는 실리콘 옥시탄화물 재료를 증착하기 위해 사용되는 동일한 챔버에서 수행될 수 있다.
플라즈마 처리의 일 실시예는, 헬륨, 아르곤, 네온, 크세논, 크립톤 또는 그들의 조합물들을 포함하는 비활성 기체를 약 500 sccm과 약 3,000 sccm 사이에 유량으로 공정 챔버로 제공하는 단계, 약 3 Torr와 약 12 Torr 사이로 챔버 압력을 유지하는 단계, 약 300 ℃와 약 450℃ 사이로 기판 온도를 유지하는 단계, 기판 표면으로부터 약 200 mils와 약 1,000 mils 사이에, 예를 들어 300 mils와 500 mils 사이에 위치될 수 있는 기체 분배기 또는 "샤워헤드(showerhead)"를 배치하는 단계 및 13 MHz와 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고주파수에서, 200mm 기판에 대하여 약 10 W와 약 1,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 과 약 3.2 W/cm2 사이 범위의 전력 밀도를 적용시킴으로써 플라즈마를 생성하는 단계를 포함한다. 상기 플라즈마 처리는 약 3초와 약 120초 사이, 예컨대 바람직하게는 약 5초와 약 40초 사이로 수행될 수 있다.
상기 플라즈마는 본 명세서에 기재된 바와 같이 이중-주파수 RF 전력 소스에 의하여 생성될 수 있다. 대안적으로, 모든 플라즈마 생성은 증착된 재료의 처리 또는 재료층의 증착의 플라즈마 처리를 위하여 상기 공정 챔버로 주입된 생성된 래디컬(radical)들을 이용하여 멀리 떨어져서 수행될 수 있다.
비활성 기체 예비-처리 공정의 일예는, 약 1,500 sccm의 유량으로 공정 챔버로 헬륨을 제공하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 기판 온도를 유지하는 단계, 상기 기판 표면으로부터 약 450 mils에서 기체 분배기를 배치하는 단계 및 15 초 주기 동안 약 13.56 MHz의 고주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플라즈마를 생성하는 단계를 포함한다.
상기 예비-처리 플라즈마 공정은 상기 기재된 비반응성 기체와 함께 또는 없이 산소와 같은 산화 기체를 이용할 수 있다. 상기 산화 예비-처리 공정은 약 100 sccm에서 약 3,000 sccm 사이의 유량으로 공정 챔버로 산소 또는 본 명세서에 기재된 다른 산화 기체와 같은 산화 기체를 제공하는 단계, 약 2 Torr와 약 12 Torr 사이에 챔버 압력을 유지하는 단계, 약 250 ℃와 약 450 ℃ 사이로 기판 온도를 유지하는 단계, 기판 표면으로부터 약 200 mils와 약 1,000 mils 사이에, 예를 들어 300 mils와 500 mils 사이에 위치될 수 있는 기체 분배기 또는 "샤워헤드"를 배치하는 단계 및 13 MHz와 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고주파수에서, 200mm 기판에 대하여 약 10 W와 약 1,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 과 약 3.2 W/cm2 사이 범위의 전력 밀도를 적용시킴으로써 플라즈마를 생성하는 단계를 포함할 수 있다. 상기 플라즈마 처리는 약 3초와 약 120초 사이에, 바람직하게는 약 5초와 약 40초 사이에 플라즈마 처리를 이용하여 수행될 수 있다.
산화 기체 예비-처리 공정의 일예는, 약 750 sccm의 유량으로 공정 챔버로 산소를 제공하는 단계(이중 스테이션 Producer™ 플라즈마 챔버에 대해서는 1,500 sccm), 약 5 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 기판 온도를 유지하는 단계, 상기 기판 표면으로부터 약 450 mils에서 기체 분배기를 배치하는 단계 및 15 초 주기 동안 약 13.56 MHz의 고주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플라즈마를 생성하는 단계를 포함한다.
개시층은 실리콘 옥시탄화물층과 같은, 순차적 유전체층의 증착을 도입하기 위하여(to seed) 질소 또는 산소 도핑된 실리콘 탄화물을 포함할 수 있는 실리콘 탄화물층과 같이 하부의 재료상에 증착될 수 있다.
상기 개시층은 실리콘 옥시탄화물층을 포함하고, 산화 기체 및 유기실리콘 재료에 의해 증착될 수 있으며, 여기서 유기실리콘 화합물은 본 명세서에 기재된 바와 같은 화합물일 수 있다. 유기실리콘 화합물 및 산화 기체는 약 1:2 내지 약 10:1, 이를 테면 약 1:2와 2:1, 예를 들어 약 1:2 내지 약 1:1의 유기실리콘 화합물(mgm) 대 산화 기체(sccm)의 비로 공정 챔버에 주입될 수 있다. 개시층은 실리콘 옥시탄화물 증착과 같은 순차적 유전체 재료 증착과 비슷하거나 동등한 공정 환경에서 증착될 수 있다.
상기 개시층 및 실리콘 옥시탄화물층은 공정 기체 조성물들을 수정함으로써 순차적으로 인 시튜로 증착될 수 있다. 예를 들어, 실리콘 옥시탄화물층은 약 10:1와 약 2:1 사이와 같이 약 10:1 이상, 예를 들면 약 18:1의 유기실리콘 화합물 및 산화 기체를 공정 챔버로 주입함으로써 증착될 수 있고, 인 시튜 공정은 개시층과 실리콘 옥시탄화물층의 증착 사이에 유기실리콘 화합물과 산화 기체의 비율을 변화시킴으로써 일어날 수 있다. 산화 기체는 산소, 오존, 탄소 일산화물, 탄소 이산화물, 질소 산화물 및 그들의 조합물들의 그룹으로부터 선택된 산화 화합물을 포함할 수 있으며, 그 중에서도 산소가 선호된다.
예비-처리 공정은 또한 개시층 증착 및/또는 실리콘 옥시탄화물층 증착을 이용하여 인 시튜로 수행될 수 있다. 증착 공정에 있어서 유기실리콘 화합물과 산화 기체 간의 모든 흐름비(flow ratio)들은 별도로 표시되지 않는 한, mgm 대 sccm 단위로 기재된다.
유전체 개시층 증착의 일 실시예는 다음과 같다. 상기 증착은, 산화 화합물을 약 10 sccm과 약 2000 sccm 사이의 유량으로 공정 챔버로 유입시키는 단계, 유기실리콘 선구물질(precursor)을 약 100 milligrams/minute(mgm)과 약 5,000 mgm 사이의 유량으로(옥타메틸시클로테트라실록산(OMCTS)에 대한 약 7 sccm과 약 400 sccm 사이에 대응하는) 유입시키는 단계, 및 선택적으로 약 1 sccm과 약 10,000 sccm 사이 유량으로 희가스(noble gas)를 공급하는 단계, 약 0 ℃와 약 500 ℃ 사이의 기판 온도로 챔버를 유지하는 단계, 약 100 milliTorr와 약 100 Torr 사이로 챔버 압력을 유지하는 단계, 상기 기판 표면으로부터 약 200 mils와 약 700 mils 사이에 기체 분배기를 배치하는 단계, 200mm 기판에 대하여 약 10 W와 약 2,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2과 약 6.4 W/cm2 사이와 같은, 약 0.03 watts/cm2와 약 1,500 watts/cm2 사이의 RF 전력을 공급하는 단계에 의해 수행될 수 있다.
이러한 전력은 약 200 watts 내지 약 1,000 watts의 범위에서의 전력에서 약 10 MHz 내지 약 30 MHz 범위에 주파수를 갖는 제1 RF 전력 및 적어도 약 1 watt와 약 200 watt 사이 범위에 전력과 마찬가지로 약 100 KHz와 약 500 KHz 사이 범위에서 주파수를 갖는 제2 RF 전력을 가지는 이중-주파수 RF 전력 소스로부터 공급될 수 있다. 개시층은 약 1초와 약 60초 사이의 시간 주기, 예를 들어, 2초와 같은, 1 내지 5초의 사이 동안 증착될 수 있다.
개시층 증착의 일예는, 500 sccm의 유량으로 공정 챔버로 산소를 유입시키는 단계, 약 500 milligrams/minute(mgm)의 유량으로(OMCTS에 대한 39 sccm에 대응하는) 옥타메틸시클로테트라실록산을 유입시키는 단계, 약 4800 sccm의 유량으로 헬륨을 유입시키는 단계, 약 350 ℃의 기판 온도로 챔버를 유지하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 350 mils에서 기체 분배기를 배치하는 단계 및 13.56 MHz에서의 약 500 watts 내지 356 KHz에서의 약 150 watts의 RF 전력을 공급하는 단계를 포함한다. 이러한 공정은 약 1과 약 5초 사이에서, 바람직하게는 2초간 수행된다.
개시층 구성의 대안적인 실시예에서, 산소 플라즈마 예비-처리 공정은 제1 시간주기 동안 개시되고 적용되며, 유기실리콘 재료는 그 후 개시층 증착을 위해 유입될 수 있다. 이것은 이어지는 유전체 재료의 증착에 앞서 산화 플라즈마 및 다음의 개시층 인 시튜 증착에 의하여 증착된 재료의 연속적인 예비-처리를 허용하며, 이것은 또한 인 시튜로 수행될 수 있다.
유전체 재료는, 약 10 sccm과 약 2000 sccm 사이의 유량으로, 산소와 같은 산화 화합물을 공정 챔버로 유입시키는 단계, 약 100 milligrams/minute(mgm)과 약 5,000 mgm 사이의 유량으로(OMCTS에 대한 약 7 sccm과 약 400 sccm사이에 대응하는) 유기실리콘 선구물질을 유입시키는 단계, 그리고 선택적으로, 약 1 sccm과 약 10,000 sccm 사이의 유량으로 희가스를 제공하는 단계, 약 0 ℃와 약 500 ℃ 사이의 기판 온도로 챔버를 유지하는 단계, 약 100 milliTorr와 약 100 Torr사이의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 200 mils와 약 700 mils 사이에 기체 분배기를 배치하는 단계 및 200mm 기판에 대하 약 10 W와 약 2,000 W 사이의 RF 전력 레벨인, 약 0.03 watts/cm2와 약 1,500 watts/cm2 사이, 약 0.03 W/cm2과 약 6.4 W/cm2 사이와 같은 RF 전력을 제공하는 단계에 의하여 일 실시예에서 증착된 실리콘 옥시탄화물을 포함할 수 있다. 이러한 전력은 약 200 watts 내지 약 1,000 watts의 범위의 전력에서 약 10 MHz 내지 약 30 MHz 범위에 주파수를 갖는 제1 RF 전력 및 적어도 약 1 watt와 약 200 watt 사이 범위의 전력과 마찬가지로 약 100 KHz와 약 500 KHz 사이 범위에서 주파수를 갖는 제2 RF 전력을 가지는 이중-주파수 RF 전력 소스로부터 공급될 수 있다.
유전체층 증착의 일예는 160 sccm의 유량으로 산소를 공정 챔버로 유입시키는 단계, 약 2900 milligrams/minute(mgm)의 유량으로(OMCTS에 대한 약 226 sccm에 대응하는) 옥타메틸시클로테트라실록산을 유입시키는 단계, 약 1,000 sccm의 유량으로 헬륨을 유입시키는 단계, 약 350 ℃의 기판 온도로 챔버를 유지하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 450 mils에서 기차 분배기를 배치하는 단계 및 13.56 MHz에서의 약 500 watts 내지 356 KHz에서의 약 150 watts의 RF 전력을 공급하는 단계를 포함한다. 이러한 개시층 증착 공정 및 유전체 층은 인 시튜로 증착될 수 있으며, 선구물질 유량들 및 다른 공정 파라미터들을 조정함으로써 접촉한다.
실시예들
다음의 실시예들은 개선된 삽입층 접착을 설명하기 위해 기준 삽입층 적층과 비교되어 본 명세서에 기재된 부착 공정들의 다양한 실시예들을 설명한다. 샘플들은 Producer™ 300 mm 공정 챔버들을 이용하여 착수되며, 이는 캘리포니아, 산타 클라라의 Applied Materials Inc.에 의해 제조되고 판매되는 2-피스(two-piece) 석영 공정 키트를 갖는 고체-상태 이중 주파수 RF 매칭 장치를 포함한다.
테스트 샘플들은 다음과 같이 준비된다. 유전체 층들의 적층은 다음과 같이 실리콘 기판상에 증착된다. 기판은 그 위에 증착된 약 1,000 Å의 산화물, 상기 산화물상에 배치된 약 250 Å의 탄탈, 상기 탄탈상에 배치된 약 4,500 Å의 구리, 상기 구리층상에 배치된 약 2,000Å의 실리콘 탄소질화물 및 상기 실리콘 탄소질화물층상에 증착된 약 2,000 Å의 실리콘 옥시탄화물을 가지는 실리콘 기판을 포함한 다. 실리콘 탄소질화물 증착 및 실리콘 옥시탄화물 증착은 하나의 연속적인 플라즈마일 수 있으며, 둘 이상의 발생된 플라즈마를 포함할 수 있다.
상기 실리콘 옥시탄화물층은, 160 sccm의 유량으로 산소를 공정 챔버로 유입시키는 단계, 약 2,900 milligrams/minute(mgm)의 유량으로(OMCTS에 대한 약 226 sccm에 대응하는) 옥타메틸시클로테트라실록산을 유입시키는 단계, 약 1,000 sccm의 유량으로 헬륨을 유입시키는 단계, 약 350 ℃의 기판 온도로 챔버를 유지하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 450 mils에서 기체 분배기를 배치하는 단계 및 13.56 MHz에서의 약 500 watts 내지 356 KHz에서의 약 150 watts의 RF 전력을 공급하는 단계에 의하여 증착된다.
접착력 테스트는 다음과 같은 테스트 샘플들상에 수행된다. 공지된 균열 특성들을 가지는 약 120 ㎛와 약 150 ㎛ 사이의 에폭시 재료가 테스트 샘플들상에 증착된다. 그 위에 실리콘층이 증착된다. 테스트 샘플들은 그 후 약 190 ℃에서 한 시간 동안 베이킹되고 건조되며, 그 후 샘플들에 1cm마다 쪼개지고, 액체 질소를 이용하여 -170 ℃까지 냉각된다. 샘플들은 그 후, 지정된 온도에서 가장 약한 삽입층 공간에서 일어나는 균열을 판단하기 위해 관찰된다. 지정된 온도에서 에폭시의 수축은 필링을 유도하기 위해 요구되는 힘들과 관련된다. 이러한 관찰로부터, 접착에 대한 판단이 이루어질 수 있다. 접착력(Gc)은 공식 σ√(h/2)에 기초하며, 여기서 h는 에폭시 두께이고 잔여 응력이다. 상기 기재된 미처리되고 수정되지 않은 적층에 대하여 측정된 접착력은 약 3 J-m2이며, 약 3.01의 유전 상수와 실리콘 탄소질화물 및 실리콘 옥시탄화물 경계면에서의 균열을 가진다.
하나의 샘플, 샘플 #1에서, 헬륨 플라즈마 처리는, 약 1,500 sccm의 유량으로 공정 챔버로 헬륨을 제공하는 단계, 약 5 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 기판 온도를 유지하는 단계, 기판 표면으로부터 약 450 mils에서 기체 분배기를 배치하는 단계 및 15 초 주기 동안 약 13.56 MHz의 고 주파수에서 약 300 W의 RF 전력 레벨을 제공함으로써 플라즈마를 생성하는 단계에 의하여, 실리콘 옥시탄화물층의 증착에 앞서 실리콘 탄소질화물층상에 수행된다. 샘플 #1의 헬륨 처리된 적층의 측정 접착력(Gc)은 약 3.8 J-m2이며, 약 3.03의 유전 상수를 갖고, 실리콘 탄소질화물 및 실리콘 옥시탄화물 경계면에서 균열은 일어나지 않는다.
또 다른 샘플, 샘플 #2에서, 헬륨 플라즈마 처리 및 개시층은 샘플 #1에 개시된 헬륨 공정 및 개시층 증착에 의한 실리콘 옥시탄화물층의 증착에 앞서, 500 sccm의 유량으로 공정 챔버로 산소를 유입시키는 단계, 약 500 milligrams/minute(mgm)의 유량으로(OMCTS에 대한 약 39 sccm에 대응하는) 옥타메틸시클로테트라실록산을 유입시키는 단계, 약 4,800 sccm의 유량으로 헬륨을 유입시키는 단계, 약 350 ℃의 기판 온도로 유지시키는 단계, 약 5 Torr의 챔버 압력을 유지시키는 단계, 기판 표면으로부터 약 350 mils에서 기체 분배기를 배치하는 단계 및 13.56 MHz에서 약 500 watts 내지 356 KHz에서 약 150 watts의 RF 전력을 공급하는 단계에 의하여 실리콘 탄질화물층상에 수행된다. 샘플 #2의 헬륨 처리된 적층의 측정된 접착력(Gc)은 약 3.06의 유전 상수를 갖는 약 5.5 J-m2 이며, 실리콘 탄질화물 및 실리콘 옥시탄화물 경계면에서 균열이 일어나지 않는다.
층 증착:
실리콘 옥시탄화물 층들
실리콘 옥시탄화물층은 일반적으로 실리콘, 탄소 및 약 15 원자 % 이상의 산소를 포함한다. 본 명세서에 기재된 바와 같이, 산소 도핑된 실리콘 탄화물은 약 15 원자 % 미만의 산소를 포함한다. 바람직한 실리콘 옥시탄화물층은 낮은 유전 상수들과 배리어 특성에 기여하는 실리콘-탄소 결합들 및 실리콘-산소 결합들을 포함한다. 증착된 층의 탄소 함유량은 수소 원자들을 제외하고 약 5 원자 %와 약 30 원자 % 사이이며, 수소 원자들을 제외한 약 10 원자 %와 약 20 원자 % 사이인 것이 바람직하다. 증착된 층들은 실리콘 옥시탄화물층에 수소물질적(hydrophobic) 특성들을 제공하기 위하여 C-H 또는 C-F 결합들을 포함할 수 있다. 실리콘 옥시탄화물층은 또한 수소, 질소 또는 그들의 조합물들을 포함할 수 있다.
실리콘 옥시탄화물층들은 본 명세서에 기재된 바와 같이, 산소-함유 유기실리콘 화합물들 및 산소-함유 유기실리콘 화합물들 모두를 포함하는 유기실리콘 화합물들을 산화시킴으로써 증착된다. 본 발명의 바람직한 관점에서, 실리콘 옥시탄화물층은 오존을 포함하는 산화 기체를 이용하여 3 이상의 알킬 그룹들을 포함하는 유기실리콘 화합물의 반응에 의해 증착된다. 유기실리콘 화합물이 산소를 포함한다면, 실리콘 옥시탄화물층은 산화제 없이 증착될 수 있다. 바람직한 유기실리콘 화합물들은 예컨대 다음을 포함한다:
트리메틸실란, (CH3)3-SiH
테트라메틸실란, (CH3)4-Si
1,1,3,3-테트라메틸디실록산, (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산, (CH3)3-Si-O-Si-(CH3)3
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
1,3,5,7-테트라메틸시클로테트라실록산, -(-SiHCH3-O-)4-(cyclic)
옥타메틸시클로테트라실록산, -(-Si(CH3)2-O-)4-(cyclic)
1,3,5,7,9-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(cyclic)
및 그 플루오르화 유도체들.
유기실리콘 화합물들은 실리콘 옥시탄화물층의 증착 동안 바람직하게는 산소(O2), 오존(O3), 질소 산화물(N2O), 일산화탄소(CO), 이산화탄소(CO2), 물(H2O) 또는 그들의 조합물들과의 반응에 의해 산화되며, 특히 산소가 선호된다. 오존이 산화 기체로서 사용될 때, 오존 발생기는 일반적으로 산소일 수 있는 잔여물을 이용하여 일반적으로 약 15 wt.% 산소를 소스 기체에서 오존으로 변환시킨다. 그러나, 오존 농도는 원하는 오존의 양 및 사용된 오존 발생 장치의 종류에 기초하여 증가되거나 또는 감소될 수 있다. 산소를 포함하는 유기실리콘 화합물들은 산소를 제공하기 위해 분리될 수 있다. 실리콘 옥시탄화물층의 증착 중에, 기판은 약 -20 ℃와 약 500 ℃ 사이의 온도로 유지되고, 바람직하게는 약 170 ℃와 약 180 ℃ 사이에서 유지된다.
실리콘 옥시탄화물층의 플라즈마 강화 증착에 있어서, 유기실리콘 재료는, 200mm 기판에 대해 약 1 W 와 약 2,000 W 사이의 RF 전력 레벨인, 약 0.003 W/cm2과 약 6.4 W/cm2 사이 범위의 전력 밀도를 사용하여 증착된다. RF 전력 레벨은 약 300 W와 약 1,700 W 사이인 것이 바람직하다. RF 전력은 약 0.01 MHz와 약 300 MHz 사이의 주파수에서 제공된다. RF 전력은 연속적으로 제공되거나, 짧은 기간의 사이클로 제공될 수 있으며, 여기서 전력은 약 200 Hz 미만의 사이클들에 대해 포화된 레벨에서 온(on)되며, 상기 온 사이클은 전체 듀티 사이클의 약 10% 내지 50 %이다. 낮은 유전 상수층의 증착 공정은 아래에 더욱 상세히 설명되는 기판 공정 시스템에서 수행된다. 실리콘 옥시탄화물층은 연속적으로 증착되거나, 또는 다공성을 향상시키기 위하여 챔버들을 바꾸거나 냉각 시간을 두는 등 단속적으로 증착될 수 있다.
대안적으로, 이중-주파수 시스템은 실리콘 옥시탄화물 재료를 증착하기 위하여 적용될 수 있다. 혼합 RF 전력의 이중-주파수 소스는 약 100 KHz와 약 500 KHz 사이 범위의 저 주파수 전력뿐만 아니라, 약 10 MHz와 약 30 MHz 사이 범위, 예컨대 약 13.56 MHz의 고 주파수 전력을 제공한다. 혼합 주파수 RF 전력 애플리케이션의 일예는 약 200 watts 내지 약 1,000 watts 범위의 전력에서 약 10 MHz 내지 약 30 MHz 범위에 주파수를 갖는 제1 RF 전력 및 적어도 약 1 watt와 약 200 watts 사이 범위의 전력과 마찬가지로 약 100 KHz와 약 500 KHz 사이 범위의 주파수를 갖는 제2 RF 전력을 포함할 수 있다. 전체 혼합 주파수 전력에 대한 상기 제2 RF 전력의 비는 약 0.2 내지 1.0 미만인 것이 바람직하다.
하나의 관점에서, 고리형(cyclic) 유기실리콘 화합물 및 지방족 유기실리콘 화합물은 반도체 기판 상에 낮은 유전 상수 층을 증착하기에 충분한 양의 산화 기체를 이용하여 반응하고, 여기서 고리형 유기실리콘 화합물은 적어도 하나의 실리콘-탄소 결합을 포함한다. 지방족 유기실리콘 화합물은 실리콘-수소 결합 또는 실리콘-산소 결합을 포함하며, 바람직하게는 실리콘-수소 결합이다. 예를 들어, 고리형 유기실리콘 결합물은 1,3,5,7-테트라메틸시클로테트라실록산 또는 옥타메틸시클로테트라실록산일 수 있으며, 지방족 유기실리콘 화합물은 트리메틸실란 또는 1,1,3,3-테트라메틸디실록산일 수 있다.
또 다른 관점에서, 고리형 유기실리콘 화합물 및 지방족 유기실리콘 화합물 모두는 실리콘-수소 결합을 포함한다. 예를 들어, 1,3,5,7-테트라메틸시클로테트라실록산 및 트리메틸실란 또는 1,1,3,3-테트라메틸디실록산은 RF 전력을 적용하는 중에 혼합되고 산화된다.
플라즈마 강화 증착의 일 실시예에서, 산소 또는 산소 포함 화합물들은 반응성을 증가시키고 증착된 층의 원하는 산화를 달성하기 위하여 분리된다. RF 전력은 이러한 화합물들의 분리를 증가시키기 위하여 증착 챔버와 결합된다. 상기 화합물들 또한 증착 챔버로 유입되기에 앞서 초고주파 챔버에서 분리될 수 있다.
증착은, 예컨대, 캘리포니아 산타 클라라의 Applied Materials사에 의해 상업적으로 제공 가능한 DxZ™ 공정 챔버 또는 Producer™ 공정 챔버 등의 단일 증착 챔버에서 일어나는 것이 바람직하지만, 실리콘 옥시탄화물층은, 증착 중에 상기 층을 냉각시키기 위하여 예컨대, 둘 이상의 챔버들에서 연속적으로 증착될 수 있다. 추가적으로, 실리콘 옥시탄화물 및 실리콘 탄화물 층들은 동일한 챔버에서 인 시튜로 증착될 수 있으며, 선택된 선구물질들의 사용 및 공정 기체들의 조성과 공정 파라미터들의 제어에 의하여 이어서 증착될 수 있다. 예를 들어, 실리콘 탄화물 및 실리콘 옥시탄화물 층 모두는 질소 도핑된 실리콘 탄화물을 형성하기 위해 실리콘 탄화물 증착에 사용되는 암모니아를 갖는 트리메틸실란을 이용하고, 이어서 실리콘 옥시탄화물 금속의 증착 중에 오존을 이용하여 증착될 수 있다.
실리콘 탄화물층들
실리콘 탄화물층은 탄소-실리콘 결합들 및 약 4 미만의 유전 상수를 포함하는 유전체층을 형성하기 위하여 유기실리콘 화합물 반응에 의하여 증착된다. 실리콘 탄화물층은 비결정 수소화 실리콘 탄화물인 것이 바람직하다. 실리콘 탄화물층은 비활성 기체, 수소 기체 또는 두 가지 모두의 플라즈마에서 증착될 수 있다. 실리콘 탄화물 유전체층은 도핑된 실리콘 탄화물층일 수 있다. 실리콘 탄화물층은 전도성 재료 또는 유전체층에 인접하여 배치된 배리어 층으로서 증착될 수 있으며, 하나 이상의 유전체층들 간에 증착된 에칭 스톱일 수 있다.
실리콘 탄화물 증착을 위하여 본 명세서에서 사용된 적합한 유기실리콘 화합물들은 바람직하게는 다음과 같은 구조를 포함한다:
|
R-Si-
|
여기서, R은 그 기능성 유도체들에 더하여, 알킬, 알케닐, 시클로헥세닐 및 아릴 그룹들을 포함하는 유기 기능 그룹들을 포함한다. 유기 선구물질들은 실리콘 원자에 부착된 하나 이상의 R 그룹을 가질 수 있으며, 본 발명은 Si-H 결합들을 갖는 또는 갖지 않는 유기실리콘 선구물질들의 사용을 기대한다.
유기실리콘 화합물들은 적어도 하나의 실리콘-탄소 결합을 갖는 지방족 유기실리콘 화합물들, 고리형 유기실리콘 화합물들 또는 그들의 조합물들을 포함하며, 선택적으로 그 구조물은 산소를 포함할 수 있다. 고리형 유기실리콘 화합물들은 일반적으로 3개 이상의 실리콘 원자들을 포함하는 고리를 갖는다. 지방족 유기실리콘 화합물들은 하나 이상의 실리콘 원자들 및 하나 이상의 탄소 원자들을 포함하는 선형 또는 브랜치형(branched) 구조물들을 갖는다. 상업적으로 제공가능한 지방족 화합물들은 실리콘 원자들 간에 산소를 포함하지 않는 유기실란들 및 산소 도핑된 실리콘 탄화물층에 대해, 둘 이상의 실리콘 원자들 간에 산소를 포함하는 유기실록산들을 포함한다. 본 명세서에 기재된 유기실리콘 화합물들의 플루오르화 유도체들은 또한 본 명세서에 기재된 실리콘 탄화물 및 실리콘 옥시탄화물 층들을 증착하게 위하여 사용될 수 있다.
적합한 지방족 및 고리형 유기실리콘 화합물들은, 예를 들어, 하나 이상의 다음 화합물들을 포함한다.:
메틸실란, CH3-SiH3
디메틸실란, (CH3)2-SiH2
트리메틸실리엔(TMS), (CH3)3-SiH
에틸실란, CH3-CH2-SiH3
디실라노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄, CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판, SiH3-C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2CH2-)3- (cyclic)
상기 리스트는 예를 든 것이며, 본 발명의 원칙을 한정하도록 해석되어서는 안 될 것이다.
페닐 함유 유기실리콘 결합물들은 또한 실리콘 탄화물 재료들의 증착을 위해 사용될 수 있으며, 일반적으로 다음과 같은 구조물을 포함할 수 있다:
|
R-Si-H
|
여기서 R은 페닐기 그룹이다. 예를 들어, 적합한 페닐기 함유 유기실리콘 화합물들은 일반적으로 공식 SiHa(CH3)b(C6H5)C를 포함하고, 여기서 a는 0 내지 3이고, b는 0 내지 3이고, c는 1 내지 4이며, a+b+c는 4와 같다. 이러한 공식으로부터 유도된 적합한 선구물질들의 예들은 디페닐실란, 디메틸페닐실란, 디페닐메틸실란, 페닐메틸실란 및 그들의 조합물들을 포함한다. 바람직하게 사용된 예는 b는 1 내지 3이고 c는 1 내지 3인 페닐 함유 유기실리콘 화합물들이다. 배리어층 재료로서 증착을 위한 가장 바람직한 유기실리콘 화합물들은 공식 SiHa(CH3)b(C6H5)c를 갖는 유기실리콘 화합물들을 포함하고, 여기서 a, b 및 c는 1 또는 2이다. 바람직한 선구물질들은 디메틸페닐실란 및 디페닐메틸실란을 포함한다.
일반적으로, 유기실리콘 화합물들은 질소(N2) 및 헬륨 또는 아르곤과 같은 희가스들과 같은 비교적 비활성 기체를 포함하는 플라즈마에서 반응된다. 증착된 실리콘 탄화물층들은 약 5 또는 그 미만의 유전 상수들을 가지고, 도핑된 실리콘 탄화물층들은 약 3 또는 그 미만의 유전 상수들을 가질 수 있다.
일 실시예에서, 바람직한 실리콘 탄화물층은 약 10 milligrams/min(mgm)과 약 5,000 milligrams/min(mgm) 사이의 유량으로 플라즈마 공정 챔버로 트리메틸실란을 공급함으로써 증착된다. milligrams/minutes의 standard cubic centimeters per minute(sccm)로의 변환은 유기실리콘 화합물들 간에 변화할 수 있기 때문에, 유기실리콘 화합물들에 있어서 milligrams/min이 사용되는 것이 바람직하다. 헬륨, 아르곤 또는 그들의 조합물들과 같은 비활성 기체 또한 약 50 sccm과 약 5,000 sccm 사이의 유량으로 챔버로 공급된다. 챔버 압력은 약 100 milliTorr와 약 15 Torr 사이로 유지된다. 기판 표면 온도는 증착 공정 중에 약 100 ℃와 약 450 ℃ 사이로 유지된다. 실리콘 탄화물층을 증착하는 공정의 일예가 2003년 3월 25일자로 발행된 미국 특허 제 6,537,733호에 개시되고, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다.
실리콘 탄화물층은 또한 산소, 질소, 보론, 인 또는 그들의 조합물들을 포함하는 도핑된 실리콘 탄화물층일 수 있다. 도핑된 실리콘 탄화물은 일반적으로 15 이하의 원자 퍼센트(원자 %) 또는 하나 이하 또는 이상의 도펀트들을 포함한다. 도펀트들은 약 1:5와 약 1:100 사이와 같은, 약 1:5 이상의 도펀트 대 유기실리콘 화합물 비로 공정 기체들에서 사용될 수 있다.
산소 소스 또는 질소 소스는 산소 도핑된 및/또는 질소 도핑된 실리콘 탄화물 층들을 형성하기 위하여 반응 중에 사용될 수 있다. 산소 소스들의 예들은 산소, 오존과 같은 산화 기체, 일산화탄소, 이산화탄소, 질소 산화물 및 산소 포함 유기실리콘 선구물질 또는 일산화탄소 및 산소 포함 유기실리콘 선구물질과 같은 이들의 조합물을 포함한다. 산소 도핑된 실리콘 탄화물은 일반적으로 약 15 이하의 원자 퍼센트(원자 %) 산소, 바람직하게는 약 10 원자 % 이하의 산소를 포함한다.
산소 포함 유기실리콘 화합물들은 예를 들어, 다음을 포함한다.:
디메틸디메톡시실란, (CH3)2-Si-(OCH3)2
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산(TMDSO), (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산(HMDS), (CH3)3-Si-O-Si-(CH3)3
1,3-비스(실라노메틸렌)디실록산, (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록사닐)메탄, (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-테트라메틸시클로테트라실록산(TMCTS), -(-SiHCH3-O-)4-(cyclic)
옥타메틸시클로테트라실록산(OMCTS), -(-Si(CH3)2-O-)4-(cyclic)
2,4,6,8,10-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(cyclic)
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, -(-SiH2-CH2-SiH2-O-)2-(cyclic)
헥사메틸시클로트리실록산, -(-Si(CH3)2-O-)3-(cyclic)
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
헥사메톡시디실록산(HMDOS), (CH3O)3-Si-O-Si-(OCH3)3
및 그 플루오르화 유도체들,
질소 도핑된 실리콘 탄화물은 20 원자 %까지의 질소를 포함할 수 있으며, 예를 들어, 암모니아, 질소 기체, 질소 및 수소 기체의 혼합물 및 실라제인(silazane) 화합물들과 같은 Si-N-Si 결합 그룹들을 갖는 화합물들을 포함하는 추가적인 질소 함유 화합물들에 의하여 증착될 수 있다. 적합한 실리제인(silizane) 선구물질들의 예들은 헥사메틸시클로트리실라제인과 같은 고리형 화합물들뿐 아니라, 헥사메틸디실라제인 및 디피닐테트라메틸디실리제인과 같은 지방성 화합물들을 포함한다.
예를 들어, 도핑된 실리콘 탄화물층은 산소 소스 및/또는 질소 소스 또는 다른 도펀트를 약 50 sccm과 약 10,000 sccm 사이의 유량으로 공정 챔버로 유입시킴으로써 증착될 수 있다. 예를 들어, 질소 함유 또는 질소 도핑된 실리콘 탄화물층은 실리콘 탄화물층의 증착 중에 암모니아, 질소, 질소 및 수소의 혼합물 또는 그들의 조합물들과 같은 질소 소스를 유입시킴으로써 증착될 수 있다.
낮은 k 실리콘 탄화물층의 인 및/또는 보론 도핑은 증착 공정 중에 인화수소(PH3) 또는 보란(borane), 또는 디보란(B2H6)과 같은 그 보란 유도체들을 상기 챔버로 유입시킴으로써 수행될 수 있다. 도펀트는 증착된 실리콘 탄화물 재료의 유전 상수를 감소시킬 수 있는 것으로 알려졌다. 인 및/또는 보론 도펀트들은 약 50 sccm과 약 10,000 sccm 사이의 유량으로 공정 챔버로 유입될 수 있다.
지방성 탄화수소와 같은 유기 화합물들은 또한 증착된 실리콘 탄화물 재료들의 탄소 함유량을 증가시키기 위하여 공정 기체에서 사용될 수 있다. 적합한 지방 성 탄화수소 화합물들은 1과 약 20 사이의 인접 탄소 원자들을 갖는 화합물들을 포함한다. 탄화수소 화합물들은 단일, 이중, 삼중 결합들의 어떤 결합물에 의해 결합된 인접 탄소 원자들을 포함할 수 있다.
질소 함유 실리콘 탄화물층을 증착하는 공정의 예는 2004년 7월 20일자로 발행된 미국 특허 제 6,764,958호 및 2003년 3월 25일자로 발행된 미국 특허 제 6,537,733호에 개시되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다. 산소 함유 실리콘 탄화물층을 증착하는 공정의 예는 2002년 7월 15일자로 출원된 미국 특허 제 10/196,498호에 개시되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다. 보론 및/또는 인 실리콘 탄화물층을 증착하는 공정의 예를 2004년 9월 14일자로 발행된 미국 특허 제 6,790,788호에 개시되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다.
일반적으로, 유기실리콘 화합물, 비활성 기체 및 선택적 도펀트는 실리콘 탄화물층이 증착되는 기판으로부터 약 200 밀리미터(mm)와 약 600 밀리미터 사이로 배치된 기체 분배기 판을 통하여 공정 챔버로 유입된다. 전력은 단일 또는 이중 주파수 RF 전력 소스에 가해질 수 있다. 예를 들어, 200mm 기판에 대해, 단일 13.56 MHz RF 전력 소스로부터의 전력은 약 0.003 watts/cm2과 약 3.2 watts/cm2 사이의 전력 밀도, 또는 약 1 watt와 약 1,000 watts 사이의 전력 레벨에서 플라즈마를 형성하기 위하여 챔버(10)로 공급된다. 플라즈마를 생성하기 위하여, 200mm 기판에 대해 약 0.9 watts/cm2와 약 2.3 watts/cm2 사이의 전력 밀도, 또는 약 300 watts와 약 700 watts 사이의 전력 레벨이 공정 챔버로 공급되는 것이 바람직하다.
대안적으로, 이중-주파수 시스템이 실리콘 탄화물 재료를 증착하기 위하여 적용될 수 있다. 혼합된 RF 전력의 이중-주파수 소스는 약 100 KHz와 약 500 KHz 사이 범위의, 예를 들어 약 350KHz의 저 주파수 전력뿐만 아니라, 약 10 MHz와 약 30 MHz 사이 범위의, 예를 들어 약 13.56 MHz의 고 주파수 전력을 제공한다. 혼합된 RF 전력 적용의 일예는 이러한 전력은 약 200 watts 내지 약 1,000 watts 범위의 전력에서 약 10 MHz 내지 약 30 MHz 범위에 주파수를 갖는 제1 RF 전력 및 적어도 약 1 watt와 약 200 watts 사이 범위의 전력과 마찬가지로 약 100 KHz와 약 500 KHz 사이 범위의 주파수를 갖는 제2 RF 전력을 포함할 수 있다. 전체 혼합 주파수 전력에 대한 상기 제2 RF 전력의 비는 약 0.2 내지 1.0 미만인 것이 바람직하다.
추가적으로, 기체 혼합물에서 실리콘 소스 대 도펀트의 비는 약 1:1과 약 100:1 사이 범위여야 한다. 상기 공정 파라미터들은 캘리포니아 산타클라라에 위치한 Applied Materials, Inc.로부터 제공 가능한 증착 챔버에서 200 mm(밀리미터) 기판상에 수행될 때 약 100 Å/min와 약 3,000 Å/min 사이 범위에서 실리콘 탄화물층에 대한 증착율을 제공한다.
본 명세서에 기재된 실리콘 탄화물층들의 증착에 대한 실시예들은 본 발명을 설명하며, 기재된 특정 실시예들은 본 발명의 영역을 제한하기 위하여 사용되어서는 안 될 것이다. 본 발명은 실리콘 탄화물층들을 증착하기 위하여 사용된 다른 공정들 및 재료들 또한 고려한다.
전술한 것은 본 발명의 바람직한 실시예들에 관한 것이나, 다음의 청구항들에 의하여 결정되는 그 기본 원칙을 벗어나지 않는 범위에서 본 발명의 다른 실시예들이 고안될 수 있다.
Claims (20)
- 기판 처리 방법으로서,적어도 실리콘 및 탄소를 포함하는 배리어층을 갖는 기판을 공정 챔버에 배치하는 단계;유기실리콘 화합물 및 산화 기체를 유기실리콘 화합물 대 산화 기체의 제1 비로 상기 공정 챔버로 유입시키는 단계;상기 배리어층 상에 개시층(initiation layer)을 형성하기 위하여, 상기 제 1비에서 상기 산화 기체 및 상기 유기실리콘 화합물의 플라즈마를 생성하는 단계; 및상기 유기실리콘 화합물 및 상기 산화 기체를 상기 제1 비보다 큰 유기실리콘 화합물 대 산화 기체의 제2 비로 상기 공정 챔버로 유입시킴으로써 상기 개시층에 인접하게 유전체층을 증착하는 단계를 포함하며, 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고 3 이하의 유전 상수를 갖는, 기판 처리 방법.
- 삭제
- 제1항에 있어서,상기 유기실리콘 화합물은 트리메틸실란(trimethylsilane), 2,4,6,8-테트라메틸시클로테트라실록산(tetramethylcyclotetrasiloxane), 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane) 및 그들의 조합물들의 그룹으로부터 선택되고,상기 산화 기체는 산소, 오존, 일산화탄소, 이산화탄소, 질소 산화물 및 그들의 조합물들의 그룹으로부터 선택되는, 기판 처리 방법.
- 제1항에 있어서,상기 개시층을 증착하는 단계는 이중-주파수 RF 전력 소스에 의해 플라즈마를 생성하는 단계를 포함하는, 기판 처리 방법.
- 제1항에 있어서,상기 유전체층을 증착하는 단계는 이중-주파수 RF 전력 소스에 의해 플라즈마를 생성하는 단계를 포함하는, 기판 처리 방법.
- 제1항에 있어서,상기 유기실리콘 화합물 대 산화 기체의 상기 제1 비는 1:1의 비를 포함하고, 상기 유기실리콘 화합물 대 산화 기체의 제2 비는 10:1 이상의 비를 포함하는, 기판 처리 방법.
- 제1항에 있어서,상기 유기실리콘 화합물 및 상기 산화 기체를 갖는 비활성 기체를 유입시키는 단계를 더 포함하는, 기판 처리 방법.
- 제1항에 있어서,상기 산화 기체 및 상기 유기실리콘 화합물을 유입시키는 단계 이전에, 상기 배리어층을 비활성 기체, 산화 기체 또는 둘 모두의 플라즈마에 노출시키는 단계를 더 포함하는, 기판 처리 방법.
- 기판 처리 방법으로서,실리콘, 질소, 및 탄소를 포함하는 배리어층을 갖는 기판을 공정 챔버에 배치하는 단계;상기 공정 챔버로 비활성 기체를 유입시키는 단계;상기 배리어층의 표면을 변조(modify)시키기 위하여 단일-주파수 RF 전력 소스로부터 제1 플라즈마를 생성하는 단계;유기실리콘 화합물 및 산화 기체를 1:1의 비로 상기 공정 챔버로 유입시키는 단계;상기 배리어층 상에 개시층을 형성하기 위하여 이중-주파수 RF 전력 소스로부터 제2 플라즈마를 생성하는 단계; 및상기 유기실리콘 화합물 및 상기 산화 기체를 10:1 이상의 비로 상기 공정 챔버로 유입시킴으로써, 상기 개시층에 인접하게 유전체층을 증착하는 단계를 포함하며, 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고 3 이하의 유전 상수를 갖는, 기판 처리 방법.
- 삭제
- 제9항에 있어서,상기 유기실리콘 화합물은 트리메틸실란, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산 및 그들의 조합물들의 그룹으로부터 선택되고, 상기 산화 기체는 산소, 오존, 일산화탄소, 이산화탄소, 질소 산화물 및 그들의 조합물들의 그룹으로부터 선택되는, 기판 처리 방법.
- 삭제
- 기판 처리 방법으로서,적어도 실리콘 및 탄소를 포함하는 배리어층을 갖는 기판을 공정 챔버에 배치하는 단계;상기 공정 챔버로 산화 기체를 유입시키는 단계;상기 산화 기체의 플라즈마를 생성하고 상기 배리어층의 표면을 처리하는 단계;유기실리콘 화합물을 제1 유량으로 유입시키는 단계;상기 산화 기체 및 상기 유기실리콘 화합물로부터 상기 배리어층 상에 개시층을 증착하는 단계;상기 제1 유량보다 큰 제2 유량으로 상기 유기실리콘 화합물을 유입시키는 단계; 및상기 산화 기체 및 상기 유기실리콘 화합물로부터 상기 개시층에 인접하게 유전체층을 증착하는 단계를 포함하며, 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고 3 이하의 유전 상수를 갖는, 기판 처리 방법.
- 삭제
- 제13항에 있어서,상기 유기실리콘 화합물은 트리메틸실란, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산 및 그들의 조합물들의 그룹으로부터 선택되고, 상기 산화 기체는 산소, 오존, 일산화탄소, 이산화탄소, 질소 산화물 및 그들의 조합물들의 그룹으로부터 선택되는, 기판 처리 방법.
- 제13항에 있어서,상기 산화 기체의 플라즈마를 생성하는 단계는 단일-주파수 RF 전력 소스에 의해 플라즈마를 생성하는 단계를 포함하고,상기 개시층을 증착하는 단계는 이중-주파수 RF 전력 소스에 의해 플라즈마를 생성하는 단계를 포함하는, 기판 처리 방법.
- 삭제
- 제13항에 있어서,상기 개시층을 증착하는 단계는 1:1의 비로 존재하는 상기 유기실리콘 화합물 및 산화 기체를 포함하는, 기판 처리 방법.
- 제13항에 있어서,상기 유전체층을 증착하는 단계는 10:1 이상의 비로 존재하는 상기 유기실리콘 화합물 및 산화 기체를 포함하는, 기판 처리 방법.
- 기판 처리 방법으로서,적어도 실리콘 및 탄소를 포함하는 배리어층을 갖는 기판을 공정 챔버에 배치하는 단계;상기 공정 챔버로 산화 기체를 유입시키는 단계;상기 산화 기체의 플라즈마를 생성하는 단계;상기 산화 기체의 플라즈마에 상기 배리어층을 노출시킴으로써 상기 배리어층 상에 개시층을 형성하는 단계;상기 개시층을 형성하는 단계 이후에, 상기 공정 챔버로 유기실리콘 화합물을 유입시키는 단계;상기 유기실리콘 화합물 및 상기 산화 기체를 반응시키는 단계; 및상기 개시층에 인접하게 유전체층을 증착하는 단계를 포함하며, 상기 유전체층은 실리콘, 산소 및 탄소를 포함하고 3 이하의 유전 상수를 갖는, 기판 처리 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/801,190 US7030041B2 (en) | 2004-03-15 | 2004-03-15 | Adhesion improvement for low k dielectrics |
US10/801,190 | 2004-03-15 | ||
PCT/US2005/008445 WO2005091348A1 (en) | 2004-03-15 | 2005-03-15 | Plasma treatment to improve adhesion of low k dielectrics |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070004847A KR20070004847A (ko) | 2007-01-09 |
KR101046467B1 true KR101046467B1 (ko) | 2011-07-04 |
Family
ID=34920831
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020067021419A KR101046467B1 (ko) | 2004-03-15 | 2005-03-15 | 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법 |
Country Status (5)
Country | Link |
---|---|
US (2) | US7030041B2 (ko) |
KR (1) | KR101046467B1 (ko) |
CN (1) | CN100483645C (ko) |
TW (1) | TWI285927B (ko) |
WO (1) | WO2005091348A1 (ko) |
Families Citing this family (62)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6821571B2 (en) * | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US7485570B2 (en) * | 2002-10-30 | 2009-02-03 | Fujitsu Limited | Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device |
US7420275B1 (en) | 2003-09-24 | 2008-09-02 | Novellus Systems, Inc. | Boron-doped SIC copper diffusion barrier films |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7115508B2 (en) * | 2004-04-02 | 2006-10-03 | Applied-Materials, Inc. | Oxide-like seasoning for dielectric low k films |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US7282438B1 (en) | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
US7166544B2 (en) * | 2004-09-01 | 2007-01-23 | Applied Materials, Inc. | Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US7189658B2 (en) * | 2005-05-04 | 2007-03-13 | Applied Materials, Inc. | Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile |
CN100459064C (zh) * | 2005-12-12 | 2009-02-04 | 中芯国际集成电路制造(上海)有限公司 | 改进低介电常数层的粘附强度的方法 |
CN100539071C (zh) | 2006-02-16 | 2009-09-09 | 中芯国际集成电路制造(上海)有限公司 | 用于形成低介电常数氟掺杂层的方法 |
US20070287301A1 (en) * | 2006-03-31 | 2007-12-13 | Huiwen Xu | Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7851232B2 (en) * | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
US8173537B1 (en) | 2007-03-29 | 2012-05-08 | Novellus Systems, Inc. | Methods for reducing UV and dielectric diffusion barrier interaction |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US7682989B2 (en) * | 2007-05-18 | 2010-03-23 | Texas Instruments Incorporated | Formation of a silicon oxide interface layer during silicon carbide etch stop deposition to promote better dielectric stack adhesion |
KR100881396B1 (ko) * | 2007-06-20 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US8124522B1 (en) | 2008-04-11 | 2012-02-28 | Novellus Systems, Inc. | Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties |
US20100015816A1 (en) * | 2008-07-15 | 2010-01-21 | Kelvin Chan | Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
DE102008054074B4 (de) * | 2008-10-31 | 2013-02-07 | Advanced Micro Devices, Inc. | Verfahren zum Verringern von Ungleichmäßigkeiten während des chemisch-mechanischen Polierens von Mikrostrukturbauelementen durch Verwenden von CMP-Belägen in einem glasierten Zustand |
DE102009012296A1 (de) | 2009-03-11 | 2010-09-16 | At&S Technologie & Systemtechnik Ag | Verfahren zur Überwachung der Temperatur-Zeit-Belastung mindestens eines Bauteils auf einer Leiterplatte, ein entsprechender Temperatur-Zeit-Indikator und dessen Anwendung |
US8206794B2 (en) * | 2009-05-04 | 2012-06-26 | The Boeing Company | System and method for applying abrasion-resistant coatings |
US8247332B2 (en) * | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
CN102468228B (zh) * | 2010-11-19 | 2014-11-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US8779600B2 (en) * | 2012-01-05 | 2014-07-15 | International Business Machines Corporation | Interlevel dielectric stack for interconnect structures |
US8853831B2 (en) * | 2012-03-29 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method for forming the same |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
CN105336674B (zh) * | 2014-07-28 | 2018-03-30 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及其形成方法 |
CN106158729B (zh) * | 2015-04-08 | 2019-12-03 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US11679412B2 (en) | 2016-06-13 | 2023-06-20 | Gvd Corporation | Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles |
WO2017218561A1 (en) | 2016-06-13 | 2017-12-21 | Gvd Coproraton | Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US10157844B2 (en) * | 2016-11-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device having oxide layer among interlayer dielectric layer |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
JP6564802B2 (ja) * | 2017-03-22 | 2019-08-21 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
US10566411B2 (en) * | 2017-12-07 | 2020-02-18 | Globalfoundries Inc. | On-chip resistors with direct wiring connections |
KR20230085953A (ko) | 2018-10-19 | 2023-06-14 | 램 리써치 코포레이션 | 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 |
US11967504B2 (en) * | 2021-06-17 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in transistor devices and methods of forming same |
US20230060269A1 (en) * | 2021-08-30 | 2023-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming Interconnect Structures in Semiconductor Devices |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20010007315A (ko) * | 1999-06-09 | 2001-01-26 | 조셉 제이. 스위니 | 통합된 낮은 수치의 절연 상수를 갖는 절연체 및 에칭스톱 |
KR20020027269A (ko) * | 2000-10-05 | 2002-04-13 | 조셉 제이. 스위니 | 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 |
KR20030058963A (ko) * | 2002-01-02 | 2003-07-07 | 에이저 시스템즈 인크 | 질소함유부와 산소함유부를 포함하는 스플릿 배리어층 |
Family Cites Families (139)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1147014A (en) * | 1967-01-27 | 1969-04-02 | Westinghouse Electric Corp | Improvements in diffusion masking |
US4262631A (en) * | 1979-10-01 | 1981-04-21 | Kubacki Ronald M | Thin film deposition apparatus using an RF glow discharge |
JPS59128281A (ja) | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
JPH07111957B2 (ja) * | 1984-03-28 | 1995-11-29 | 圭弘 浜川 | 半導体の製法 |
US4649071A (en) * | 1984-04-28 | 1987-03-10 | Kabushiki Kaisha Toyota Chuo Kenkyusho | Composite material and process for producing the same |
US4759947A (en) | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
US5000178A (en) * | 1986-05-23 | 1991-03-19 | Lti Biomedical, Inc. | Shielded electromagnetic transducer |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5087959A (en) * | 1987-03-02 | 1992-02-11 | Microwave Technology, Inc. | Protective coating useful as a passivation layer for semiconductor devices |
US4895734A (en) * | 1987-03-31 | 1990-01-23 | Hitachi Chemical Company, Ltd. | Process for forming insulating film used in thin film electroluminescent device |
US4894352A (en) * | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US4981724A (en) * | 1988-10-27 | 1991-01-01 | Hochberg Arthur K | Deposition of silicon oxide films using alkylsilane liquid sources |
US5011706A (en) * | 1989-04-12 | 1991-04-30 | Dow Corning Corporation | Method of forming coatings containing amorphous silicon carbide |
JPH03105974A (ja) * | 1989-09-19 | 1991-05-02 | Kobe Steel Ltd | 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法 |
EP0449117A3 (en) * | 1990-03-23 | 1992-05-06 | Matsushita Electric Industrial Co., Ltd. | Organic polymer and preparation and use thereof |
US5242530A (en) | 1991-08-05 | 1993-09-07 | International Business Machines Corporation | Pulsed gas plasma-enhanced chemical vapor deposition of silicon |
US5238866A (en) | 1991-09-11 | 1993-08-24 | GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate | Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating |
US5224441A (en) | 1991-09-27 | 1993-07-06 | The Boc Group, Inc. | Apparatus for rapid plasma treatments and method |
US5472829A (en) * | 1991-12-30 | 1995-12-05 | Sony Corporation | Method of forming a resist pattern by using an anti-reflective layer |
US5472827A (en) * | 1991-12-30 | 1995-12-05 | Sony Corporation | Method of forming a resist pattern using an anti-reflective layer |
US5480300A (en) * | 1992-05-15 | 1996-01-02 | Shin-Etsu Quartz Products Co. Ltd. | Vertical heat-treating apparatus and heat insulator |
US5739579A (en) | 1992-06-29 | 1998-04-14 | Intel Corporation | Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5298597A (en) * | 1992-09-18 | 1994-03-29 | Industrial Technology Research Institute | Aqueous preparation of polyamide with catalyst mixture |
US5298587A (en) * | 1992-12-21 | 1994-03-29 | The Dow Chemical Company | Protective film for articles and method |
US5360491A (en) | 1993-04-07 | 1994-11-01 | The United States Of America As Represented By The United States Department Of Energy | β-silicon carbide protective coating and method for fabricating same |
US5465680A (en) | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
US5468978A (en) | 1993-07-07 | 1995-11-21 | Dowben; Peter A. | Forming B1-x Cx semiconductor devices by chemical vapor deposition |
US5433786A (en) * | 1993-08-27 | 1995-07-18 | The Dow Chemical Company | Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein |
JP2899600B2 (ja) | 1994-01-25 | 1999-06-02 | キヤノン販売 株式会社 | 成膜方法 |
US5565084A (en) | 1994-10-11 | 1996-10-15 | Qnix Computer Co., Ltd. | Electropolishing methods for etching substrate in self alignment |
US5607773A (en) * | 1994-12-20 | 1997-03-04 | Texas Instruments Incorporated | Method of forming a multilevel dielectric |
EP0720223B1 (en) * | 1994-12-30 | 2003-03-26 | STMicroelectronics S.r.l. | Process for the production of a semiconductor device having better interface adhesion between dielectric layers |
US5818071A (en) | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
US5710067A (en) * | 1995-06-07 | 1998-01-20 | Advanced Micro Devices, Inc. | Silicon oxime film |
US6652922B1 (en) * | 1995-06-15 | 2003-11-25 | Alliedsignal Inc. | Electron-beam processed films for microelectronics structures |
US5623160A (en) | 1995-09-14 | 1997-04-22 | Liberkowski; Janusz B. | Signal-routing or interconnect substrate, structure and apparatus |
US5789776A (en) | 1995-09-22 | 1998-08-04 | Nvx Corporation | Single poly memory cell and array |
US5638251A (en) * | 1995-10-03 | 1997-06-10 | Advanced Refractory Technologies, Inc. | Capacitive thin films using diamond-like nanocomposite materials |
US5741626A (en) | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US5780163A (en) | 1996-06-05 | 1998-07-14 | Dow Corning Corporation | Multilayer coating for microelectronic devices |
US5869396A (en) * | 1996-07-15 | 1999-02-09 | Chartered Semiconductor Manufacturing Ltd. | Method for forming a polycide gate electrode |
US5989998A (en) | 1996-08-29 | 1999-11-23 | Matsushita Electric Industrial Co., Ltd. | Method of forming interlayer insulating film |
US5711987A (en) * | 1996-10-04 | 1998-01-27 | Dow Corning Corporation | Electronic coatings |
US5776235A (en) | 1996-10-04 | 1998-07-07 | Dow Corning Corporation | Thick opaque ceramic coatings |
US5730792A (en) * | 1996-10-04 | 1998-03-24 | Dow Corning Corporation | Opaque ceramic coatings |
US5855681A (en) * | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5789316A (en) | 1997-03-10 | 1998-08-04 | Vanguard International Semiconductor Corporation | Self-aligned method for forming a narrow via |
US6080526A (en) * | 1997-03-24 | 2000-06-27 | Alliedsignal Inc. | Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation |
US5817579A (en) | 1997-04-09 | 1998-10-06 | Vanguard International Semiconductor Corporation | Two step plasma etch method for forming self aligned contact |
US5976979A (en) | 1997-06-10 | 1999-11-02 | Industrial Technology Research Institute | Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer |
JPH1116904A (ja) | 1997-06-26 | 1999-01-22 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
WO1999004911A1 (en) * | 1997-07-28 | 1999-02-04 | Massachusetts Institute Of Technology | Pyrolytic chemical vapor deposition of silicone films |
US5926740A (en) | 1997-10-27 | 1999-07-20 | Micron Technology, Inc. | Graded anti-reflective coating for IC lithography |
KR19990030660A (ko) * | 1997-10-02 | 1999-05-06 | 윤종용 | 전자빔을 이용한 반도체장치의 층간 절연막 형성방법 |
US6051321A (en) * | 1997-10-24 | 2000-04-18 | Quester Technology, Inc. | Low dielectric constant materials and method |
US6291334B1 (en) | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
US6555476B1 (en) * | 1997-12-23 | 2003-04-29 | Texas Instruments Incorporated | Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6140226A (en) | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6514880B2 (en) * | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6432846B1 (en) | 1999-02-02 | 2002-08-13 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
JP3305251B2 (ja) * | 1998-02-26 | 2002-07-22 | 松下電器産業株式会社 | 配線構造体の形成方法 |
US6068884A (en) * | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6060132A (en) * | 1998-06-15 | 2000-05-09 | Siemens Aktiengesellschaft | High density plasma CVD process for making dielectric anti-reflective coatings |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6071809A (en) * | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures |
JP3353743B2 (ja) * | 1999-05-18 | 2002-12-03 | 日本電気株式会社 | 半導体装置とその製造方法 |
US6312793B1 (en) | 1999-05-26 | 2001-11-06 | International Business Machines Corporation | Multiphase low dielectric constant material |
US6204201B1 (en) * | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6821571B2 (en) * | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6251770B1 (en) | 1999-06-30 | 2001-06-26 | Lam Research Corp. | Dual-damascene dielectric structures and methods for making the same |
US6436824B1 (en) | 1999-07-02 | 2002-08-20 | Chartered Semiconductor Manufacturing Ltd. | Low dielectric constant materials for copper damascene |
EP1077479A1 (en) | 1999-08-17 | 2001-02-21 | Applied Materials, Inc. | Post-deposition treatment to enchance properties of Si-O-C low K film |
US6593653B2 (en) | 1999-09-30 | 2003-07-15 | Novellus Systems, Inc. | Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications |
US6399489B1 (en) * | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD |
US6541369B2 (en) * | 1999-12-07 | 2003-04-01 | Applied Materials, Inc. | Method and apparatus for reducing fixed charges in a semiconductor device |
US6350670B1 (en) * | 1999-12-17 | 2002-02-26 | Intel Corporation | Method for making a semiconductor device having a carbon doped oxide insulating layer |
US6331494B1 (en) | 1999-12-30 | 2001-12-18 | Novellus Systems, Inc. | Deposition of low dielectric constant thin film without use of an oxidizer |
US6541367B1 (en) * | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
JP3419745B2 (ja) | 2000-02-28 | 2003-06-23 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
US6362091B1 (en) * | 2000-03-14 | 2002-03-26 | Intel Corporation | Method for making a semiconductor device having a low-k dielectric layer |
US6409238B1 (en) | 2000-04-26 | 2002-06-25 | Illinois Tool Works Inc. | Anti-rattle structure for door handle |
US6410462B1 (en) | 2000-05-12 | 2002-06-25 | Sharp Laboratories Of America, Inc. | Method of making low-K carbon doped silicon oxide |
JP3532830B2 (ja) * | 2000-05-24 | 2004-05-31 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
EP1184508A1 (de) * | 2000-08-30 | 2002-03-06 | Star Coating AG | Transfermaterial |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
US6521302B1 (en) * | 2000-09-26 | 2003-02-18 | Applied Materials, Inc. | Method of reducing plasma-induced damage |
US6441491B1 (en) * | 2000-10-25 | 2002-08-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same |
US6949450B2 (en) * | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6340628B1 (en) * | 2000-12-12 | 2002-01-22 | Novellus Systems, Inc. | Method to deposit SiOCH films with dielectric constant below 3.0 |
US6583047B2 (en) * | 2000-12-26 | 2003-06-24 | Honeywell International, Inc. | Method for eliminating reaction between photoresist and OSG |
US6514850B2 (en) * | 2001-01-31 | 2003-02-04 | Applied Materials, Inc. | Interface with dielectric layer and method of making |
US6537733B2 (en) * | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US20020142104A1 (en) * | 2001-03-28 | 2002-10-03 | Applied Materials, Inc. | Plasma treatment of organosilicate layers |
TW559860B (en) * | 2001-05-10 | 2003-11-01 | Toshiba Corp | Method for manufacturing semiconductor device |
US6532150B2 (en) * | 2001-05-31 | 2003-03-11 | American Megatrends, Inc. | Disk drive carrier apparatus and associated method |
US6486082B1 (en) | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
WO2003005438A2 (en) * | 2001-07-02 | 2003-01-16 | Dow Corning Corporation | Improved metal barrier behavior by sic:h deposition on porous materials |
US6570256B2 (en) * | 2001-07-20 | 2003-05-27 | International Business Machines Corporation | Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates |
US7816188B2 (en) * | 2001-07-30 | 2010-10-19 | Sandisk 3D Llc | Process for fabricating a dielectric film using plasma oxidation |
AU2002323040A1 (en) * | 2001-08-06 | 2003-02-24 | Advanced Technology Material, Inc. | Low-k dielectric thin films and chemical vapor deposition method of making same |
US6521300B1 (en) * | 2001-08-16 | 2003-02-18 | United Microelectronics Corp. | Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer |
US6887780B2 (en) * | 2001-08-31 | 2005-05-03 | Intel Corporation | Concentration graded carbon doped oxide |
US6605549B2 (en) * | 2001-09-29 | 2003-08-12 | Intel Corporation | Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics |
US6759327B2 (en) * | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
US6656837B2 (en) * | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
GB0124681D0 (en) * | 2001-10-15 | 2001-12-05 | Hewlett Packard Co | Method and apparatus for encrypting data |
US6528423B1 (en) * | 2001-10-26 | 2003-03-04 | Lsi Logic Corporation | Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material |
JP4152619B2 (ja) * | 2001-11-14 | 2008-09-17 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6649531B2 (en) * | 2001-11-26 | 2003-11-18 | International Business Machines Corporation | Process for forming a damascene structure |
US6890850B2 (en) * | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6844612B1 (en) * | 2002-03-12 | 2005-01-18 | Novellus Systems, Inc. | Low dielectric constant fluorine-doped silica glass film for use in integrated circuit chips and method of forming the same |
US6734533B2 (en) * | 2002-05-30 | 2004-05-11 | Intel Corporation | Electron-beam treated CDO films |
JP3974023B2 (ja) * | 2002-06-27 | 2007-09-12 | 富士通株式会社 | 半導体装置の製造方法 |
JP4015510B2 (ja) * | 2002-09-09 | 2007-11-28 | 日本エー・エス・エム株式会社 | 半導体集積回路の多層配線用層間絶縁膜及びその製造方法 |
EP1398831A3 (en) * | 2002-09-13 | 2008-02-20 | Shipley Co. L.L.C. | Air gaps formation |
JP4066332B2 (ja) * | 2002-10-10 | 2008-03-26 | 日本エー・エス・エム株式会社 | シリコンカーバイド膜の製造方法 |
US20040084774A1 (en) * | 2002-11-02 | 2004-05-06 | Bo Li | Gas layer formation materials |
US7404990B2 (en) * | 2002-11-14 | 2008-07-29 | Air Products And Chemicals, Inc. | Non-thermal process for forming porous low dielectric constant films |
US6913992B2 (en) * | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US6737365B1 (en) * | 2003-03-24 | 2004-05-18 | Intel Corporation | Forming a porous dielectric layer |
US7138332B2 (en) * | 2003-07-09 | 2006-11-21 | Asm Japan K.K. | Method of forming silicon carbide films |
KR100541185B1 (ko) * | 2003-07-28 | 2006-01-11 | 삼성전자주식회사 | 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법 |
US6849561B1 (en) * | 2003-08-18 | 2005-02-01 | Asm Japan K.K. | Method of forming low-k films |
US6972253B2 (en) * | 2003-09-09 | 2005-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming dielectric barrier layer in damascene structure |
US7067437B2 (en) * | 2003-09-12 | 2006-06-27 | International Business Machines Corporation | Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same |
US7622399B2 (en) * | 2003-09-23 | 2009-11-24 | Silecs Oy | Method of forming low-k dielectrics using a rapid curing process |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
-
2004
- 2004-03-15 US US10/801,190 patent/US7030041B2/en not_active Expired - Lifetime
-
2005
- 2005-03-15 CN CNB2005800080664A patent/CN100483645C/zh not_active Expired - Fee Related
- 2005-03-15 TW TW094107933A patent/TWI285927B/zh active
- 2005-03-15 KR KR1020067021419A patent/KR101046467B1/ko active IP Right Grant
- 2005-03-15 WO PCT/US2005/008445 patent/WO2005091348A1/en active Application Filing
-
2006
- 2006-04-18 US US11/405,852 patent/US7459404B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20010007315A (ko) * | 1999-06-09 | 2001-01-26 | 조셉 제이. 스위니 | 통합된 낮은 수치의 절연 상수를 갖는 절연체 및 에칭스톱 |
KR20020027269A (ko) * | 2000-10-05 | 2002-04-13 | 조셉 제이. 스위니 | 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법 |
KR20030058963A (ko) * | 2002-01-02 | 2003-07-07 | 에이저 시스템즈 인크 | 질소함유부와 산소함유부를 포함하는 스플릿 배리어층 |
Also Published As
Publication number | Publication date |
---|---|
US20050202685A1 (en) | 2005-09-15 |
US20060189162A1 (en) | 2006-08-24 |
CN1930669A (zh) | 2007-03-14 |
KR20070004847A (ko) | 2007-01-09 |
TWI285927B (en) | 2007-08-21 |
US7459404B2 (en) | 2008-12-02 |
US7030041B2 (en) | 2006-04-18 |
TW200605221A (en) | 2006-02-01 |
CN100483645C (zh) | 2009-04-29 |
WO2005091348A1 (en) | 2005-09-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101046467B1 (ko) | 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법 | |
US8569166B2 (en) | Methods of modifying interlayer adhesion | |
US7229911B2 (en) | Adhesion improvement for low k dielectrics to conductive materials | |
KR100960755B1 (ko) | 다마신 분야에서 유전체 재료를 증착하는 방법 | |
US7091137B2 (en) | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications | |
US7910897B2 (en) | Process and apparatus for post deposition treatment of low dielectric materials | |
US20130230986A1 (en) | Adhesion improvement for low k dielectrics to conductive materials | |
US20050277302A1 (en) | Advanced low dielectric constant barrier layers | |
US7288205B2 (en) | Hermetic low dielectric constant layer for barrier applications |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
A302 | Request for accelerated examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20140529 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20160330 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20170330 Year of fee payment: 7 |