TW413885B - Methods for forming self-planarized dielectric layer for shallow trench isolation - Google Patents
Methods for forming self-planarized dielectric layer for shallow trench isolation Download PDFInfo
- Publication number
- TW413885B TW413885B TW088108479A TW88108479A TW413885B TW 413885 B TW413885 B TW 413885B TW 088108479 A TW088108479 A TW 088108479A TW 88108479 A TW88108479 A TW 88108479A TW 413885 B TW413885 B TW 413885B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- trench
- patent application
- scope
- item
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 138
- 238000002955 isolation Methods 0.000 title description 2
- 239000000758 substrate Substances 0.000 claims abstract description 142
- 238000011049 filling Methods 0.000 claims abstract description 71
- 238000000151 deposition Methods 0.000 claims abstract description 49
- 230000008021 deposition Effects 0.000 claims abstract description 38
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 33
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 33
- 239000010703 silicon Substances 0.000 claims abstract description 33
- 239000000463 material Substances 0.000 claims abstract description 31
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims abstract description 20
- 238000004140 cleaning Methods 0.000 claims abstract description 18
- 239000003989 dielectric material Substances 0.000 claims abstract description 14
- 239000006117 anti-reflective coating Substances 0.000 claims abstract description 8
- 239000000126 substance Substances 0.000 claims abstract description 7
- 238000012545 processing Methods 0.000 claims description 146
- 239000007789 gas Substances 0.000 claims description 89
- 230000008569 process Effects 0.000 claims description 62
- 239000002243 precursor Substances 0.000 claims description 25
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 23
- 229920002120 photoresistant polymer Polymers 0.000 claims description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 16
- 229910052760 oxygen Inorganic materials 0.000 claims description 16
- 239000001301 oxygen Substances 0.000 claims description 16
- 238000010438 heat treatment Methods 0.000 claims description 15
- 230000002079 cooperative effect Effects 0.000 claims description 13
- 230000004888 barrier function Effects 0.000 claims description 12
- 239000011248 coating agent Substances 0.000 claims description 12
- 238000000576 coating method Methods 0.000 claims description 12
- 230000001276 controlling effect Effects 0.000 claims description 12
- 238000006243 chemical reaction Methods 0.000 claims description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 7
- 238000005530 etching Methods 0.000 claims description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 3
- 238000005498 polishing Methods 0.000 claims description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 2
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 2
- 239000008186 active pharmaceutical agent Substances 0.000 claims 2
- 230000000149 penetrating effect Effects 0.000 claims 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 abstract description 19
- 150000004767 nitrides Chemical class 0.000 abstract description 16
- 230000035945 sensitivity Effects 0.000 abstract description 8
- 230000001590 oxidative effect Effects 0.000 abstract description 6
- 239000010410 layer Substances 0.000 description 135
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- 238000000137 annealing Methods 0.000 description 12
- 238000007726 management method Methods 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- 230000000694 effects Effects 0.000 description 9
- 238000011282 treatment Methods 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 7
- 230000003647 oxidation Effects 0.000 description 7
- 238000007254 oxidation reaction Methods 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 6
- 238000012544 monitoring process Methods 0.000 description 6
- 238000004590 computer program Methods 0.000 description 5
- 230000007547 defect Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 102100022717 Atypical chemokine receptor 1 Human genes 0.000 description 4
- 101000678879 Homo sapiens Atypical chemokine receptor 1 Proteins 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 238000003384 imaging method Methods 0.000 description 4
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 4
- IRPGOXJVTQTAAN-UHFFFAOYSA-N 2,2,3,3,3-pentafluoropropanal Chemical compound FC(F)(F)C(F)(F)C=O IRPGOXJVTQTAAN-UHFFFAOYSA-N 0.000 description 3
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminum fluoride Inorganic materials F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000007796 conventional method Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 229910052778 Plutonium Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 2
- FFBHFFJDDLITSX-UHFFFAOYSA-N benzyl N-[2-hydroxy-4-(3-oxomorpholin-4-yl)phenyl]carbamate Chemical compound OC1=C(NC(=O)OCC2=CC=CC=C2)C=CC(=C1)N1CCOCC1=O FFBHFFJDDLITSX-UHFFFAOYSA-N 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000000875 corresponding effect Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000008030 elimination Effects 0.000 description 2
- 238000003379 elimination reaction Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- OYEHPCDNVJXUIW-UHFFFAOYSA-N plutonium atom Chemical compound [Pu] OYEHPCDNVJXUIW-UHFFFAOYSA-N 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000008439 repair process Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 239000002689 soil Substances 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 238000005728 strengthening Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- 101100165214 Bacillus subtilis (strain 168) bceA gene Proteins 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 1
- 101001132658 Homo sapiens Retinoic acid receptor gamma Proteins 0.000 description 1
- 241000254158 Lampyridae Species 0.000 description 1
- 240000007594 Oryza sativa Species 0.000 description 1
- 235000007164 Oryza sativa Nutrition 0.000 description 1
- 102100033912 Retinoic acid receptor gamma Human genes 0.000 description 1
- 241000271570 Rhea americana Species 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 239000002178 crystalline material Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 239000000975 dye Substances 0.000 description 1
- 235000013399 edible fruits Nutrition 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 150000002334 glycols Chemical class 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- -1 nitride nitride Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 235000009566 rice Nutrition 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 210000002784 stomach Anatomy 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- ZCUFMDLYAMJYST-UHFFFAOYSA-N thorium dioxide Chemical compound O=[Th]=O ZCUFMDLYAMJYST-UHFFFAOYSA-N 0.000 description 1
- 229910003452 thorium oxide Inorganic materials 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76229—Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Formation Of Insulating Films (AREA)
- Element Separation (AREA)
Description
B7 413885 五、發明說明() 發明領域: 本發明係關於積體電路的製造。更詳細地,本發明係 關於一種在窄溝渠阻隔中提供自行平坦化之高品質的介 電質層的方法。 發明背景= 半導體裝置的幾何形狀在大小上持續地減小,其在一 晶圓的單位面積上提供更多的裝置。這些裝置在它們被建 構於該晶圓中時典型地一開始是彼此阻隔的,且它們接下 來被互相連接用以產生所需要之特定的電路結構。目前, 某些裝置是以特徵(feature)尺吋小至0.1 8微米來製造。例 如,裝置之間1如導線之間或在一有圖案的晶圓上之溝渠 之間,的空間被間隔〇. 1 δ微米,留下一相配的尺吋之凹部 或間隙。一非導電的介電質層,如二氧化矽(Si02)層,典 型地被沉積於該等特徵之上用以填滿前述的間隙並將該 等特徵與在該積體電路的相鄰層中的其它特徵或在同一 層中之其它特徵阻隔開來。 介電質層被使用於許多的應用中,包括用來阻隔裝置 之窄溝渠阻隔介電質(STI)及被形成於金屬接線層之間的 中介層介電質(ILT)或在金屬化處理之前。在某些例子中, STI被用來阻隔具有特徵尺吋小至0.5微米以下的裝置。 介電質層的平坦化變得愈來愈重要因為半導體裝置的封 裝密度持續的成長。 平坦化的議題使用形成一窄溝渠阻隔(一般稱為S 丁1 第5肓 本紙張尺度適用中國國家標準(CNS)A.l規格(210 x 297公釐) (ΐτ先閱讀背面之-^意事項再填寫本頁)
' i I i ί I 1 1 *---- - I - I 經濟部智慧財產局員工消費合作社印製 413885_^__ 五、發明說明() 整合)之典型的處理,如第1 a-1 g圖所示,來作為例子加以 說明3在第la圖中,一政基材丨10具有一替氧化層112 及一氮化物層1 1 4,如氮化矽,沉積於其上。該氮化物層 1 14典型地是藉由低壓化學氣相沉積(LPCVD)而被沉積 的,且作為化學機械研磨(CMP)的蝕刻停止層。參照第1 b 圖,一底部抗反射塗層(BARC)116被形成於該氮化物層 1 1 4之上用以吸收在微影期間從該基材1 1 0被反射的光 線。典型地,一有機的旋施玻璃,該BARC1 16典型 地是為了具有波長低於248nm的光線而被需要的,該光線 包括深紫外線(DUV)及遠紫外線(FUV)在内。一光阻(1 18 被形成於該BARC 1 1 6之上並使用一界定溝渠的位置之光 罩(未示出)來曝光。被曝光的光阻然後被剝除用以留下開 放區域以形成溝渠。典型地,一電漿蝕刻被實施用以蝕刻 該等開放區域穿透該氮化物層114,墊氧化層112,及該 矽基材1 1 0用以形成溝渠12 0,如第1 c圊所示。在光阻 1 1 8及BARC 1 16被去除之後,一熱氧化物122典型地被生 長於該氮化物/墊子氧化物上及該等溝渠120的表面上(溝 渠底部1 24及溝渠壁1 26)用以修補電漿對於旳基材1 1 〇的 損害,如第1 d圖所示。 一介電質層1 28然後被沉積於該熱氧化物1 22上用以 填充該等溝渠120並覆蓋該氮化物層η 4。此介電質層128 通常被稱為一溝渠氧化物填充層。典型的介電質層是由氧 化物質,如二氧化矽或矽酸鹽玻璃,所形成。如第圖 中所示’被沉積的介電質層128的表面輪廓是級階的且通 第6貫 本纸張尺度適用中國國家標準(CNS)Ail規格⑵G κ 297公爱) ---- -先閱讀背面之;i意事項再填寫本頁〕 裝.-------訂---------線 經濟部智慧財產局員工消費合作社印製 413885 Λ7 B7 ----- 五'發明說明() 常與該有溝渠的基材11 〇的形狀相似3該表面輪廓在具有 緊靠的空間之窄溝渠的稠密區域中比在具有寬溝渠的開 闊區域中更為均勾。如在第i e圖中所示,一級陏高度i W 被形成於介於該裯密區域1 3 4與該開闊區域丨3 2之間的介 電質輪廓中《因為級階高度i 30的關係,所以在介電質層 沉積步驟之後立即施加C MP來將該介電質層丨2 8平坦化 是不可行的,因為在該開闊區域丨32中會由於CMP而導 致一圓盤效應(dishing effect),如第1 h圖所示。取而代之 的 顛倒的罩暮及敍刻處理被用來触刻該額外的氧化物 用以獲得一更加平坦化的輪廓’如第1 f圖所示。此處埋 典型地涉及了光阻沉積,顛倒的遮罩,乾燥,被蝕刻的光 阻之去除,回蝕刻,及去除剩下的光阻等步驟。一 C iVi P 處理然後被施加至第丨f圖中之結構用以全面地將該被填 充之基材的表面平坦化。該級喈高度效應所需要之顛倒的 罩幕及蚀刻處理對於平坦化處理增加了很大的成本及複 雜性。 經濟部智慧財產局員工消費合作社印製 由以上的討論可知,需要包括額外的微影成像步驟 (其需要昂貴的設備)在内之多個步驟來提供STI。然而, 降低步驟數目(及相關的設備,特別是需要昂貴的鏡片及 光源之微影成像設備)及獲得改進的結果用以提供一更為 經濟及有效率的製造方法是所想要的。例如,獲得改進的 結果的一個方法是在一降低的成本下,提供一自行乎坦 化’高品質溝渠氧化物填充層。 對於沉積介電質層而言’像是用來填充第le圖所示 _____ 第7頁 1 本紙張尺度適用中13國家標準(CNS)A4規格(21〇 X 297公&) 1 一 ^"""" 413885 -Τ'"-- 五、發明說明(
絰濟部智慧財產局員工消費合作社印*1^ 又溝渠氧化物填充層之間隙填充介電質1 28,已有數種處 里疋已知的。其中一種處理使用0以臭氧)及TE〇S (四氧乙 基矽)來沉積一介電質層,如矽酸鹽破璃。此等被沉積的 膜層被通稱為"OVTEOS膜層,,。〇3/ΤΕ(^處理具有一表面 敏感性其在OWTEOS比例升高時隨著提高。因為該表面敏 感性的關係,該介電質沉積率隨著底層的材料特性而改 變。 在該03 / T E 0 S膜層沉積之前先沉積一無表面敏感性 之|且障層來將1¾表面敏感性最小化是習知的方法。例如’ —種習知的處理涉及了 一電漿強化的TE〇s(pETE〇s^ 積接著是一表面處理,然後是一薄蓋層。此處理 很不理想地需要額外的處理步驟。另—種習知的方法是藉 由降低該〇3/TE〇s比例來降低該表面敏感性。然而,降低 Cb/TEOS則會造成一更多孔的介電質層之不良的結果^這 在該介電質層被用於阻絕的作用時特別是一項問題。解決 此一問題的一個方法是將處理溫度提高至超過50(rc,但 提高處理溫度通常是所不想要的。或者,在該溝渠氧化物 填充層及夾心PETEOS層之後,一額外的退火處理被用來 讓該溝渠氧化物填充層緻密化。然而’此方法則需要實施 一額外的步驟》 與其將表面敏感度最小化,某些方法則利用mE0S 膜層之沉積率依賴性來實施一有溝渠的矽基材的間隙填 充’其中該溝渠的側壁被覆蓋了熱氧化物間隔物。藉由使 用—大氣壓CVD(APCVD) 03/TE0S沉積及一 5〇/〇的臭氧漠 第3頁 本紙張尺度適用中國國家標準(CNSM4规格(2】0 * 297公釐) (-先間璜背面之注意事項再填寫本頁) 裝 *\-° -線 Λ7
413885 五、發明說明() 度,有報告指出在溝渠底部上的膜層成長比側壁間隔物上 的膜層成長來得快,因而可排除孔隙的形成進而達到無孔 隙之間隙填充3其它的方法則研究藉由利用該〇"丁_ 及其它相似材料,如Ο”八甲基環四矽氧烷(〇mtc卜的表 面敏感性來形成一經過平坦化的内金屬介電質(imd)的可 能性。研究報告顯示控制不同沉積速率以達成乎坦度的困 難性。例如,在鋁金屬線的邊緣觀察到因為該丁 e〇s 在該铭及銘側壁上之TiN ARC層上之不同的沉積速率所 造成之明顯的落差。相同的這些研茺中的某些報告則對於 使用〇3_OMTC來將si〇2層沉積於一建構於一場碎·破璃 (PSG)上之鋁内連線上有較令人滿意之平坦化的結果3 有鑑於上述,藉由沉積表面敏感性的介電質層來獲得 平坦度之嘗試一直以來並未成功。此外’本案發明人發現 這些前技方法所產生之介電質層都具有所想要的品質。 業界所需要的是更為有效率及經濟之用於窄溝渠阻 隔的一高品質溝渠氧化物填充層之自行平坦化的方法。有 效地利用介電質材料,如o3/teos膜層,之沉積速率依賴 性之改良的方法亦是所需要的。 發明目的及概述: 本發明之特定實施例提供更效率的方法來藉由使用 表面敏感的介電質材料來形成用於窄溝渠阻隔之自行平 坦化的高品質溝渠填充層。本發明藉由提供一種將該介電 材料沉積於一矽溝渠上,然後在該溝渠填充層的沉積之後 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210^ 297公釐) ------------裝--------ti---------練 f! / (-先閱璜背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 [57 [57 經濟部智慧財產局員工消費合作社印製 413885 五、發明說明() 藉由一氧化退火在溝渠表面上長一層熱氧化物的方法來 達成。以此方式,可消除使用前技方法所造成之瑕疵》— 非必要之溝渠清潔步驟可在沉積之前被實施用以進一步 改善溝渠填充層的品質及該裝置的電氣特性。 本發明的一個實施例是有關於在一矽基材上形成一 介電質層的方法,該基材包括一矽溝渠其形成於諸上部之 間且具有一溝渠底部及一溝渠側壁。該基材被置於一基材 處理室中。該方法使用一先驅物,其提供該介電質層在被 不同地搆成於該基材的不同水平上的表面上之沉積速率 依賴性。被不同地構成於該基材的不同水平上的表面包括 該溝渠底部及一在該等上部之上的材料=該方法包括的步 驟有,將先驅物,最好是TEOS,導入該基#處理室中, 及將臭氧流入該基材處理室中用以與該先驅物起反應而 將一介電質層沉積於該基材上。在該臭氧與該先驅物之間 的一臭氧/先驅物比例被加以調整用以調節該介電質層在 被不同地構成的表面上之沉積速率直到該介電質層發展 出一大致平面的電介表面為止。 根據另一實施例’ 一基材處理系統包括一界定一處理 室的外殼。一基材托架被置於該處理室中用以托持一矽基 材,該基材包括一形成於諸上部之間且具有一溝渠底部及 一溝渠側壁的溝渠。該系統進一步包括一氣體輸送系統用 來將處理氣體導入該處理室中及一控制器用來控制該氣 體輸送系統。—記憶體被連結至該具有一電腦可讀取的媒 體之控制器,該電腦可讀取之媒體具有一電腦可讀取的程
第10X 本紙張尺度適用中國國家標準(CNS)M規格(210 X 297公 (-先閱讀背面之注意事項再填寫本頁) 褒--------訂--------線 B7 413885 五、發明說明() 式,該程式包括一組用來控制該氣體輸送系統的指令用以 將一包括了臭氧及一先驅物在内之處理氣體導入該處理 室中用以在該矽基材上形成一介電質層。該先驅物提供該 介電質層在被不同地構成於該基材的不同水平上的表面 上之沉積速率依賴性,該被不同地構成於該基材的不同水 平上的表面包括該溝渠底部及一在該等上部之上的材 料,及在該臭氧與該先驅物之間的一臭氧/先驅物比例被加 以調整直到該介電質層發展出一大致平面的電介表面為 止。 另一實施例是關於一種處理一基材的方法,該基材包 括一具有一溝渠表面的溝渠且一溝渠填充材料被沉積於 其上。該基材被置於一基討處理置中^琢万法包括的步驟 有,將一含氧的氣體提供至該處理室中及加熱該基材用以 大致同時地將該溝渠填充材料緻密化並在溝渠表面上形 成一熱氧化物。 根據另一實施例,一基材處理系統包括一界定一處理 室的外殼。一基材托架被置於該處理室中用以托持一矽基 材,該基材包括一具有一溝渠表面的溝渠且一溝渠填充材 料被沉積於其内。該系統進一步包括一氣體輸送系統用來 將處理氣體導入該處理室中,一加熱器用來加熱該基材, 及一控制器用來控制該氣體輸送系統及該加熱器。一記憶 體被連結至該具有一電腦可讀取的媒體之控制器,該電腦 可讀取之媒體具有一電腦可讀取的程式用以指導該控制 器的操作。該電腦可讀取的該程式包括一組用來控制該氣 第11頁 本紙張尺度適用争國國家標準(CNS)A4規格(210 X 297公沒~) - - - H 4^— .1^ n^i n I I I I -1 --- ^ 0 I n n^i 1^1 n n I (it.T先閒讀背面之;i意事項再填寫本頁) 經濟部智慧財產局員工消費合作杜印製 經濟部智慧財產局員工消費合作社印则^ 413885 _B:_ 五、發明說明() 體輸送系統將一含有氧的氣體導入該處理室中及用來控 制該加熱器加熱該基材用以大致同時地將該介電質層缴· 密化並在溝渠表面上形成一熱氧化物的指令^ 根據另一實施例,一種在一基材上形成一溝渠阻隔結 構的方法包括的步驟有,在該基材上施用一 CVD抗反射 塗層tCVD ARC)並與該基材接觸。一光阻被形成於該CVD 抗反射塗層上。該光阻的一部分被曝露於一光線下用以界 定一將被形成之溝渠的位置。在該位置的光阻被去除。該 方法進一步包括蝕刻的步驟,在該位置蝕刻穿透該 CVD 抗反射塗層及穿透該基材的一深度用以在該位置形成溝 渠3 為了進一步瞭解本發明的目的及優點;應參照附圖來 閲讀以下之詳細說明。 圖式簡單說明: 第1 a-1 h圖為一基材的垂直剖面圖,其顯示使用前技沉積 方法之溝渠形成及介電材料的溝渠填充; 第2 a及2 b圖為根據本發明之形成一溝渠的方法的實施例 的流程圖; 第3 a及3 b圖為一有溝渠的基材的實施例的垂直剖面圖, 其顯示根據本發明使用CVD抗反射塗層的情形; 第4圖為根據本發明之一形成一自行平坦化的溝渠填充層 的方法的實施例的流程圖; 第5a及5b圖為具有一根據本發明所形成之自行平坦化的 第12頁 本紙張尺度適用中囤囤家標準(CNS)A4规格(210 X 297公釐) (-先閱續背面之沒意事項再填寫本頁) ^4 --------訂·--------竣〔 413885 η: 經濟耶智慧財產局員工消費合作社印製 五、發明說明() 溝渠填充層的基材之垂直剖面圖; 第6圖為根據本發明之形成一溝渠填充層的方法的一流程 圖: 第7圖為第5a圖之基材的一垂直剖面圖,該基材已根據 本發明用一氧化退火處理過: 第8圖為根據本發明之之一化學氣相沉積設備的一垂直剖 面圖; 第9及10圖為第8圖中之CVD室的一部分的分解立體 圖; 第1 1圖為在一多室系統中之系統監視器及CVD系統的一 簡化圖,該多室系統可包括一或多個室; 第1 2圖顯示根據一特定的實施例之該系統控制款體,電 鬧程式的控制架構的舉例性方塊圖; 第1 3 a及1 3 b圖為使用前技沉積技術之一溝渠填充層的 SEM(掃描式電子顯微鏡)剖面圖; 第1 4a及I 4b圖為在清潔一被蝕刻過的溝渠之後形成的一 溝渠填充層的 SEM剖面圖’該被蝕刻過的溝渠在 其溝渠壁上有熱氧化物; 第1 5 a及1 5 b圖為一沒有熱氧化物在其溝渠壁上之溝渠填 充層的SEM剖面圖;及 第1 6a及1 6b圖為在清潔一溝渠之後形成的一溝渠填充層 的SEM剖面圖,該溝渠在其溝渠壁上有熱氧化物。 第13頁 本紙張尺度適用中國國家標準(CNS)/V1規格(2]0>:297公釐) 清先閱汸背面之;i意事項再填寫本頁) ---------^ ---------竣 413885 Λ7 [57 五、發明說明() 圖號對照說明= 經濟部智慧財產局員工消費合作社印製 L 1 0 矽基材 1 12 塾氧化層 1 14 氮化層 » 116 底部抗反射層 118 光阻 120 溝渠 122 熱氧化物 124 溝渠底部 126 溝渠壁 128 介電質層 130 級階高度 132 開闊區 134 铜密區 224 矽基材 226 CVD ARC 228 溝渠 230 溝渠底部 232 溝渠壁 270 妙基材 272 独刻停止層 274 溝渠 216 溝渠底部 278 溝渠壁 280 熱氡化物 10 CVD系统 11 氣體分佈歧管 12 托盤 15 處理室 15a 處理室壁 15b 室蓋總成 12a 平的表面 13a 面板 13b 穿孔 7 氣體源 8 氣體供應管線 9 氣體混合系統 44 射頻能量供應器 23 排放路徑 24 截斷閥 16 環形槽 17 排出充氣室 20 環形室蓋 2 1 橫向延伸部 25 排放出口 32 馬達 12b 舉升銷 第Η頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (-'tT先閱讀背面之;£意事項再填寫本頁) 裝·----—訂·---------線 413885 λ; _______1£___ 五、發明說明() 隔结構。應被瞭解的是本發明的範圍並不局限於s τ丨結 構a A .形成一溝渠 第2a及2b圖顯示在一由珍所製成之基材上形成一溝 渠的方法。參照第2a圖,第一步驟210為直接在該珍基 材上施用一 CVD抗反射塗層(CVD ARC)。很明顯地,使 用CVD ARC可消除被典型地使用於STI結構上之整氧化 層及氮化層之需求。不像一般被使用之有機旋施BARX, C \ D A R C為一無機材料,其典型地包括’例如,氮化石夕, 氮氧化矽,或矽碳化物。該CVD ARC是藉由促進在一 CVD 系統中之處理氣體的化學反應而被沉積的=例如,一包含 矽1及氮及/或氧的CVD ARC(亦被稱為ARC或RARC)是 藉由將下列的處理氣體導入電漿強化的 CVD(PECVD)中 而被沉積:的一含矽的氣體(如矽烷或TEOS),及一含氮 的氣禮及/或一含氧的氣禮。一氧化二氮(Nz0)可被用來供 應氮及氧但其它的氧及氮的來源當然亦可被使用。一鈍 氣’如氦或氬,典型地被用來控制該處理的沉積速率及膜 層的厚度,及用來平衡該處理。一適合的CVD設備例子 被描述於授予 Zhao 等人之名稱為"CVD pr〇Cessing Chamber”的美國專利第5,558,7 1 7號中。該氣體比例可被 加以調整用以獲得該被沉積的CVD ARC的一具有所需要 之光學特性(如反射係數及吸收係數)之膜層組成。一C V D ARC氮化矽層與傳統之LPCVD氮化矽層不同之處在於該 LPCVD碎的膜層組成不能被改變,因為LPCVD為一熱處 第16頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公;g ) (請先間讀背面之注t事項再填寫本頁) 裝 ----訂--------•線 經濟部智慧財產局員工消費合作社印 413885 經濟部智慧財產局員工消费合作社印製 B7 五、發明說明() 理而不是一電漿強化處理。可被用來沉積CVD ARC的某 些技術被描述於美國專利申請案第08/672,888號,名稱為 "Method and Apparatus for Depositing Antireflective Coating’’,申請人為 David Cheung, Joe Feng, Judy H· Huang, 及Wai-Fan Yau;美國專利申請案第08/852,787號,名稱 為"Method and Apparatus for Depositing an Etch Stop Layer” i 申請人為 Judy H. Huang, Wai-Fan Yau, David Cheung 及 Chan-Lon Yang ;及 Tohru Ogawa, Mitsunori Kimura,Yoichi Tomo.,及丁oshiro Tsumori 等人發表於 SPIE Proceedings(Optical/Laser Microlithography V)1992 年 1674 冊第 362-3 7 5 頁中"Novel ARC Optimization Methodology for KrF Excimer Laser Lithography at Low K1 Factor"的文章中。該二美國專利申請案被讓渡給本案的受 讓人AppliedMaterials公司。這些參考文獻藉由此參照而 被併於本文中。 在一實施例中’ 一所想要之矽甲’坑(3出4)對N2〇的比 例被加以選擇以沉積一 DARC »此外N2與NH3被導入用 以進一步控制該被沉積之DARC的光學及化學特性。N2 與NH3在SiH4及N20對於DARC特性具有很小甚或沒有 影響的處理中,如在低溫時’是主要的影響。在處理中添 加N2與ΝΗ·3進一步改變了該膜層的組成,讓折射率及吸 收率有更大的自由度及更細微的調整。甚者’該處理可使 用氦,其比使用氬更符合經濟效益3氦亦能均改善被沉積 之DARC層之應力的控制。這有助於防止膜層變得張的太 第17頁 本紙張尺度適用中國囫家標準(CNS)A-i規格(21〇χ 297公t ) I J I t · I I I /i\ f ;先閱謅背面之:'£意事項再填寫本頁) 訂---------線 413865 -----------Β:____ 五、發明說明() 系,張太緊會讓其在沉積後從基材上剝落。 該CVD ARC具有吸收在與barC(第1 b圖)相似的微 影成像期間從該基材被反射的光線的能力。此外,該CVD ARC具有一反射特性’其讓該ARC能夠反射與從該 基材被反射的光異相(out_〇f胃phase)的光線使得這兩者能 夠彼此抵消,這被稱為相偏移抵消。 該CVD ARC亦具有可作為CMP蝕刻停止層此一重要 之額外的能力’使得消除LPC VD氮化層(第lg圖)成為可 能。再者,與LPCVD氮化層不同的是,該CVD ARC可被 直接施用於該矽基材上。該墊氧化層(第la圖)已不再被需 要來緩衝介於該矽基材與一 LPCVD氮化層之間應力的過 渡。因此’該單一的CVD ARC層可取代該墊氧化層, LPCVD氮化層,及BARC層,藉此獲得一較簡單的結構及 一更有效率的方法來製備STI的基材。該CVD ARC同時 提供微影成像及CMP的功能,且對於氧擴散而言亦是一 良好的阻障物。 再次參照第2a圖,在步驟212 —光阻被形成於該CVD ARC之上。該光阻被曝光用以界定該溝渠將被形成之溝渠 位置(步驟214)且接著,根據一特定的實施例,在該溝渠 位置上之該被曝光的光阻被去除(步驟216)。一蝕刻步驟 2 1 8被實施用以蝕刻該CVD ARC及矽基材用以在該溝渠 位置形成溝渠。在步驟220,剩下來的光阻被去除。根據 某些特定的實施例,一非必要的清潔步驟222可被實施用 以清潔該溝渠並去除污染物。清潔步驟222可利用傳統的 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(2〗ϋ X 297公釐) (清先閱iif面之泌意事項再填寫本頁) 裝·-------訂 *---I---1 線 經濟部智慧財產局員工消費合作社印製 413885 經濟部智慧財產局員工消费合作社印製 B: 五、發明說明() 濕独刻處埋其使用一含有氣氟酸(H F)之混合物》所得到的 結構被示於第3a圖中,其顯帝帶有一 CVDARC226之s夕 基材224,該CVD ARC具有約1 000-2000A的厚度。該被 形成的溝渠228具有一溝渠底部230及一溝渠壁232 ^ 與示於第1 a -1 d圖中之傳統的方法比較起來,第·^ & 圖的方法可省掉在該溝渠的表面上生長一熱氧化物的考呈 序,該熱氧化物傳統上被用來修補在溝渠形成期間電^: _ 於該矽基材的損傷。本案發明人發現在一矽溝渠上直接,;冗 積該表面敏感性的介電材料,如03 / Τ Ε Ο S,相較於前技方 法而言顯著地改善了將被形成之溝渠填充層的品質,及言矣 清潔步驟222可進一步改善膜層品質,這將於下文中詳細 說明。此外’本案發明人發現一氧化退火處理可在該溝渠 填充層形成之後被使用,用以在該溝渠底部及溝渠壁上生 長一熱氧化物。 該CVD ARC可被使用於本發明之特定的實施例中。 根據某些特定的實施例,描述於下文中之後續的處理步 驟’無論是使用CVD ARC或是前技的BARC/氮化物/氧化 物組合,該等步驟都可被使用。因此,在溝渠形成之後, ”蝕刻停止"層一詞將被使用且應被瞭解其是代表一 LPCVD氮化層(具一墊氧化物介面)或CVD ARC。 在示於第2b圖的實施例中’步驟250-260與第2a圖 中之步驟210-220相同且是使用光阻來實施用以蝕刻該溝 渠。然而’在該光阻被去除之後(步鄉260),兩個額外的 處理步驟被實施。在步驟262中,一熱氧化物被生長於該 ϊι氏ϋ尺度適财_家標準(CNS)A伐格⑵〇 x 297公爱-- (冷先閱讀背面之;JL意事項再填寫本頁) 裝--------訂 ----•線 經濟部智慧財產局員工消費合作社印製 413885 Λ7 ----—______B; 五、發明說明() 溝X甩部及溝渠壁上與示於第丨d圖中的相似。在該溝渠 底邛的熱氧化物藉由使用傳統的電漿蝕刻程序(步驟264) 而被蝕刻掉。所獲得的結構被示於第3b圖中,其顯示一 矽基材270其上形成有一蝕刻停止層272(cVD ARC或 lpcvd氮化物)及一溝渠274具有—溝渠底部276及一溝 π 土 1 78 3當在茛溝渠底部i 76上的熱氧化物被去除之 後釗|來的熱氧化物280是在溝渠壁】上。之後,一 ⑸#驟⑽被實施’其使用―帶有HF混合物或類此者 之J' ϋ刻處理來清除冷染物。如在下文中討論的,本案發 明人發現,此清潔步驟266改善了將被沉積之溝渠填充層 的品質。 Β·远_满一溝渠坂气_ 參照第4圖,在該有溝渠的基材(在第3a圖中的 或在3b圖中的270)被製備之後,其在步驟29〇被置於一 處理1:中(如第8圖中之室15)。_鈍氣典型地在步驟192 被流入該室中用以在處理氣體被引入之前穩定該室中之 壓力3接下來,一先驅物被導入該室中(步驟294卜該先 驅物具有一隨著被不同地構成之表面而異之表面敏感性 及生長速率。一合適的一先驅物的例予為TEOS。因為 TEOS為一液體先驅物,所以—適當的設備將一裁送氣 體,如氦氣,的氣泡導引通過在一氣泡器構件内之該te〇s 或將-載送氣體’如氣氣或氛氣,導引至—液體注入系統 用以將該TEOS氣化並形成一具有所需要的流率之處理氣 體。一臭氧氣體被流入該室中(步驟29 6)用以與該TE〇s 冢紙張尺i適用中關家標準(CNS)A4 f格⑵髮厂~---___ (請先閱讀背面之注意事項再填寫本頁) - 裝-------訂---------線 413885
經濟部智慧財產局員工消費合作社印制^ 五、發明說明() 起反應,用以沉積一 oy丁E0S溝渠填充層於該基材上。該 〇3/teos &的;冗㈣率在較低的$渠底部(其為則比在該 基材之包括該蝕刻停止層(其為LPCVD或CVD ARC)在内 4較高的上部表面上來得快。該o3/teos層在較低的表面 及在較同的表面上之相對的沉積速率在步驟298中藉由調 整該Ch/TEOS比例而被調整’直到該〇3/TE〇s層發展出 一大致自行平坦化的介電表面為止。 該〇3/TE〇S比例可藉由調整該〇3及/或TEOS的流率 來加以條整。例如,一預定的〇3/T]E〇S比例可被選取及相 對的流率可被調整用以達到在步驟2 9 8中之比例。將該 Ch/TEOS比例最大化對於加速該溝渠底部的沉積以達到 平坦度而言是有利的。高於i 0 : 1的〇3/Te〇s比例是所想 要的,及10 : 1至20 : 1的比例則是更佳。 該溝渠填充層的沉積可在低於5 〇 〇乞之相對低的溫度 下發生。這可有利地避免所不想要之氟化鋁的形成及且可 省去清除遠氟化鋁所需要之長的清潔時間,該氟化鋁會在 高於500C的溫度之沉積於包括銘材料的處理室中發生時 (如在低OWTEOS比例下必需將該介電膜層緻密化時)形 成。其它的處理參數’如壓力流率,可被加以調整用以對 於一被選定的〇3/TEOS比例之沉積處理作最佳化。對於使 用〇3/TEOS比例為10 : 1至20 : 1的處理而言壓力範圍在 200至700托耳(Tor r)是較佳的。 第5a及5b圖分別舉出被沉積於第3a及3b囷中之分 別使用第8a及8b圖之方法所製備的基材224,270上之 第211 本紙張尺度適用中固國家標準(CNS)A4规格(21ϋ X 297公釐) ------------ Μ---- /(· (:ΐ先閱讀背面之;χ意事項再填寫本頁) 訂---------線 經濟部智慧財產局員工消費合作社印製 413885 λγ _ Β7 五、發明說明() 自"ί亍平坦化的居k填充介電層。如在下文中所討論的,本 發明的方法不僅僅提供溝渠填充層之自行平坦化的沉 積'而且還確保這些層的高品質。 C.處理該瀵渠填充. 參照第6圖,步驟3 1 〇及3丨2代表一氧化退火處理其 可被用來在溝渠被填充了該被沉積的溝渠填充層之後,於 溝渠表面上生長一熱氧化物。雖然該處理可應用於本文中 所描述之S TI結構以外的結構上,但其在此例子中具有特 殊的優點*固為前技之熱氧化物生長(第1 d圖)以被省去用 以確保一高品質溝渠填充層(如〇3/TEOS層)的形成及在第 2a圖之方法中的全面平坦性。該後續的氧化退或不只造成 一熱氧化物在溝渠表面上生長,其更改善了其品質。—緻 密層是有利的’特別是用於阻隔的目地^該氧化退火是藉 由讓該基材在步驟310中接觸一含氧的氣體(如氧分子, 蒸氣’及其它具有氧的先驅物);及在步驟312中將該基 材加熱至一適當的溫度(如高於8〇(TC )。當第5a圖中的基 材2 24經歷了氧化退火之後,—熱氧化物3〇8如第7圖所 示的被生長於該溝渠228的表面上。該氧化退火最好是在 CMP之後實施用以改善CMP處理,因為該表面敏感的沉 積在主動區(LPCVD或CVD ARC)上比在溝渠上(矽)更為 多孔。在膜層緻密度上的此一差異對於CMP是有利的, 因為CMP速率在主動區會比在溝渠處來得高。 最後的步驟3 1 4是要選擇性地清除該溝渠填充材料並 將其平坦化’典型地是藉由CMP。該步驟清除在該蝕刻停 第22頁 泰纸張尺度適用中國因家標準(CNS)A4規格(210 X 297公釐) ^·---I I--訂- --------峻、 ( / (清先閲汶背面之泫意事項再填寫本頁) 413885 經濟部智慧財產局員工消費合作社印製 B? 五、發明說明() 止層上的溝渠填充材料’其可以是LPCV或CVDARC3因 為介電質檢廓大致是平面的’所以不需要有顛倒罩幕及蝕 刻程序且該CMP步驟與在第lf圖所示之級陪式的介電質 輪廓比起來可被更快速地完成。這進一步減少處理時間並 提南產出率。 Π. 一 CVD系鼓的例子 一可實施本發明的方法之適當的CVE)設備被示於第 8圖中’其為一 C V D系統1 〇的垂直剖面囷,該系統具有 一真2或處理室1 5其包括室壁1 5 a及室蓋阻件1 5 b。室壁 1 5a及室蓋構件1 5b以分解立體圖的方式被示於第9及】〇 圖中。 CVD系統1 0包含一氣體分配歧管n周來將處理氣體 分佈至一基材(未示出)上1該基材躺在一位在該處理室15 的中心位置之被加熱的托盤1 2上。在處理期間,該基材(如 一半導體晶圓)被置於托盤1 2的一平的(或稍微凸出的)表 面1 2 A上。該托盤可受控制地被移動於—低的裝載/卸載 位置(未示出)輿一緊鄰該歧管11之上面的處理位置(示於 第8圖中)之間。一中心板(未示出)包括用來提供基材的位 置的資訊的感應器。 沉積及載體氣體經由設有穿孔1 3b(第1 0圖)之傳統的 圓形的氣想分佈面板13a而流入該室15中。更詳言之, 沉積處理氣體經由一傳統之設有穿孔的擋板42及經由在 氣體分体面板13a的孔13b而通過入口歧管11流入該室 1 5 (在第8圖中以箭頭40表示)。 第23頁 表紙張尺度適用中國國家標準(CNS)A4規格(2]〇 X 297公" (清先閱璜背面之注t事項再填寫本頁) 裝---------訂---------線 413885 ΛΓ ---------------B7___ 五、發明說明() 在到達該歧管U纟前’沉積及載體氣體從氣體源7 經由氣體供應管8(第8圖)被輸入一氣體混合系統9中’ 氣體於該系統中被加以混合然後被送至歧管丨丨。通常,每 一處理氣體之供應管線都包括⑴數個安全截斷閥(未示出) 其可被自動地或手動地截斷流入該室中之處理氣體流。及 (π)質量流控制器(亦未示出)其測量通過該等氣體供應管 路之氣體流。當有毒氣體(例如,臭氧或画素化的氣體)被 用於處理中時,數個安全截斷閥以傳統的架構被置於每一 氣體供應管路上。 在該C V D系統1 〇中所實施的沉積處理可以是一熱處 理或一電漿強化的處理。在一電漿強化的處理中,一射頻 (RF)電源供應器44在該氣體分佈面板丨3 a與該托盤1 2之 間施加電能用以激勵該處理氣體混合物用以在介於該面 板13a與該托盤12之間的圓柱形區域中形成一電衆。(此 區域在本文中將被稱為"反應區")。該電漿的組成物起反應 用以在該被支撐於該托盤12上之半導體基材的表面上沉 積一所需要的膜層。射頻電源供應器44可以是一混合頻 率射頻能量供應器其典型地在一 13.56MHz的高射頻頻率 (RF1)及在一 360kHz的低射頻頻率(RF2)供應能量用以強 化被引入該真空室1 5之反應物種的分解。在一熱處理期 間’射頻電源供應器44將不會被使用,及該處理氣體混 合物起熱反應用以在該被支撐於該托盤12上之半導體基 材的表面上沉積一所需要的膜層,其中該托盤12被電陴 地加熱用以提供該反應所需的熱能。 第24頁 本紙張尺度適用中國國家標準(CNSM4規格(210 X 297公釐) f碎先閱ΐί背面之注意事項再填寫本頁) 裝 ----訂---------線. 經濟邾智慧財產局員工消費合作社印製 經濟郤智慧財產局員工消費合作社印" 413885 '7 ίϊ:__ — 1 一一 一 五、發明說明() 在一電漿強化的沉積處理期間’電衆加熱整個處理室 1 〇,包括該室體環繞該排放路徑2 3及該截斷閛2 4的室 壁。—熱液體可被循環通過室壁15 a用以在電漿没有被打 開的時候,將室壁15a保持於一高溫下。用來加熱室壁15a 之流體包括了典型的流體種類’即’水基的乙二醇或油基 的熱傳導流體》此加熱有利地降低或消除所不想要之反應 產物的凝結並改善了處理氣體之揮發產物的消除及會凝 結於冷的真空通道壁上並於沒有氣體流動的期間回流至 該處理室中之污染物的消除。 包括反應產物在内之沒有沉積為一廣之剩餘的氣禮 混合物透過一真空幫浦(未示出)而從該室中被抽出《詳言 之’該等氣體是經由環繞在該反應區周圍的環形槽16被 排出並進入一環形的排出充氣室17。環形的槽16及充氣 室17是由介於室壁I5a的頂部(包括在壁上之上介電内襯 1 9)與圓形室蓋20的底部之間的間隙所界定的。該環形的 槽16及充氣室17之360°的圓形對稱及均勻性對於達到 基材表面上之均勾處理氣體流用以在基材上沉積_均勾 膜而言是很重要的。 該等氣體從該排放充氣室1 7流過該排放充氣室丨7的 一橫向延伸的部分21的底下,通過一觀視孔(未示出),經 過一向下延伸的氣體路徑23’通過一真空截斷閥24(其本 體與室壁15a的下部一體形成),及進入到一排放出口 25 其連接至一外部的真空幫浦。 該托盤12(最好是鋁’陶磁或其組合物)之基材支撑平 第2S頁 本紙張尺度適用中國國家標準(CNS)A4规格(2〗0 X 297公爱) (清先閱請背面之土意事項再填寫本頁)
I I— (I ^1 i it ti *^1 I 經濟部智慧財產局員工消费合作社印製 413885 Λ7 ,. Β: ---- . ' ------- 五、發明說明() 台是使用一埋入式雙匝單迴圏電阻式加熱器元件來加 熱,該元件被作成形成兩個平行同心圓的形狀。該如熱器 元件的一外部與該支撐平台的周邊相鄰,而其内部則是在 一具有一較小的半徑之同心圓的路徑上。到達該加熱器元 件的線路通過托盤1 2的桿3 典型地,室内襯,氣體入口歧管面板,及許多其它的 處理室硬體的每一者或任一者是由像是鋁,陽極化的銘, 或陶瓷材料所製成。此C V D設備的一個例子係描述於授 予Zhao等人之美國專利第5,558,717號,名稱為’,cvd
Processing Chamber"中,該案被讓渡給本案之受讓人 Applied Materials公司且該案藉由參照而被併於本文中。 一舉升機構及馬達(未示出)在基材被一機械臂載盤 (未示出)經由在該室1 0的側壁上之送入/取出開口 26而送 入該室及由其中取出時,將托盤12及其晶圓舉升销12b 升起及降下。馬達將托盤12升起及降下於一處理位置14 與一下面的晶圓裝載位置之間。馬達,閥或連接至該供應 管線8的流量控制器,氣體輸送系統,節流閥,射頻電源 供應器44,及室與基材加熱系統都是由一系統控制器 34(第8圖)透過控制線路36來控制的。控制器34依賴光 感應器之回饋來決定活動的機械總成,如節流閥及托盤, 的位置*該等活動的機械總成是由控制器3 4所控制的馬 達來移動的。 在一較佳的實施例中,系統控制器3 4包括一硬碟機 (記憶體38),一軟碟機,及一處理器37。該處理器37包 第26頁 本紙張尺度適用中Θ國家標準(CNS)/y規格(210 x 297公釐) f I ! *^· i. J — I I — —,ΙΝ_-----I* ϊ 1 /( /1 (-先閱讀背面之注意事項再填寫本頁) 413885 Λ; Β:_____ 1、發明說明() 括一單板電腦(SBC) ’類比及數位輸入/輸出板,界面板及 步進馬達控制器板。CVD系統1 0之不同的部分符合Versa Modular European (VME)標準,其界定板,卡槽.及連接 器的尺吋及種類。該VME亦定義具有丨6-位元資料匯流排 及2 4 -位元位址匯流排。 系統控制器3 4控制該C V D系統1 〇的所有;舌動。該 系統控制器3 4執行系統控制軟體,其為一儲存在一電腦 可讀取的的媒體’如一記憶體3 8,中的電腦程式。最好是, 記憶體3 8為一硬碟機,但記憶體3 8亦可為其它種類的記 憶體。該電腦程式包括了主控時機,氣體混合,處理室壓 力,處理室溫度,RF能量等級,接受器位置,及一特定 處理之其它參數。儲存在其它記憶裝置,如軟碟機或其它 適當的裝置,中之其它電腦程式亦可被用來操作控制器 34 ° 介於一使用者與該系統控制器3 4之間的界面是透過 一 CRT監視器50a及一光筆50b,如第11圖中所示,其 為在一基材處理系統中之系統監視器及CVD系統的一簡 化圖’該處理系統可包括一或多個處理室a在該較佳的實 施例中’兩個監視器50a被使用,一個安裝在潔淨室壁上 供操作者使用及另一個裝在壁後供維修技師之用。兩個監 視器5 0 a圖時顯示相同的資訊,但只有一枝光筆5 〇 b可作 用。光筆50b用一位在尖端部分之光學感應器來偵測由 CRT監視器所發出的光《操作者點觸該顯示螢幕的一所需 要的區並按下在光筆5〇b上的一桉紐來選取一特定的勞幕 第27頁 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) (琦先閱讀背面之注意事項再填寫本頁) -裝----I---訂---------^ 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作杜印製 413385 a: ___I?:_______ 五、發明說明() 或功能。該被選取的區改變其反白的顏色1或—新的選單 或螢暮被顯示,確定光筆與顯示螢幕之間的溝通。其它的 裝置’如一鍵盤,滑鼠,或其它點選或溥通裝置亦可被額 外地或取代地被使用以允許使用者與系統控制器3 4溝 通。 沉積該膜層的處理可使用一可被系統控制器3 4所執 行之電腦程式來加以實施。該電腦程式碼可用任何電腦可 讀取的程式語言’如68000組合語言,C,C++,或pascai 來寫。適當的程式碼使用傳統的編輯器輸入到—單一的檔 案中’或多個檔案中,並儲存或編入至電腦可讀取的媒體 中,如該電腦的記憶體系統中。如果被輸入的碼為一高階 語言的話’其即被編譯,所獲的的編譯碼然後與—預先編 譯的視窗程式庫目標碼鏈結。該系統的使用者呼叫該目標 碼以執行該經鏈結的編譯過的目標碼,造成電腦將該目標 碼載入記憶體中’ CPU從記憶體讀取並執行該目標碼以實 施在該程式中所指定的工作。 第1 2圖顯示該系統控制軟體,即一電腦程式70,之 邏輯控制結構的方塊圖。一使用者藉由該光筆界面輸入一 處理組號碼及處理反應室號碼至一處理選擇器副程式73 中一作為顯示在該CRT監視器上之一選單或營幕的回 應。處理組是執行特定的處理所需要之預定的處理參數, 且是用預定的組號來定義。該處理選擇器副程式73確認 (i)在一多反應室系統中之所需要的反應室,及(ii)操作該 處理室所需要之處理參數組以實施所需要的處理。實施一 第28頁 本纸張尺度適用中囷國家標準(CNS)A4規格(210 X 297公爱) i --------訂·--------' /V (清先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印刺私 413885 λ; -----------Β7 _____ 五、發明說明() 特定處理之處理參數與處理條件宥關,如處理氣體的成汾 及波率’溫度,壓力,電漿條件,如RF偏壓能量等級及 磁場能量等級,冷郤氣體壓力,及反應室壁溫度,其係以 食譜的方式提供給使用者β該食譜所選定的參數使用光筆 /CRT監視器界面而被輸入。 監視該處理之訊號是由該系統控制器之類比輪入及 數位輸入來提供及控制該處理之訊號在該C v D系統1 0的 類比輸出及數位輸出板上被輸出a 一製程排序器副程式7 5包括用來從該製程選擇器副 程式73接受被指定之處理室及處理參數組,及用來控制 不同的處理室的粗作之程式碼。多個使用者可輸入處理組 號碼及處理室號碼’或一使用者可輸入多個處理組號碼及 處理室號碼’該製程排序器副程式7 5可將被選取的處理 以所需要的順序排好。較佳地,製程排序器副裎式7 5包 括一用來實施(i)監視該等處理室之操作以決定處理室是 否被使用’(ii)決定那些處理是要在那些處理室中進行,(iii) 根據一有空的處理室及將被實施之處理的種類來執行所 需要的處理,的程式碼。監視處理室之傳統的方法可被使 用,如詢訊(polling)。當在排那一個處理要被執行時’排 序器副程式7 5可被設計成將被使用之處理室之目前的條 件與一被選取之處理所需要的條件比較,或每一特定的使 用者所輪入的要求的11年齡",或一系統程式員想要包括進 去以決定優先順序之任何其它相關因子,考慮進去。 在排序器副程式7 5決定那一個處理室及處理組的組 第29頁 >紙張尺度適用令國國家標準(CNS)A'l規格X 297公釐) ' --- ------------裝--------訂---------吟 /1- / (清先,¾讀背面之江意事項再填罵本頁) 413885 λγ —------B7 五、發明說明() 合將於下一個被執行之後,兮 丁 没这排序器副程式75藉由將該 特定的處埋組參數送至一虛 题理至皆理副程式77^c來執行 該處理组,其中處理室管理S|! # T理4稜式77a-c根據由該排序器 副程式7 5所決定的處理組爽 术控制在處理室丨5内之多製程 工作》例如’處理室管理副锃 程式77a包括用來控制在該處 理室15内之滅射及㈣處理操作的程式碼。處理室管埋 副程式%亦控制不同處理室構件副程式的執行,其中構 件ailj程式控制執行該被選瓦^ 仃掖選取的處理组所必需之處理室構 件的操作。處理室樣侔釗射4 ^ 至搆午蚋程式的例子為基材置放副程式 80,處理氣體控制副程式83,败士 r & 仰,,^ 八旳壓力杈制副程式85 ,加熱 益控制田1|程式8 7,及雷哺纟而土丨-1 及电表控制副程式90。熟悉此技藝者 可瞭解的疋其它的處理室种制5丨丨 至拴制岫程式可根據那種製程將 要於該處埋室15内褚音竑工-*丄 ^ 内破實把而被加入。在操作日寺,處理室 管理副程式7 7 a根據今被執行之特定的處理組來選擇性地 排定或呼叫處理構件副程式。處理室管理副程式…之排 序使用-種與排序器副程式75在排定那—個處理室以 處理組將被執行時所用之方式相似的方式來實施。典型 地’處理1:管理副程式77a包括了監視不同的處理室構 件,根據今被執行之處理的處理參數決定那些構件必需被 操作,及執行-處理室構件副程式以作為監視及決定步聯 之回應,等步驟。 特疋的處理室構件副程式的操作將參照第丨2圖被說 月基材置放副程式80包括用來控制將基材裝載於該托 盔丨2上,及將該基材舉升至該處理室15内之—所需要的 本紙張尺度適用+¾¾準(CNS)A4 g格 fT先閱讀背面之注意事項再填寫本頁) 袭--------^----- 經濟部智慧財產局員工消費合作社印製 笫30頁 413885 經濟部智慧財產局員工消费合作社印製 ΛΓ B7 五、發明說明() 高度來.技.制m.基ϋ該農體分体岐管丨1之間的空間 之處理室構件之程式碼。當一基材被裝載於該處理室15 内時’托盤12被降下來以接受該基材,之後該杬盤12被 舉升至該該處理室15内之一所需要的高度,用以在CVD 處理期間將該基材保持在與該氣體分佈岐管Π相距一第 一距離或空間的位置處。在操作時,該基材置放副程式8 〇 控制該托盤1 2的移動以作為與該支撐高度相關由該處理 室管理副程式7 7 a傳過來之處理組參數的回應。 處理氣體控制副程式8 3具有用來控制處理氣體成份 及流率的程式碼。副程式8 3控制安全截流閥的開/關位制 置,及升/降質量流控制器以獲得所需要的氣體流率。所有 的尺理室構件副程式,包括處理氣體副程式83,都是由處 理室管理副程式7 7 a所呼叫^副程式8 3接受來自於該處 理室管理副程式與所需要的氣體流率相關之處理參數。典 型地’處理氣體控制副程式83藉由打開氣體供應管路, 並重復(i)讀取必需的質量流控制器,(ii)將讀取值與從處 理室管理副程式77a接收來之所需要的流率比較,(iii)需 要時調整氣體供應管路的流率,來操作的。甚者,處理氣 體控制副程式8 3包括監视不安全之氣體流率,及在狀況 被偵測到時啟動該安全截斷閥。 在某些處理中,一純氣’如氬氣,被流入到處理室1 5 中,用以在反應處理氣體被引入處理室内之前穩定在處理 室中之壓力。對於這些處理而言,處理氣體控制副程式83 被程式成包括讓鈍氣流入處理室1 5中一段時間以穩定處 第31頁 本紙張尺度適用t國國家標準(CNS)A.l規格(210x297公爱) (ir先閱讀背面之注意事項再填寫本頁) 裝: 413886 Λ7 一-_:_Ε_ 五、發明說明() 理室内的壓力的步驟,然後上述的步驟被實施。 (靖先閱讀背面之主意事項再填寫本頁) 壓力控制副程式8 5包括用來藉由調整在該處理室1 5 的排出部分中之節流閥的開口的大小來控制在處理室内 的壓力的程式碼°節流閥的開口的大小被設定用以將該處 理室的壓力控制在與總處理氣體流,處理室的大小,及該 排放系統之抽泵設定壓力相關之所想要的等級u當壓力控 制副程式8 5被呼叫,該所需要的或目標壓力即如一參數 被從處理室管理副程式7 7 a處接收到=壓力控制副程式8 5 操作以藉由讀取一或多個與處理室相連接之傳統的壓力 計來測量處理室内的壓力,將測量值與目標壓力比較,從 一對應於該目標壓力之被儲存的表中獲得比例,積分,及 微分(PID)值,並根據由該壓力表中所獲得的PID值調整 節流閥。或者,壓力控制副程式8 5可被寫成打開或關閉 節流閥至一特定的開口大小來調整處理室1 5並獲得一所 想要的壓力。 經濟部智慧財產局員工消費合作社印別农 加熱器控制副程式87包含一程式碼以控制用以加熱 該基材之加熱單元之電流。該加熱器控制副程式8 7亦受 該處理室管理副程式77a控制且接受一標的或設定值溫度 參數。該加熱器控制副程式8 7藉由測量位於托盤1 2之熱 耦合器之電壓輸出來測定溫度,將所測得溫度與設定值溫 度比較,且增加或減少供應至該加熱單元之電流以獲得該 設定值溫度。該溫度由所測得之電壓值經由在一儲存之轉 化表中查知對應溫度,或使用四階多項式來計算該溫度來 獲得。當一内置迴路被用以加熱托盤1 2,該加熱器控制副 笫32頁 本紙張尺度適用令國國家標準(CNS)M規格⑵Ο X 297公釐) 413885 Λ7 _Β7 ______ —_ ^ —--- — 五'發明說明() 程式8 7逐漸地控制供應至該迴路之電流之增加/減少。此 外 '一内建成敗(fail-safe)模式可被涵括以偵測製裎安全依 從性,且若該處理室1 5未適當設定該模式可關閉該加熱 單元之運作。 電漿控制副程式9 0包括了設定施加於該處理室1 5中 之處理電極之低頻及高頻RF能量等級,及用來設定所用 之低頻RF頻率的程式碼。電漿控制副程式90亦包括用來 開啟及設定/調整施加於本發明所使用之磁控管或其它微 波源之能量等級的程式碼。該電漿控制副程式9 0與前述 之處理室構件副程式相同地是由處理室管理副程式77a所 呼叫的。 以上所述主要是作為舉例的目的,且其它的電漿CVD 設備 '如電子環繞共振式(ECR)電漿CVD系統,電感耦合 的RF高密度電漿CVD装置或類此者,皆可使用本發明。 此外’上述系統的變化,如在托盤設計,加熱器設計,射 頻能量頻率,及射頻能量連接,上的變化及其它的變化都 是可能的。例如,該晶圓可被一載盤所支撐及用石英燈來 加熱。應被瞭解的是,本發明之該層及形成該層的方法並 不局限於任何特定的設備及任何特定的電漿激勵方法。 III.實驗及試驗結果 當上述不同的方法被用來在沉積之前製備在該基材 中之溝渠時,以下的實驗例子被用來顯示本發明在介電質 膜層上的優點。該等例子是使用一 CVD室來進行,特別 是一由設在美國加州Santa Clara的Applied Materials公 第33頁 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公釐) (ΐτ先閱讀背面之;i意事項再填寫本頁) 裝-------I訂 -----啖 經濟部智慧財產局員工消費合作社印製 經濟部智蛙財產局員工消费合作社印製 413885 Λ7 一___B7___ 五、發明說明() 司所製造及販售的"DxZ”或一 "CxZ”室(用於200mm基材的 尺吋並具有約7公升的體積)。具有一 LPCVD |^化.矽蝕刻 停止層的矽基材及具有高達0.25微米之不同寬度的溝渠 被使用。沉積是由次大氣壓CVD(SACVD)來實施。概述於 第 4圖中介電質沉積程序之典型的處理參數包括一約 200-700托爾的壓力,一约300-500°C的加熱器溫度,一流 率約為 5000-10000seem(每分鐘標準立方公分)的鈍氣(He 或N'2),一流率約為4000-8000sccm的臭氧,一流率約為 200-500 mgm(美分鐘毫克)的TEOS,及約12-20重量百分 比的臭氧濃度。 在示於第13a-l6b圖的例子中,相同的處理參數被使 用:一約450托爾的壓力,一約400°C的加熱器溫度,一 约3 000sccm的氦氣流率,一約5000sccm的臭氧流率,— 约3 2〇11^111的丁£05流率及一約12.5重量百分比的臭氧濃 度。這提供一約13 : 1之Ch/TEOS比例。被觀察到之沉 積速率為,在矽上約3000A/分鐘,在LPCVD氮化矽上約 為1000A/分鐘,及在熱氧化物上約1 050A/分鐘。該溝渠深 度约為0.55微米及兩個溝渠寬度被使用:0.25微米(第 13a-16a)及 1 微米(第 13b-16b 圖)。 第13a及13b圖顯示被形成於一具有一 LPCVD氣化 矽蝕刻停止層354之有溝渠的基材3 52上之一 〇3/Te〇s 層3 50的SEM剖面圖。該等溝渠是使用在溝渠底部及溝 渠壁上生長一熱氧化物然後將該熱氧化物從溝渠底部蚀 刻掉的前技方法來製備。雖然該溝渠填充層350具有接近 第3 A貫 本紙張尺度適用中國國家標準(CNS)A4規格<210 X 297公釐) (if先閱^背面之注意事項再填寫本頁) · I I — I I I I 訂---^_ 413885 Λ? 4 五、發明說明( 平面的表面輪廊,丨Θ可翻定,1 , .了硯察到在溝渠表®,㈣是在溝渠 壁上’有分離形式的瑕疵的 , 仔在。代表不艮特映之空隙在 該溝渠填充層j 5 0之靠近兮:技 μ 4渠在開闊區的溝渠壁處被觀 察到(第1 3 a圖)。這你瑕游 、一取疵破認為是生長於溝渠底部的該 矽上之介電質層與生長在溝 杯果壁上义熱氧化物之間之内 應力差的結果。這些瑕疵是 斤不心要的,且對於作為阻隔 目的而言特別是一項問題。 第1 4a及ub圖顯示— 谈形成於一有溝渠的基材372 上之一 03/TE0S層3 70的SPvr .,工妃 到面圖,該基材是使用一 種與第2b圖所示相似之t 相似 < 基材製備方法製備的,只是一 L P C V D I化砂飯刻傳止廢、 “了止層J74被使用而非- CVD ARC。 根據此方法,該等溝渠在揣 在將滅氣化物從該溝渠底部蝕刻掉 之後被清洗(步驟2 66)。在此如工士 ^
社此例子中,一使用包含1%的HF 之混合物的濕㈣被使用。如第W &】則中所示,此 清洗步驟顯著地改善了介電質層37〇的品質,其具有—大 致平面的表面。也第丨3a;g 1:3urai 、 及13b圖比較起來,在溝渠表面 上之分離較少出現且亦沒右·念―Λ ^ 力'丈有廣足分佈。與溝渠表面相鄰之 多孔性被顯著地降低。在沉積之前清潔該等溝渠很顯然地 降低了應力效應。如所見到對於溝渠填充$ 37〇的品質的 改上,該清潔步驟266可提俾土杯考,去丄.λ必t . •«供疋好處遠大於實施此額外步 驟所花的成本。 第l5a及Hb圖顯不一被形成於—有溝渠的基材382 上之一 Oa/TEOS層380的_剖㈣,該基材是使用一 種與第2b圖所示相似之基材製備方法製備的,只是一 第35頁 (請先閱讀背面之注意事項再填寫本頁) 裝 -----訂---------^ 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印. Μ 413885 Λ; B7 五、發明說明() LPCVD氮化矽蝕刻停止層384被使用而非一 cVD ARC。 此方省去了(儿積泫〇3/teos層之前生長該熱氧化物的 程序,因而可避免掉應力效應。然而’在此例子中清潔步 驟222並沒有被實施。如第1 5a及i 5b圖所示,該大致平 面的4本填充層3 8〇的品質比前述兩個例子(第丨丨5 W 的沖質月顯好很多。在溝渠表面上没有明顯的分離且只有 非常少的空隙被形成,大部分的空隙是靠近該LpcVD氮 化物層384負件或是在LpcVD氬化物上’且其可藉由 來去涂。良好品質之溝渠填充層3 g〇對於溝渠阻隔目的而 言是特別有利的。為了要在溝渠表面上生長一熱氧化物並 進一步將该溝渠填充層3 8 0緻密化,參照第6及7圖所述 之#1化退火處理可被使用β 第】6a及16b圖顯示一被形成於一有溝渠的基材3 92 上之一 C^/TEOS層390的SEM剖面圖,該基材是使用一 種與第2b圖所示相似之基材製備方法製備的,只是— LPCVD氮化矽蝕刻停止層394被使用而非一 CVD ARC。 此方法與與實施第15a及15b圖之沉積者不同之處在於其 包括了在沉積之前實施清潔步驟222。在此例子中,溝渠 藉由一使用包含1 %的HF之混合物的濕蝕刻而被清潔約 10秒鐘。相對於第15a及1 5b而言,第16a及16b圖顯示 在該溝渠填充層3 9 0的品質上的某些改善,特別是在降低 空隙形成方面《因此,該非必要的清潔步驟222在可在對 於膜層的品質上有額外的要求時被使用氧化退火亦可 被用來在溝渠表面上生長一熱氧化物。與第le圖中之具 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (清先閱讀背面之注意事項再填寫本頁> • 4 裝.-------訂.--------線 經濟部智慧財產局員工消費合作社印製 413885 Λ: ______ Β7___ 五、發明說明() 有乡及階高度130的溝渠填充潛丨2S比較起來,第16ί1圖之 ^又$級階南度效應之溝渠填充層3 9 〇具有較佳之整體平姆 度。 由上述的討論可知’當介電質材钭被沉積於一具有— 熱氧化物生長於溝渠壁及溝渠底部上然後將該熱氧化物 從溝渠底部被姓刻掉之溝渠上時,瑕疵即會形成於溝渠表 面上或與溝渠表面相粼《本發明的某些實施例藉由在該介 %質溝渠填充材料的沉積之前實施—溝渠清潔步驟來降 低瑕疵的形成。在一較佳的實施例中,傳統的熱氧化物生 長在沉積之前被省掉用以消除該等瑕成。取而代之的是, 一熱氧化物最好是在沉積之後使用一氧化退火程序來讓 其生長在溝渠表面上’該退火程序亦可將該介電質溝渠填 充層緻密化。 本發明的方法可被用來在不犧牲介電質膜層的品質 下改上整體平坦度及用來降低成本並提高產出率。該氧化 退火讓在用一自行平坦化,高品質的溝渠填充層填充溝渠 之後,於溝渠表面上生長一日氧化物成為可能。為了微影 成像及CMP目的而使用CVD ARC來形成溝渠是更有效率 的且可獲得一更簡單的結構《此外,其它的實施例亦可藉 由改變Os/TEOS比例,壓力’或其它介電質沉積參數而被 達成》因此,本發明的範圍不應參照以上所述來決定,而 應是以申請專利範圍來決定其包括等效物在内之完整的 範圍。 第37頁 本紙張尺度適用中國囤家標準(CNS)A4規格(210 X 297公釐) (請先閒讀背面之浅意事項再填寫本頁) 裝---- 訂---------^ 經濟部智慧財產局員工消費合作社印製
Claims (1)
- 413885 881 〇a47 9 bs8 __S 琦專利範圍 種在一矽基材上形成一介電質層的方法,該基材包括 ^ 4渠其形成於諸上部之間且具有一溝渠底部及一 j渠例壁,該基材被置於—基村處理室中,該方法使用 I先軀物其提供該介電質層在被不同地構成於該基材 勺不同水平上的表面上之沉積速率依賴性,該等被不同 地構成於該基材的不同水平上的表面包括該溝渠底部 及在琢等上部之上的材料’該方法至少包括以下的步 m : 訂 線 經濟部眢总財產局員工消費合作社印製 ^1 --- - - - I ϊ I I . y - I 士 -- m (讀背而之注意事項再填寫本育) 旖先驅物,最好是TE〇S .導入玆基材處理室中; 將臭氧流入該基材處理室中用以與該先驅物起反應 而將一介電質層沉積於該基材上:及 調整在該臭氧與該先驅物之間的一臭氧/先驅物比 例’用以調節該介電質層在被不同地構成的表面上之沉 積速率直到該介電質層發展出一大致平面的電介表面 為止。 2-如申請專利範圍第1項所述之方法,其進—步包 在 該導入’流入及調整步騾之前有一清潔溝渠的步驟。 I I ! 3 如申請專利範圍第2項所述之方法,其中該清潔步觸包 | 括將該溝渠曝露於一濕蝕刻中。 | I I 4.如申請專利範圍第1項所述之万法,其中在該等上部分 1 之上的材料包括一在該矽基材上之CVD抗反射塗層。 j 0 I I 第38頁 I 本紙張凡度適用中國國家標準(CNS ) A4規格< 2丨0><297公廣) 413885 A.S BH CS D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 5 .如申請專利範園第1項所述之方法,其中該溝渠是藉由 施加一 C VD抗反射塗層於該矽基材上1與該基材接 觸;將該光阻的一部分被曝露於一光線下用以界定一將 被形成之溝渠的位置;將在該位置的光阻被去除;在該 位置上,蝕刻穿透該CVD抗反射塗層及穿透該基材的 一深度用以在該位置形成溝渠而形成的。 6. 如申請專利範圍第1項所述之方法,其更包括以下的步 驟:將一含有氧的氣體流入該基材處理室中及加熱該基 材加熱該基材用以大致同時地將該介電質層緻密化並 在溝渠表面上形成一熱氧化物。 7. 如申請專利範圍第1項所述之方法,其中該調整步驟包 括在較低的表面上產生比在該基材之較高的表面上快 之沉積速率。 8 .如申請專利範圍第1項所述之方法,其進一步包括在該 基材處理室中產生一約 200-700托爾的壓力及一约 300-500°C 的溫度。 9.如申請專利範圍第1項所述之方法,其中該調整步驟包 括將該臭氡/先驅物比例調整至約1 〇 : 1至20 : 1,最好 是 13 : 1 。 第39頁 本紙張尺度適用中國國家榇华(CNS) A4規格(210X297公釐) (請先閱讀背面之:2意事項再4寫衣頁) T ----- 線----- —1-----------—- 413885 8 8 8 8. ΛΒΓΟ 六、申請專利範圍 1 0.如申請專利範圍第9項所述之方法,其進一步包括根據 在該調整步驟期間所選定的一臭氧/先驅物比例來控制 該基材處理室中的壓力的步驟。 1 1. 一種基材處理系統,其至少包含: 一外殼,其界定一處理室; 一基材托架,其被置於該處理室中用以托持一矽基 材,該基材包括一具有一溝渠表面的溝渠且一溝渠填充 材料被沉積於其上: 一氣體輸送系統用來將處理氣體導入該處理室中; 一控制器用來控制該氣體輸送系統;及 一記憶體被連結至該具有一電腦可讀取的媒體之控 制器,該電腦可讀取之媒體具有一電腦可讀取的程式用 以指導該控制器的操作,該電腦可讀取的該程式包括一 組用來控制該氣體輸送系統將一包含臭氧及一先驅物 的處理氣體導入該處理室中用以在該矽基材上形成一 介電層,及用來調整在該臭氧與該先驅物之間的一臭氧 /先驅物比例直到該介電質層發展出一大致平面的電介 表面為止的指令,其中該先驅物提供該介電質層在被不 同地構成於該基材的不同水平上的表面上之沉積速率 依賴性,該被不同地構成於該基材的不同水平上的表面 包括該溝渠底部及一在該等上部之上的材料。 12. —種處理一基材的方法,該基材包括一溝渠,該溝渠具 第40百 本紙悵又度適用中國國家標隼(CNS ) A4規格(210XW7公釐) (;+先閱讀背面之i“意事ί§再填寫农頁 裝 經濟部智慧时產笱員工消費合作社印製 I —^n : - 1 -- 国·· _ r - J - I ί - 413885 8 8 8 8 Λ B c p 夂、申請專利範圍 有一溝渠表面及一沉積於其上的溝渠填充材料,該基材 I 1- - I - - II- -- -- ^^I- 1 (請也閒^背面之注意事項再填寫本f;) 是在一基材處理室中被沉積,該方法至少包含以下的步 驟: 提共一含氧的氣體至該基材處理室中;及 加熱該基材用以大致同時地將該介電質層緻密化並 在溝渠表面上形成一熱氧化物。 •如申請專利範圍第1 2項所述之方法,其中該含氧的氣 體是從包含氧分子氣體及水蒸氣的組群中所的。 14.如申請專利範圍第I]項所述之方法,其中該加熱步驟 包括將該基材的溫度提高到至少8 〇 〇 。 1 5 —種基材處理系統,其至少包含: 一外殼’其界定一處理室; 一基材托架,其被置於該處理室中用以托持一矽基 村,該基材包括一具有一溝渠表面的溝渠且—溝渠填充 材料被沉積於其上; 經濟部智U?財產局員工消費合作社印製 一氣體輸送系統用來將處理氣體導入該處理室中: 一加熱器用來加熱該基材; 一控制器用來控制該氣體輸送系統及該加熱器; 一記憶體被連結至該具有一電腦可讀取的媒體之控 制器’該電腦可讀取之媒體具有一電腦可讀取的程式用 以指導該控制器的操作,該電腦可讀取的該程式包括一 第41頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部智慧財產局員工消費合作钍印製 413885 Λ 8 βΧ ΓΚ ----- DS___— 一 六、申請專利範圍 組用來控制該氣體輪送系統將一含有氣的氣體導入該 處理至中及用來控制該加熱器加熱該基纣用以大致同 時地將琢介電?層緻密化並在溝渠表面上形成一熱氧 化物的指令。 16·種在基材上形成一溝渠阻隔結構的方法’該方法至 少以下的步驟: 在該基材上袍用一 CVD抗反射塗層並與該基材接 觸; 在CVD抗反射塗唐上形成一光阻, 將該光阻的—部分曝露於一光線下用以界定一將被 形成之溝渠的位置· 將在該位置的光阻去除;及 在該位置上,蝕刻穿透該CVD抗反射塗層及穿透該 基材的一深度用以在該位置形成溝渠。 1 7.如申請專利範圍第1 6項所述之方法,其中該被施加之 CVD抗反射塗層的厚度约為1 000-2000A。 1 8 ·如申請專利範圍第1 6項所述之方法,其在該蝕刻步驟 之後進一步包括的步驟有: 去除剩下來的光阻:及 用一溝渠填充材料最好是一氧化物,來甜沖在該基 材上的溝渠。 第42頁 本纸伕尺度適用中國國家捸準(三Ns) M規格 -----------------裝-------订-------.線 yf\ /VV (诗先閱讀背而之注意事is再填寫大+頁) 經濟部智慧財產局員工消費合作社印裂 413885 Λ8 Β8 CS DS六、申請專利範圍 1 9.如申請專利範圍第1 8項所述之方法,其中該氧化物包 含一藉由與一先驅物’最好是TEOS及臭氧,反應所產 生之氧化物膜層。 2 0.如申請專利範圍第1 9項所述之方法,其中該氧化物膜 層中之臭氧對先驅物的比例約1 〇: 1至2 0 : 1 .最好是 13 :卜 2 1 .如申請專利範圍第1 9項所述之方法,其進一步包括的 步驟有: 讓該基材接處一含氧的氣體;及 加熱該基材用以大致同時地將該介電質層缴密化並 在介於該溝渠填充材料與該溝渠的一表面之問的一界 面上形成一熱氧化物。 22.如申請專利範圍第1 8項所述之方法,其進一步包括的 步驟有: 讓該基材接處一含氧的氣體;及 加熱該基材用以大致同時地將該介電質層緻密化並 在介於該溝渠填充材料與該溝渠的一表面之間的一界 面上形成一熱氧化物。 2 3 .如申請專利範圍第1 8項所述之方法,其中該溝渠填充 步驟包括沉積一層溝渠填充材料於該溝渠中及在該 第43頁 I-r - -SI i I--I HI .—-^衣 ΐ.—If __ _______ HI -___* f\ /1{ <請先閱讀背面之注意事項再填寫表頁) 本紙張尺度適用中國國家標準(CNS ) A4規精(210 X 297公釐) 413885 Λ 8 B8 α D8六、申請專利範圍 CVD抗反射塗層上;及選擇性地去除掉在該CVD抗反 射塗層上的該溝渠填充材料。 2 4 .如申請專利範圍第2 3項所述之方法,其中該選擇性的 去除步驟為一化學機械研磨步驟及其中該C V D抗反射 塗層是作為該化學機械研磨步驟的蝕刻停止層。 25 .如申請專利範圍第1 6項所述之方法,其中該CVD抗反 射塗層一介電質材料的電漿強化化學氣相沉積而被形 成的。 2 6 .如申請專利範圍第2 5項所述之方法,其中該介電質材 料是從包含了氮化矽及氧氮矽化物的组群中選取的。 2 7 .如申請專利範圍第1 6項所述之方法,其中該CV D抗反 射塗層包含碳化矽。 ----I -- - - - - II - - . 1J - ------- τ —1 1-II _ I.....I___ •t (請之聞請背面之注意事項再填寫本頁) 經濟部智慧財4局員工消費合作社印製 第林頁 本紙張尺度適用t國國家標準(CNS ) Α4规格(210X297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP98401232A EP0959496B1 (en) | 1998-05-22 | 1998-05-22 | Methods for forming self-planarized dielectric layer for shallow trench isolation |
Publications (1)
Publication Number | Publication Date |
---|---|
TW413885B true TW413885B (en) | 2000-12-01 |
Family
ID=8235378
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW088108479A TW413885B (en) | 1998-05-22 | 1999-07-01 | Methods for forming self-planarized dielectric layer for shallow trench isolation |
Country Status (7)
Country | Link |
---|---|
US (1) | US6733955B1 (zh) |
EP (1) | EP0959496B1 (zh) |
JP (1) | JP2002517089A (zh) |
KR (2) | KR100687367B1 (zh) |
DE (1) | DE69835276T2 (zh) |
TW (1) | TW413885B (zh) |
WO (1) | WO1999062108A2 (zh) |
Families Citing this family (102)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6387764B1 (en) * | 1999-04-02 | 2002-05-14 | Silicon Valley Group, Thermal Systems Llc | Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth |
TW439194B (en) * | 2000-01-24 | 2001-06-07 | United Microelectronics Corp | Manufacturing method of shallow trench isolation region |
TW479315B (en) * | 2000-10-31 | 2002-03-11 | Applied Materials Inc | Continuous depostiton process |
JP4989817B2 (ja) * | 2000-12-21 | 2012-08-01 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US6362098B1 (en) | 2001-02-28 | 2002-03-26 | Motorola, Inc. | Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate |
US7026172B2 (en) * | 2001-10-22 | 2006-04-11 | Promos Technologies, Inc. | Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches |
WO2003043078A2 (en) * | 2001-11-13 | 2003-05-22 | Advanced Micro Devices, Inc. | Preferential corner rounding of trench structures using post-fill oxidation |
US7141483B2 (en) * | 2002-09-19 | 2006-11-28 | Applied Materials, Inc. | Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill |
US20070212850A1 (en) * | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7335609B2 (en) * | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
US6905940B2 (en) * | 2002-09-19 | 2005-06-14 | Applied Materials, Inc. | Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill |
US7456116B2 (en) * | 2002-09-19 | 2008-11-25 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7431967B2 (en) * | 2002-09-19 | 2008-10-07 | Applied Materials, Inc. | Limited thermal budget formation of PMD layers |
US6828211B2 (en) * | 2002-10-01 | 2004-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control |
US20040074516A1 (en) * | 2002-10-18 | 2004-04-22 | Hogle Richard A. | Sub-atmospheric supply of fluorine to semiconductor process chamber |
DE10259728B4 (de) * | 2002-12-19 | 2008-01-17 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer Grabenisolationsstruktur und Verfahren zum Steuern eines Grades an Kantenrundung einer Grabenisolationsstruktur in einem Halbleiterbauelement |
KR100454849B1 (ko) * | 2002-12-20 | 2004-11-03 | 아남반도체 주식회사 | 반도체 소자의 제조방법 |
JP4161745B2 (ja) * | 2003-03-06 | 2008-10-08 | 株式会社デンソー | 光学素子およびその製造方法 |
IL155137A0 (en) * | 2003-03-27 | 2003-10-31 | Yissum Res Dev Co | A method for generating plant diversity |
US7029591B2 (en) * | 2003-04-23 | 2006-04-18 | Lsi Logic Corporation | Planarization with reduced dishing |
US7528051B2 (en) * | 2004-05-14 | 2009-05-05 | Applied Materials, Inc. | Method of inducing stresses in the channel region of a transistor |
US7176105B2 (en) | 2004-06-01 | 2007-02-13 | Applied Materials, Inc. | Dielectric gap fill with oxide selectively deposited over silicon liner |
US7642171B2 (en) * | 2004-08-04 | 2010-01-05 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US20070212847A1 (en) * | 2004-08-04 | 2007-09-13 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US20060264054A1 (en) * | 2005-04-06 | 2006-11-23 | Gutsche Martin U | Method for etching a trench in a semiconductor substrate |
JP5319868B2 (ja) * | 2005-10-17 | 2013-10-16 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20070102399A1 (en) * | 2005-11-07 | 2007-05-10 | Tokyo Electron Limited | Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium |
US20070249128A1 (en) * | 2006-04-19 | 2007-10-25 | Junjung Kim | Ultraviolet (UV) Radiation Treatment Methods for Subatmospheric Chemical Vapor Deposition (SACVD) of Ozone-Tetraethoxysilane (O3-TEOS) |
KR100772275B1 (ko) * | 2006-05-24 | 2007-11-01 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20090184402A1 (en) * | 2008-01-22 | 2009-07-23 | United Microelectronics Corp. | Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner. |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
US8404583B2 (en) * | 2010-03-12 | 2013-03-26 | Applied Materials, Inc. | Conformality of oxide layers along sidewalls of deep vias |
US8796106B2 (en) * | 2010-03-30 | 2014-08-05 | Stmicroelectronics S.R.L. | Isolation trenches |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
CN103972146B (zh) * | 2013-01-30 | 2016-12-28 | 中芯国际集成电路制造(上海)有限公司 | 沟槽隔离结构的形成方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US10199388B2 (en) | 2015-08-27 | 2019-02-05 | Applied Mateerials, Inc. | VNAND tensile thick TEOS oxide |
KR102508142B1 (ko) * | 2015-10-13 | 2023-03-08 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
JP6677501B2 (ja) * | 2015-12-17 | 2020-04-08 | ナブテスコ株式会社 | 多重扉および多重扉開閉装置 |
CN114078689A (zh) * | 2020-08-20 | 2022-02-22 | 江苏鲁汶仪器有限公司 | 一种微米级沟槽填充方法 |
CN114784473B (zh) * | 2022-03-16 | 2024-06-21 | 上海交通大学 | 基于硅基光敏薄膜的双重折叠基片集成波导滤波巴伦 |
WO2024107573A1 (en) * | 2022-11-15 | 2024-05-23 | Lam Research Corporation | Inhibited atomic layer deposition for patterning applications |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS59193044A (ja) * | 1983-04-15 | 1984-11-01 | Matsushita Electric Ind Co Ltd | 半導体基板の製造方法 |
JPS6074637A (ja) * | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH0779127B2 (ja) * | 1989-12-27 | 1995-08-23 | 株式会社半導体プロセス研究所 | 半導体装置の製造方法 |
JPH0779127A (ja) * | 1991-06-27 | 1995-03-20 | Nippon Dempa Kogyo Co Ltd | 多重モード型圧電振動子 |
JP2897569B2 (ja) | 1991-12-30 | 1999-05-31 | ソニー株式会社 | レジストパターン形成時に用いる反射防止膜の条件決定方法と、レジストパターン形成方法 |
JP2953349B2 (ja) * | 1991-12-30 | 1999-09-27 | ソニー株式会社 | レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置 |
EP0582724A1 (de) * | 1992-08-04 | 1994-02-16 | Siemens Aktiengesellschaft | Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten |
CA2131668C (en) * | 1993-12-23 | 1999-03-02 | Carol Galli | Isolation structure using liquid phase oxide deposition |
US5447884A (en) * | 1994-06-29 | 1995-09-05 | International Business Machines Corporation | Shallow trench isolation with thin nitride liner |
EP0697723A3 (en) * | 1994-08-15 | 1997-04-16 | Ibm | Method of metallizing an insulating layer |
JPH0945687A (ja) | 1995-07-26 | 1997-02-14 | Ricoh Co Ltd | 基板表面の平坦化方法 |
DE19528746C1 (de) * | 1995-08-04 | 1996-10-31 | Siemens Ag | Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur |
JP3402022B2 (ja) * | 1995-11-07 | 2003-04-28 | 三菱電機株式会社 | 半導体装置の製造方法 |
KR0179554B1 (ko) * | 1995-11-30 | 1999-04-15 | 김주용 | 반도체 소자의 소자분리절연막 형성방법 |
US5968324A (en) * | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
US6009827A (en) * | 1995-12-06 | 2000-01-04 | Applied Materials, Inc. | Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films |
US5648175A (en) * | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US5741626A (en) * | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US6069055A (en) | 1996-07-12 | 2000-05-30 | Matsushita Electric Industrial Co., Ltd. | Fabricating method for semiconductor device |
US5843226A (en) * | 1996-07-16 | 1998-12-01 | Applied Materials, Inc. | Etch process for single crystal silicon |
US6562544B1 (en) * | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
US6114216A (en) * | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
TW309630B (en) * | 1996-11-23 | 1997-07-01 | Taiwan Semiconductor Mfg | Method of forming shallow trench isolation |
US5786262A (en) * | 1997-04-09 | 1998-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-planarized gapfilling for shallow trench isolation |
US5731241A (en) * | 1997-05-15 | 1998-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned sacrificial oxide for shallow trench isolation |
US5930644A (en) * | 1997-07-23 | 1999-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a shallow trench isolation using oxide slope etching |
US6121133A (en) * | 1997-08-22 | 2000-09-19 | Micron Technology, Inc. | Isolation using an antireflective coating |
US5851927A (en) * | 1997-08-29 | 1998-12-22 | Motorola, Inc. | Method of forming a semiconductor device by DUV resist patterning |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
KR20000013397A (ko) * | 1998-08-07 | 2000-03-06 | 윤종용 | 트렌치 격리 형성 방법 |
-
1998
- 1998-05-22 EP EP98401232A patent/EP0959496B1/en not_active Expired - Lifetime
- 1998-05-22 DE DE69835276T patent/DE69835276T2/de not_active Expired - Fee Related
-
1999
- 1999-05-10 US US09/701,065 patent/US6733955B1/en not_active Expired - Fee Related
- 1999-05-10 KR KR1020007013140A patent/KR100687367B1/ko not_active IP Right Cessation
- 1999-05-10 JP JP2000551427A patent/JP2002517089A/ja active Pending
- 1999-05-10 WO PCT/IB1999/000835 patent/WO1999062108A2/en active IP Right Grant
- 1999-05-10 KR KR1020067014383A patent/KR100692090B1/ko not_active IP Right Cessation
- 1999-07-01 TW TW088108479A patent/TW413885B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
EP0959496A2 (en) | 1999-11-24 |
EP0959496B1 (en) | 2006-07-19 |
KR20060090734A (ko) | 2006-08-14 |
JP2002517089A (ja) | 2002-06-11 |
WO1999062108A3 (en) | 2000-01-27 |
US6733955B1 (en) | 2004-05-11 |
KR20010043762A (ko) | 2001-05-25 |
EP0959496A3 (en) | 1999-12-15 |
DE69835276T2 (de) | 2007-07-12 |
KR100692090B1 (ko) | 2007-03-12 |
KR100687367B1 (ko) | 2007-02-26 |
DE69835276D1 (de) | 2006-08-31 |
WO1999062108A2 (en) | 1999-12-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW413885B (en) | Methods for forming self-planarized dielectric layer for shallow trench isolation | |
TWI512136B (zh) | 伴隨持續的電漿之pecvd多重步驟處理方法 | |
KR102430939B1 (ko) | 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성 | |
KR101329285B1 (ko) | 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도 | |
JP2002517089A5 (zh) | ||
KR101515082B1 (ko) | 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스 | |
US6703321B2 (en) | Low thermal budget solution for PMD application using sacvd layer | |
TWI442471B (zh) | 形成至少一介電層之方法與系統 | |
KR101115750B1 (ko) | 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스 | |
US20070087522A1 (en) | Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner | |
TW416100B (en) | Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system | |
TW385504B (en) | Method and apparatus for elimination of Teos/Ozone silicon oxide surface sensitivity | |
TW580752B (en) | Method of depositing a nitrogen-doped FSG layer | |
WO2007140376A2 (en) | A method for depositing and curing low-k films for gapfill and conformal film applications | |
KR101029286B1 (ko) | 조정 가능한 광학적 특성 및 에칭 특성을 갖는 물질을 증착하는 방법 및 장치 | |
US6875558B1 (en) | Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI) | |
KR20040030827A (ko) | 보로포스포실리케이트 유리 필름의 화학 기상 증착 방법 | |
EP1079426A1 (en) | Integration scheme using selfplanarized dielectric layer for shallow trench isolation (STI) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |