JP2004172389A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP2004172389A
JP2004172389A JP2002336669A JP2002336669A JP2004172389A JP 2004172389 A JP2004172389 A JP 2004172389A JP 2002336669 A JP2002336669 A JP 2002336669A JP 2002336669 A JP2002336669 A JP 2002336669A JP 2004172389 A JP2004172389 A JP 2004172389A
Authority
JP
Japan
Prior art keywords
gate electrode
semiconductor device
manufacturing
ions
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002336669A
Other languages
English (en)
Other versions
JP2004172389A5 (ja
Inventor
Hirokazu Sayama
弘和 佐山
Kazunobu Ota
和伸 太田
Shuichi Oda
秀一 尾田
Kohei Sugihara
浩平 杉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2002336669A priority Critical patent/JP2004172389A/ja
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to US10/620,379 priority patent/US6906393B2/en
Priority to TW092119657A priority patent/TWI260091B/zh
Priority to KR1020030053421A priority patent/KR100599907B1/ko
Publication of JP2004172389A publication Critical patent/JP2004172389A/ja
Priority to US11/127,093 priority patent/US7183204B2/en
Publication of JP2004172389A5 publication Critical patent/JP2004172389A5/ja
Priority to US11/671,216 priority patent/US7470618B2/en
Priority to US12/323,507 priority patent/US7960281B2/en
Priority to US13/103,558 priority patent/US8372747B2/en
Priority to US13/743,012 priority patent/US8586475B2/en
Priority to US14/038,981 priority patent/US8809186B2/en
Priority to US14/325,570 priority patent/US9209191B2/en
Priority to US14/933,079 priority patent/US9412867B2/en
Priority to US15/201,744 priority patent/US9614081B2/en
Priority to US15/384,000 priority patent/US9847417B2/en
Priority to US15/806,535 priority patent/US20180069119A1/en
Priority to US16/835,661 priority patent/US20200227557A1/en
Priority to US17/353,079 priority patent/US20210313467A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】所望のMOSトランジスタのみにチャネル領域に引っ張り応力を印加してキャリア移動度を向上させ、且つ、製造工程の複雑化を抑える。
【解決手段】シリコン基板10上にnMOSトランジスタのゲート絶縁膜13およびゲート電極14を非晶質シリコンで形成し、ゲート電極14をマスクとして例えばAsやSb等の比較的質量数が大きい(質量数70以上)n型ドーパントを注入するすることで、nMOSトランジスタのソースドレイン領域を形成する。それにより、ゲート電極14は非晶質化する。そして、ゲート電極14が再結晶化する温度(約550℃)以下の温度条件でゲート電極14を覆うようにシリコン酸化膜40を形成し、その後1000℃程度の加熱処理を行う。それにより、ゲート電極14内に強い圧縮応力が残留すると共に、その下のチャネル領域には強い引っ張り応力が印加され、当該nMOSトランジスタのキャリア移動度は向上する。
【選択図】 図10

Description

【0001】
【発明の属する技術分野】
本発明は、MOS(Metal Oxide Semiconductor)型電界効果トランジスタを有する半導体装置およびその製造方法に関するものである。
【0002】
【従来の技術】
MOS型電界効果トランジスタ(MOSトランジスタ)において、駆動電流であるドレイン電流を増加させることは当該MOSトランジスタの性能向上を図る方法の1つである。ドレイン電流を決定する因子は幾つかあるが、その1つにキャリア移動度がある。キャリア移動度は基板材質によりおおよそ決まってしまうためそれを変更するのは困難であるが、基板原子の格子間隔を変えることで、キャリアの散乱確率や有効質量が変わり、それによってキャリア移動度を変えることが可能であることが分かっている。
【0003】
SiGe上にSiを形成した基板では、SiGeの方が格子間隔が大きいために、その影響で上層のSiの格子間隔が広がる。このようにシリコンの格子間隔が広がった基板は「歪みシリコン(Strained Silicon)基板」と呼ばれている。
歪みシリコン基板によれば、通常のシリコン基板に比べチャネルの移動度が向上するため、それに形成されたMOSトランジスタのドレイン電流は増加する(例えば、非特許文献1、2)。
【0004】
しかし、歪みシリコン基板には、基板材料としてSiGeを用いることにより発生する問題が存在する。例えば、SiGeに起因する結晶欠陥の発生や表面ラフネスの悪化、SiGeの熱伝導性の悪さによる基板温度の上昇、SiGeとSiとの界面のバンド不連続面を介したpチャネル型MOSトランジスタにおける短チャネル効果の増大、十分に熱処理を加えることができないことによるプロセス的な問題(STI(Shallow Trench Isolation)プロセスへの非適応性や、十分に活性化アニールを行えないこと等)である。そのため、歪みシリコン基板を実際のLSIに適用するには、いまだ乗り越えるべき課題が山積している。
【0005】
また、SiGeを使用せず、シリコン基板に応力を加えることでMOSトランジスタのチャネル領域におけるシリコンの格子間隔を変える技術もある(例えば、特許文献1)。
【0006】
例えば、チャネル領域に引っ張り応力が発生した場合、nチャネル型MOSトランジスタ(nMOSトランジスタ)は駆動電流が増加するが、pチャネル型MOSトランジスタ(pMOSトランジスタ)は駆動電流が減少する。逆に、チャネル領域に圧縮応力が発生した場合、pMOSトランジスタは駆動電流が増加し、nMOSトランジスタは駆動電流が減少する。
【0007】
【非特許文献1】
T.ウェルザー(T. Welser)他、「歪みシリコン/弛緩シリコンゲルマニウム構造に形成されたNMOSおよびPMOSトランジスタ(NMOS and PMOS Transistor Fabricated in Strained Silicon/Relaxed Silicon−Germanium Structures)」,International Electron Device Meeting 1992,1992年,p.1000
【非特許文献2】
T.ミズノ(T. Mizuno)他、「SIMOX技術により形成されたSiGe−on−Insulator基板上の高性能な歪みSiのpMOSFET(High PerformanceStrained−Si p−MOSFETs on SiGe−on−Insulator Substrates Fabricated by SIMOX Technology)」,International Electron Device Meeting 1999,1999年,p.934
【特許文献1】
特開2002−93921号公報(第3−6頁、第1−19図)
【0008】
【発明が解決しようとする課題】
上記のように、MOSトランジスタの性能を向上させるためにSiGeを利用した歪みシリコン基板を用いるのにはいまだ課題が多いため、より簡単な方法が望まれている。
【0009】
一方、特許文献1によれば、ゲート電極に応力を発生させ、シリコン基板のチャネル領域にその応力を加えることで、歪みシリコン基板を使用せずにMOSトランジスタのチャネルの性能を向上させることができる。
【0010】
上述したように、チャネル領域に引っ張り応力が印加された場合、nMOSトランジスタは駆動電流が増加するが、逆にpMOSトランジスタは駆動電流が減少する。それに対し、チャネル領域に圧縮応力が印加された場合、pMOSトランジスタは駆動電流が増加し、逆にnMOSトランジスタは駆動電流が減少する。よって、少なくともnMOSトランジスタとpMOSトランジスタとで発生する応力が異なるようにする必要がある。
【0011】
そのため特許文献1では、ゲート電極の材料や成膜温度を、nMOSトランジスタとpMOSトランジスタとの間で互いに異ならす必要がある。その結果、nMOSトランジスタのゲート電極とpMOSトランジスタのゲート電極とを同一の工程で形成することができないため、製造工程が複雑化してしまう。
【0012】
本発明は以上のような課題を解決するためになされたものであって、所望のMOSトランジスタのチャネル領域のみに引っ張り応力を印加してキャリア移動度を向上させ、且つ、製造工程の複雑化を抑えることができる半導体装置およびその製造方法を提供することを目的とする。
【0013】
【課題を解決するための手段】
本発明に係る半導体装置は、シリコン基板上に形成されたポリシリコンのゲート電極を備え、前記ゲート電極は、内部応力として圧縮応力を有し、前記シリコン基板に引っ張り応力を印加しており、質量数70以上のイオンが注入されていることを特徴とする。
【0014】
また、本発明に係る半導体装置の製造方法は、(a)シリコン基板上に非単結晶シリコンのゲート電極を形成する工程と、(b)前記ゲート電極に質量数70以上のイオンを注入する工程と、(c)前記質量数70以上のイオンが注入された前記ゲート電極を覆うように、550℃以下の成膜温度で所定の膜を形成する工程と、(d)前記所定の膜で前記ゲート電極を覆った状態で、550℃以上の温度で熱処理を行う工程とを備えることを特徴とする。
【0015】
【発明の実施の形態】
<実施の形態1>
本発明者は、大量にイオンが注入された非晶質シリコンに熱処理を加えた場合、当該熱処理によりシリコンが再結晶化して多結晶シリコン(ポリシリコン)になる際、その体積が膨張することを見出した。また、その膨張量は注入されたイオンの質量に大きく依存し、質量が大きい程(特に質量数70以上)大きく膨張することが分かった。また、注入されたイオンのドーズ量が大きい程、大きく膨張することも確認された。
【0016】
図1〜図9は、実施の形態1に係る半導体装置の製造工程を示す図である。なお、これらの図において、図中左側はnMOSトランジスタが形成される領域(以下「nMOS領域」)であり、図中右側はpMOSトランジスタが形成される領域(以下「pMOS領域」)であると仮定する。
【0017】
まず、シリコン基板10上に、素子分離膜11、pウェル12、nウェル22を常法により形成する。その後、それらの上にゲート絶縁膜材料としてのシリコン酸化膜31を形成する。次いでシリコン酸化膜31上に、ゲート電極材料として非単結晶(非晶質、多結晶を含む)シリコン膜32を形成する(図1)。
【0018】
次に、フォトリソグラフィー技術を用いて非単結晶シリコン膜32をパターンニングすることで、pウェル12上にゲート電極14を、nウェル22上にゲート電極24をそれぞれ形成する(図2)。
【0019】
その後、フォトリソグラフィー技術を用いてpMOS領域を開口したレジストマスク33を形成する。そして、当該レジストマスク33およびゲート電極24をマスクとして、Bイオン等比較的質量数が小さいp型ドーパントを注入し、nウェル22内の比較的浅い位置にp型ソースドレインエクステンション層26aを形成する(図3)。このとき、ゲート電極24にもイオンが注入されるので、ゲート電極24を形成する非単結晶シリコンの一部は非晶質化するが、当該イオンの質量数は比較的小さいため非晶質化の程度は小さい。
【0020】
次いで、フォトリソグラフィー技術を用いてnMOS領域を開口したレジストマスク34を形成する。そして、当該レジストマスク34並びにゲート電極14をマスクとして、AsイオンやSbイオン等、質量数が70以上の比較的質量数が大きいn型ドーパントを注入し、pウェル12内の比較的浅い位置にn型ソースドレインエクステンション層16aを形成する(図4)。このとき、ゲート電極14にも比較的質量数が大きいイオンが注入されるので、ゲート電極14を形成する非単結晶シリコンの一部は非晶質化する。
【0021】
さらに、ゲート電極14,24それぞれの側面にサイドウォール15,25を形成すると共に、シリコン酸化膜31をエッチングしてゲート電極14,24それぞれの下にゲート絶縁膜13,23を形成する(図5)。このとき、サイドウォール15,25の成膜温度は、シリコンの再結晶化が開始される温度(約550℃)以下とする。
【0022】
続いて再度、pMOS領域を開口したレジストマスク35を形成する。そして、当該レジストマスク35、ゲート電極24並びにサイドウォール25をマスクとして、Bイオン等比較的質量数が小さいp型ドーパントをドーズ量4×1015/cm以上注入し、nウェル22内の比較的深い位置にp型ソースドレイン拡散層26bを形成する(図6)。それにより、p型ソースドレインエクステンション層26aおよびp型ソースドレイン拡散層26bとからなるp型ソースドレイン領域26が形成される。このとき、ゲート電極24にもイオンが注入され、ゲート電極24を形成する非単結晶シリコンの一部は非晶質化するが、ここでも当該イオンの質量数は比較的小さいためその非晶質化の程度は小さい。
【0023】
次いで、nMOSトランジスタを形成する領域を開口したレジストマスク36を形成する。そして、当該レジストマスク36、ゲート電極14並びにサイドウォール15をマスクとして、AsイオンやSbイオン等の比較的質量数が大きいn型ドーパントを注入し、pウェル12内の比較的深い位置にn型ソースドレイン拡散層16bを形成する(図7)。それにより、n型ソースドレインエクステンション層16aおよびn型ソースドレイン拡散層16bとからなるn型ソースドレイン領域16が形成される。ここでも、ゲート電極14には比較的質量数の大きいイオンが注入されるので、ゲート電極14を形成する非単結晶シリコンの非晶質化はさらに進行する。
【0024】
その後、シリコン酸化膜40を、ゲート電極14,24およびサイドウォール15,25上に、シリコンの再結晶化が開始される温度(約550℃)以下の成膜温度で形成する(図8)。
【0025】
そして、ゲート電極14,24およびサイドウォール15,25をシリコン酸化膜40で覆った状態で、950〜1100℃程度の熱処理(例えばRTA(Rapid Thermal Annealing)で0〜30秒(0秒はスパイクアニール)の熱処理)を行うことによって、イオン照射による損傷を修復すると共にドーパントの活性化を行う。このとき同時に、非晶質シリコンの再結晶化が起こり、ゲート電極14,24はポリシリコンとなる。
【0026】
このとき、nMOSトランジスタのゲート電極14は、AsイオンやSbイオン等の比較的質量数が大きい(質量数70以上)イオンが大量に注入されているために膨張しようとする。しかし、ゲート電極14およびサイドウォール15の表面は、シリコン酸化膜40によって覆われているため、殆ど膨張することができない。そのため、その膨張しようとする力によりゲート電極14に内部応力として強い圧縮応力が残留する。それに伴い、ゲート電極14の下方のチャネル領域には、引っ張り応力が加わることになる。
【0027】
一方、pMOSトランジスタのゲート電極24は、比較的質量数の小さいイオンのみが注入されているため殆ど膨張しようとせず、ゲート電極24内には殆ど応力は残留しない。よって、ゲート電極24の下方のチャネル領域には殆ど応力が加わらない。
【0028】
そして、例えばこれらのゲート電極14,24およびソースドレイン領域16,26上部をシリサイド化する場合は、シリコン酸化膜40を除去し、Co等の金属膜をスパッタ法により全面に形成し、350〜550℃の比較的低温の熱処理を加えることで、当該金属膜とゲート電極14,24およびソースドレイン領域16,26とを反応させる。そして、素子分離膜11およびサイドウォール15,25上に未反応で残った金属膜を選択的に除去する。続いて、さらに高温の熱処理を加えることで、ゲート電極14,24上およびソースドレイン領域16,26上部に、それぞれシリサイド層14a,24a,16c,26cが形成される(図9)。
【0029】
その後、所定の層間絶縁膜、コンタクトおよび配線等を形成して半導体装置の製造が完了する。
【0030】
図10(a)は、本実施の形態に係るnMOSトランジスタのチャネル長方向の断面における応力分布図であり、図10(b)は従来のnMOSトランジスタ(即ち、ゲート電極14に比較的質量数の小さいイオンのみが注入されたnMOSトランジスタ)のチャネル長方向の断面における応力分布図である。本実施の形態に係るnMOSトランジスタにおいて、ゲート電極14には内部に強い圧縮応力が残留し、チャネル領域内には引っ張り応力が印加されているのが分かる。
よって、nMOSトランジスタのチャネル領域のシリコンの格子間隔は広がるためキャリア移動度が向上し、MOSトランジスタの性能向上に寄与できる。
【0031】
一方、pMOSトランジスタのゲート電極24内には殆ど応力は残留しないため、ゲート電極24の下方のチャネル領域には殆ど応力が加わらない。上述したように、引っ張り応力がpMOSトランジスタのチャネル領域に印加されることは、当該pMOSトランジスタのドレイン電流は減少するため有効ではない。つまり、pMOSトランジスタおよびnMOSトランジスタの両方を有するデバイスにおいては、引っ張り応力はnMOSトランジスタのチャネル領域にのみ印加されることが望ましい。本実施の形態によれば、pMOSトランジスタのチャネル領域には引っ張り応力は加わらないので、pMOSトランジスタのドレイン電流の低下を抑えつつ、nMOSトランジスタの特性向上を図ることができる。
【0032】
また、本実施の形態によれば、ゲート電極14に注入するイオン(n型ソースドレイン領域16を形成するためのイオン)としては比較的質量数の大きいものを用い、ゲート電極24に注入するイオン(p型ソースドレイン領域26を形成するためのイオン)としては比較的質量数の小さいものを用いることにより、nMOSトランジスタのチャネル領域にのみ強い引っ張り応力を印加することができる。つまり、ゲート電極14とゲート電極24とを同一の工程で形成しても、その後に注入するn型ドーパントとp型ドーパントとの間で質量数の差をつけることによって、nMOSトランジスタとpMOSトランジスタとでチャネル領域に印加される応力を互いに異ならしめることができる。即ち、nMOSトランジスタとpMOSトランジスタとを互いに異なる工程で形成する必要はなく、製造工程の複雑化は抑えられている。
【0033】
上述したように、大量にイオンが注入された非晶質シリコンが再結晶化してポリシリコンに変化する際、その注入されたイオンの質量が大きい程、大きく膨張しようとする。従って、ゲート電極14に注入するイオンのドーズ量および質量数が大きい程、ゲート電極14内に残留する圧縮応力は大きくなる。そして、当該ゲート電極14の下方のチャネル領域に印加される引っ張り応力は大きくなり、本実施の形態の効果は向上する。同様に、ゲート電極14内に残留する圧縮応力は、ゲート電極14に注入されるイオンのドーズ量が大きい程大きくなる。上記の例ではn型ソースドレイン拡散層16bの形成に、ドーズ量4×1015/cm以上のイオン注入を行ったが、これはn型ソースドレイン拡散層16bを形成するための標準的なドーズ量であり、本発明の適用をこれに限定するものではない。もちろん4×1015/cm程度のドーズ量でも充分に本発明の効果を得ることができるが、さらに多くのドーズ量を注入すれば、本発明の効果はさらに大きくなる。但し、ゲート電極14の圧縮応力自体は、4×1015/cmよりも小さいドーズ量の注入であっても発生する。
【0034】
また、図8で示した工程においては、ゲート電極14,24上に形成する所定の膜として、シリコン酸化膜40を形成したが、シリコンの再結晶化が開始される温度(約550℃)以下の条件で形成可能な膜であれば他の材料の膜であってもよい。ゲート電極14に圧縮応力を残留させる目的であれば、必ずしも絶縁膜である必要はなく、例えば金属やシリサイド並びにそれらの積層膜などであってもよい。但し、その場合は熱処理によってゲート電極14に圧縮応力を発生させた後、一旦その膜を除去し、再度シリコン酸化膜等の絶縁膜を形成する。
【0035】
また、上記所定の膜40がその熱処理により収縮する特性を有している場合も(シリコン酸化膜はその特性を有している)、ゲート電極14に残留する圧縮応力は大きくなる。さらに、ゲート電極14に残留する圧縮応力は、非晶質シリコンを再結晶化させる熱処理の温度が高い程、また所定の膜40の厚さが厚い程大きくなり、キャリア移動度向上の効果が大きくなることが本発明者によって確認された。
【0036】
なお、本実施の形態においてはMOSトランジスタを形成する基板を、通常のシリコン基板として説明したが、上述した「歪みシリコン基板」であってもよい。その場合、nMOSトランジスタのチャネル領域におけるチャネル移動度をさらに向上させることができることは明らかである。
【0037】
<実施の形態2>
実施の形態1で説明したように、ゲート電極24には比較的質量数の小さいイオンが注入されているので、その上にシリコン酸化膜40があったとしても、殆ど応力は残留しない。しかし、注入されるイオンが大量になる場合は、質量数の小さいイオンを注入したとしても、圧縮応力が残留してしまう可能性がある。
【0038】
以下、実施の形態2に係る半導体装置の製造工程を説明する。まず、実施の形態1で図1〜図8で示したものと同様の工程で、nMOSトランジスタおよびpMOSトランジスタを形成し、その上にシリコン酸化膜40を形成する。そして、図11に示すようにpMOS領域上のシリコン酸化膜40を除去し、開口する。
【0039】
その後、950〜1100℃程度の熱処理を行うことによって、イオン照射による損傷を修復すると共にドーパントの活性化を行う。このとき同時に、非晶質シリコンの再結晶化が起こり、ゲート電極14,24はポリシリコンとなる。
【0040】
このとき、nMOSトランジスタのゲート電極14およびサイドウォール15の表面はシリコン酸化膜40によって覆われているため、膨張しようとする力によりゲート電極14に内部応力として強い圧縮応力が残留する。それに伴い、ゲート電極14の下方のチャネル領域には、引っ張り応力が加わることになる。
【0041】
一方、pMOSトランジスタのゲート電極24およびサイドウォール25の表面にはシリコン酸化膜40に覆われておらず露出しているため、仮にゲート電極24が多少膨張したとしても、ゲート電極24内には殆ど応力は残留しない。つまり、実施の形態1よりもさらにpMOSトランジスタのチャネル領域に引っ張り応力が印加されることが抑制される。
【0042】
<実施の形態3>
実施の形態1および実施の形態2では、nMOSトランジスタのゲート電極14を膨張させるためのイオンの注入に、n型ソースドレイン拡散層16bを形成するためのイオン注入を利用した。しかし、ゲート電極14にイオンを注入するために、n型ソースドレイン拡散層16bを形成するためのイオン注入工程とは別のイオン注入を行ってもよい。
【0043】
以下、実施の形態3に係る半導体装置の製造工程を説明する。まず、実施の形態1で図1〜図6で示したものと同様の工程で、nMOSトランジスタおよびpMOSトランジスタを形成する。そして、図12に示すように、nMOS領域上を開口したレジストマスク36を形成し、nMOS領域にn型ソースドレイン拡散層16bの形成に先立って、電気的に不活性且つ比較的質量数が大きい(質量数70以上)例えばGeイオンを全面に4×1015/cm以上注入する。このとき、ゲート電極14だけでなくnMOSトランジスタのソースドレイン領域にもイオンが注入される。しかし、本工程で注入されるイオンは電気的に不活性であるので、ドーパントとして働くものではない。
【0044】
そして、図7に示すようにn型ドーパントを注入し、n型ソースドレイン拡散層16bを形成する。このときのn型ドーパントは例えばPイオン等の比較的質量数の小さいイオンでもよい。
【0045】
その後は実施の形態1と同様に、図8のようにゲート電極14,24、サイドウォール15,25上にシリコン酸化膜40を形成し、950〜1100℃程度の熱処理を行う。それにより、非晶質シリコンの再結晶化が起こり、ゲート電極14,24はポリシリコンとなる。
【0046】
このとき、nMOSトランジスタのゲート電極14には、上記工程により電気的に不活性且つ比較的質量数の大きいイオンが大量に注入されており、ゲート電極14およびサイドウォール15の表面はシリコン酸化膜40によって覆われているため、膨張しようとする力によりゲート電極14に内部応力として圧縮応力が残留する。それに伴い、ゲート電極14の下方のチャネル領域には、引っ張り応力が加わることになる。
【0047】
一方、pMOSトランジスタのゲート電極24は、比較的質量数の小さいイオンのみが注入されているため、殆ど応力は残留しない。よって、ゲート電極24の下方のチャネル領域には殆ど応力が加わらない。
【0048】
<実施の形態4>
一般に、強い応力を加えたシリコン基板には結晶欠陥が発生しやすく、結晶欠陥を有するシリコン基板上に形成されたトランジスタにおいては、接合リーク電流やゲート電流、サブスレッショルドリーク電流等の漏れ電流が増加してしまう。つまり、本発明に係るnMOSトランジスタにおいては、チャネル領域に印加された引っ張り応力により結晶欠陥が発生し、漏れ電流が大きくなる可能性が従来のものよりも高くなることが考えられる。
【0049】
例えば、一般的な半導体装置のロジック部などは高速な動作・応答を主目的としており、多少の漏れ電流があっても高速動作が優先されるが、一方、例えばSRAMやDRAMなどのメモリ部やモバイル通信装置用LSIのロジック部においては、漏れ電流による僅かな電力消費の増加も抑える必要がある。従って、本発明に係るMOSトランジスタは、高速動作が優先される回路部分(以下「高速回路部」)には有効であるが、電力消費を抑えたい回路部分(以下「低消費電力回路部」)には不向きであるとも言える。言い換えれば、半導体装置の高速回路部にのみ本発明に係るMOSトランジスタを適用し、低消費電力回路部には従来のMOSトランジスタを適用することが望ましい。
【0050】
図13〜図16は、実施の形態4に係る半導体装置の製造工程を示す図である。これらの図においては、図13に示しているように、左側は高速動作が優先される高速回路部、右側は電力消費を抑えたい低消費電力回路部である。また、高速回路部および低消費電力回路部のそれぞれが、nMOSトランジスタが形成されるnMOS領域並びにpMOSトランジスタが形成されるpMOS領域を有していると仮定する。以下、これらの図を用いて本実施の形態に係る半導体装置の製造工程を説明する。
【0051】
まず、シリコン基板10に上に、素子分離膜11、pウェル12,52、nウェル22,62を常法により形成する。その後、実施の形態1において図1〜図5で示したものと同様の工程で、高速回路部のnMOS領域にゲート絶縁膜13、ゲート電極14、サイドウォール15およびn型ソースドレインエクステンション層16aを、高速回路部のpMOS領域にゲート絶縁膜23、ゲート電極24、サイドウォール25およびp型ソースドレインエクステンション層26aを、低消費電力回路部のnMOS領域にゲート絶縁膜53、ゲート電極54、サイドウォール55およびn型ソースドレインエクステンション層56aを、低消費電力回路部のpMOS領域にゲート絶縁膜63、ゲート電極64、サイドウォール65およびp型ソースドレインエクステンション層66aを、それぞれ形成する。
【0052】
続いて、高速回路部および低消費電力回路部のpMOS領域を開口したレジストマスク71を形成する。そして、当該レジストマスク71、ゲート電極24,64、並びにサイドウォール25,65をマスクとして、Bイオン等比較的質量数が小さいp型ドーパントをドーズ量4×1015/cm以上注入し、p型ソースドレイン拡散層26bを形成する(図13)。それにより、p型ソースドレインエクステンション層26aおよびp型ソースドレイン拡散層26bとからなるp型ソースドレイン領域26、並びに、p型ソースドレインエクステンション層66aおよびp型ソースドレイン拡散層66bとからなるp型ソースドレイン領域66が形成される。このとき、ゲート電極24,64にも当該イオンが注入される。
【0053】
次いで、高速回路部および低消費電力回路部のnMOS領域を開口したレジストマスク72を形成する。そして、当該レジストマスク72、ゲート電極14,54並びにサイドウォール15,55をマスクとして、AsイオンやSbイオン等の比較的質量数が大きいn型ドーパントを注入し、n型ソースドレイン拡散層16bを形成する(図14)。それにより、n型ソースドレインエクステンション層16aおよびn型ソースドレイン拡散層16bとからなるn型ソースドレイン領域16、並びに、n型ソースドレインエクステンション層56aおよびn型ソースドレイン拡散層56bとからなるn型ソースドレイン領域56が形成される。このとき、ゲート電極14,54にも当該イオンが注入される。
【0054】
その後、高速回路部上を覆い低消費電力回路部上を開口したシリコン酸化膜80を、高速回路部のゲート電極14,24およびサイドウォール15,25上に、シリコンの再結晶化が開始される温度(約550℃)以下の条件で形成する(図15)。
【0055】
そして、ゲート電極14,24およびサイドウォール15,25をシリコン酸化膜80で覆った状態で、950〜1100℃程度の熱処理を行う。このとき非晶質シリコンの再結晶化が起こり、ゲート電極14,24,54,64はポリシリコンとなる。
【0056】
ここで、高速回路部のnMOSトランジスタのゲート電極14にはAsイオンやSbイオン等の比較的質量数が大きい(質量数70以上)イオンが大量に注入され、且つ、ゲート電極14およびサイドウォール15の表面はシリコン酸化膜80によって覆われているため、ゲート電極14のに内部応力として強い圧縮応力が残留する。それに伴い、ゲート電極14の下方のチャネル領域には、引っ張り応力が加わることになる。
【0057】
一方、低消費電力回路部のnMOSトランジスタのゲート電極54にはAsイオンやSbイオン等の比較的質量数が大きいイオンが大量に注入されているが、ゲート電極54およびサイドウォール55の表面は露出しているため、ゲート電極14の内部には殆ど応力が残留しない。よって、ゲート電極54の下方のチャネル領域には、殆ど応力は加わらない。
【0058】
また、高速回路部並びに低消費電力回路部のpMOSトランジスタのゲート電極24,64には、比較的質量数の小さいイオンのみが注入されているため、その内部に殆ど応力は残留しない。よって、ゲート電極24,64の下方のチャネル領域には殆ど応力が加わらない。
【0059】
以上のように、本実施の形態に係る製造工程よれば高速回路部のnMOSトランジスタのチャネル領域のみに強い引っ張り応力を印加して性能向上を図ることができる。それと共に、高速回路部のpMOSトランジスタおよび低消費電力回路部のpMOS並びにnMOSトランジスタのチャネル領域には殆ど応力は印加されないため、結晶欠陥の発生による漏れ電流の増大を抑えることができる。
【0060】
そして、例えば所定のMOSトランジスタのゲート電極およびソースドレイン領域をシリサイド化する場合は、Co等の金属膜をスパッタ法により形成し、350〜550℃の比較的低温の熱処理を加えることで、金属膜とシリコンとを反応させる。そして、絶縁膜上に未反応で残った金属膜を選択的に除去する。続いて、さらに高温の熱処理を加える。
【0061】
例えば、モバイル通信装置用LSIにおいては、低消費電力回路部のMOSトランジスタのゲート電極およびソースドレイン領域をシリサイド化することが多い。その場合は、上記工程において形成した、高速回路部上を覆い低消費電力回路部上を開口したシリコン酸化膜80をそのままマスクとして利用することもできる。それにより、低消費電力回路部のゲート電極54,64上部およびソースドレイン領域56,66上部のそれぞれにシリサイド層54a,64a,56c,66cが形成される(図16)。
【0062】
本実施の形態によれば非晶質シリコンを再結晶化させる際に、シリコン酸化膜80の低消費電力回路部上を開口しておくことで、高速回路部のnMOSトランジスタのチャネル領域にのみ強い引っ張り応力を印加することができる。つまり、ゲート電極14,24,54,64を全て同一の工程で形成しても、所定のnMOSトランジスタのチャネル領域のみに引っ張り応力を印加することができる。よって、製造工程の複雑化は抑えられている。
【0063】
<実施の形態5>
本実施の形態では実施の形態4と同様に、半導体装置の高速部にのみ本発明に係るMOSトランジスタを適用し、低消費電力部には従来のMOSトランジスタを適用するための別の手法を説明する。
【0064】
図17〜図20は、実施の形態5に係る半導体装置の製造工程を示す図である。これらの図においても、図13と同様に、左側は高速動作が優先される高速回路部、右側は電力消費を抑えたい低消費電力回路部であり、そのそれぞれがnMOS領域並びにpMOS領域を有している。
【0065】
以下、これらの図を用いて本実施の形態に係る半導体装置の製造工程を説明する。まず、実施の形態4と同様に、シリコン基板10に上に、素子分離膜11、pウェル12,52、nウェル22,62を形成し、高速回路部のnMOS領域にゲート絶縁膜13、ゲート電極14、サイドウォール15およびn型ソースドレインエクステンション層16aを、高速回路部のpMOS領域にゲート絶縁膜23、ゲート電極24、サイドウォール25およびp型ソースドレインエクステンション層26aを、低消費電力回路部のnMOS領域にゲート絶縁膜53、ゲート電極54、サイドウォール55およびn型ソースドレインエクステンション層56aを、低消費電力回路部のpMOS領域にゲート絶縁膜63、ゲート電極64、サイドウォール65およびp型ソースドレインエクステンション層66aを、それぞれ形成する。但し、n型ソースドレインエクステンション層16aおよびn型ソースドレインエクステンション層56aの形成の際にはPイオン等比較的質量数が軽いn型ドーパントを注入する。
【0066】
続いて、高速回路部および低消費電力回路部のpMOS領域を開口したレジストマスク71を形成する。そして、当該レジストマスク71、ゲート電極24,64、並びにサイドウォール25,65をマスクとして、Bイオン等比較的質量数が小さいp型ドーパントをドーズ量4×1015/cm以上注入し、p型ソースドレイン拡散層26bを形成する(図13)。それにより、p型ソースドレインエクステンション層26aおよびp型ソースドレイン拡散層26bとからなるp型ソースドレイン領域26、並びに、p型ソースドレインエクステンション層66aおよびp型ソースドレイン拡散層66bとからなるp型ソースドレイン領域66が形成される。このとき、ゲート電極24,64にも当該イオンが注入される。
【0067】
次いで、低消費電力回路部のnMOS領域を開口したレジストマスク73を形成する。そして、当該レジストマスク73、ゲート電極54並びにサイドウォール55をマスクとして、pイオン等の比較的質量数が小さいn型ドーパントを注入し、n型ソースドレイン拡散層56bを形成する(図17)。それにより、n型ソースドレインエクステンション層56aおよびn型ソースドレイン拡散層56bとからなるn型ソースドレイン領域56が形成される。このとき、ゲート電極54にも当該イオンが注入される。
【0068】
その後、高速回路部のnMOS領域を開口したレジストマスク74を形成する。そして、当該レジストマスク74、ゲート電極14並びにサイドウォール15をマスクとして、AsイオンやSbイオン等の比較的質量数が大きいn型ドーパントを注入し、n型ソースドレイン拡散層16bを形成する(図18)。それにより、n型ソースドレインエクステンション層16aおよびn型ソースドレイン拡散層16bとからなるn型ソースドレイン領域16が形成される。このとき、ゲート電極14にも当該イオンが注入される。
【0069】
そして、高速回路部並びに低消費電力回路部上に、シリコン酸化膜81をゲート電極14,24,54,64およびサイドウォール15,25,55,65を覆うように、シリコンの再結晶化が開始される温度(約550℃)以下の条件で形成する(図19)。
【0070】
そして、ゲート電極14,24,54,64およびサイドウォール15,25,55,65をシリコン酸化膜81で覆った状態で、950〜1100℃程度の熱処理を行う。このとき非晶質シリコンの再結晶化が起こり、ゲート電極14,24,54,64はポリシリコンとなる。
【0071】
ここで、高速回路部のnMOSトランジスタのゲート電極14にはAsイオンやSbイオン等の比較的質量数が大きい(質量数70以上)イオンが大量に注入され、且つ、ゲート電極14およびサイドウォール15の表面はシリコン酸化膜80によって覆われているため、ゲート電極14のに内部応力として強い圧縮応力が残留する。それに伴い、ゲート電極14の下方のチャネル領域には、引っ張り応力が加わることになる。
【0072】
一方、低消費電力回路部のnMOSトランジスタのゲート電極54には比較的質量数の小さいイオンのみが注入されているため、その内部に殆ど応力は残留しない。よって、ゲート電極54の下方のチャネル領域には殆ど応力が加わらない。
【0073】
また、高速回路部並びに低消費電力回路部のpMOSトランジスタのゲート電極24,64にも、比較的質量数の小さいイオンのみが注入されているため、その内部に殆ど応力は残留しない。よって、ゲート電極24,64の下方のチャネル領域には殆ど応力が加わらない。
【0074】
以上のように、本実施の形態に係る製造工程よれば高速回路部のnMOSトランジスタのチャネル領域のみに強い引っ張り応力を印加して性能向上を図ることができる。それと共に、高速回路部のpMOSトランジスタおよび低消費電力回路部のpMOS並びにnMOSトランジスタのチャネル領域には殆ど応力は印加されないため、結晶欠陥の発生による漏れ電流の増大を抑えることができる。
【0075】
例えば、モバイル通信装置用LSIなど、低消費電力回路部のMOSトランジスタのゲート電極およびソースドレイン領域をシリサイド化する場合は、シリコン酸化膜81の低消費電力回路部上を開口し、それをマスクとしてサリサイド化処理を行う。それにより、低消費電力回路部のゲート電極54,64上およびソースドレイン領域56,66上のそれぞれにシリサイド層54a,64a,56c,66cが形成される(図20)。
【0076】
本実施の形態によれば高速回路部のn型ドーパントと低消費電力回路部のn型ドーパントとの間で質量数の差をつけることによって、高速回路部のnMOSトランジスタのチャネル領域にのみ強い引っ張り応力を印加することができる。つまり、ゲート電極14,24,54,64を全て同一の工程で形成しても、所定のnMOSトランジスタのチャネル領域のみに引っ張り応力を印加することができる。
【0077】
<実施の形態6>
上述したように、本発明に係るnMOSトランジスタにおいては、結晶欠陥が発生しやすく、MOSトランジスタにおける接合リーク電流やゲート電流、サブスレッショルドリーク電流等の漏れ電流が増加してしまう。漏れ電流が大きくなる可能性が従来のものよりも高くなるという問題がある。そこで、本実施の形態においては、その問題を解決するための手法を示す。
【0078】
即ち、例えば実施の形態1において図2に示した工程で非単結晶シリコンのゲート電極14を形成した後、当該ゲート電極14,24およびシリコン基板10の表面を酸化する(このとき、シリコン基板10上にはシリコン酸化膜31が残っているため、正確には酸化膜を再び酸化することになる)。それにより、図21のようにゲート電極14,24の表面にはシリコン酸化膜90が形成されるが、このときゲート電極14,24のエッジ部にシリコン酸化膜のバーズビーク90aが形成される。それ以降は、図3〜図9で示した工程と同様であるので説明は省略する。
【0079】
バーズビーク90aが形成されることによりゲート電極14,24のエッジ部の絶縁膜厚が厚くなるため、トンネル電流が抑制されたりゲート電界が緩和されるため、サブスレッショルドリーク電流を小さくでき、MOSトランジスタの漏れ電流の増加が抑えられる。よって、特にチャネル領域に引っ張り応力が印加された本発明に係るnMOSトランジスタのように、漏れ電流の増加が懸念されるMOSトランジスタに対して有効である。
【0080】
また、その後の工程における加熱処理の際に、ゲート電極14,24をシリコン酸化膜90で被覆したまま行うことで、非シリサイド領域のポリシリコンゲート電極の抵抗値のばらつきが抑えられるという効果も得ることができる。
【0081】
【発明の効果】
本発明に係る半導体装置によれば、シリコン基板における所定のゲート電極の下方に引っ張り応力を印加することができる。よって、シリコン基板のその部分の格子間隔は広がる。例えば、当該ゲート電極をnMOSトランジスタに適用させると、キャリア移動度が向上し当該nMOSトランジスタの性能向上に寄与できる。
【0082】
また、本発明に係る半導体装置の製造方法によれば、所定のゲート電極に内部応力として圧縮応力を残留させ、その下のシリコン基板に引っ張り応力を印加することができる。よって、シリコン基板のその部分の格子間隔は広がる。例えば、当該ゲート電極をnMOSトランジスタに適用させると、キャリア移動度が向上し当該nMOSトランジスタの性能向上に寄与できる。さらに、複数のゲート電極を同一の工程で形成しても、ゲート電極の種類に応じて注入するイオンの質量に差をつけたり、あるいは所定のゲート電極上の所定の膜を熱処理前に除去することによって、容易に所望のゲート電極のみに強い圧縮応力を発生させることができ、製造工程の複雑化は抑えられる。
【図面の簡単な説明】
【図1】実施の形態1に係る半導体装置の製造工程を示す図である。
【図2】実施の形態1に係る半導体装置の製造工程を示す図である。
【図3】実施の形態1に係る半導体装置の製造工程を示す図である。
【図4】実施の形態1に係る半導体装置の製造工程を示す図である。
【図5】実施の形態1に係る半導体装置の製造工程を示す図である。
【図6】実施の形態1に係る半導体装置の製造工程を示す図である。
【図7】実施の形態1に係る半導体装置の製造工程を示す図である。
【図8】実施の形態1に係る半導体装置の製造工程を示す図である。
【図9】実施の形態1に係る半導体装置の製造工程を示す図である。
【図10】実施の形態1に係る半導体装置におけるpMOSトランジスタおよび従来のpMOSトランジスタのチャネル長方向の断面における応力分布図である。
【図11】実施の形態2に係る半導体装置の製造工程を示す図である。
【図12】実施の形態3に係る半導体装置の製造工程を示す図である。
【図13】実施の形態4に係る半導体装置の製造工程を示す図である。
【図14】実施の形態4に係る半導体装置の製造工程を示す図である。
【図15】実施の形態4に係る半導体装置の製造工程を示す図である。
【図16】実施の形態4に係る半導体装置の製造工程を示す図である。
【図17】実施の形態5に係る半導体装置の製造工程を示す図である。
【図18】実施の形態5に係る半導体装置の製造工程を示す図である。
【図19】実施の形態5に係る半導体装置の製造工程を示す図である。
【図20】実施の形態5に係る半導体装置の製造工程を示す図である。
【図21】実施の形態6に係る半導体装置の製造工程を示す図である。
【符号の説明】
10 シリコン基板、11 素子分離膜、12,52 pウェル、13,23,53,63 ゲート絶縁膜、14,24,54,64 ゲート電極、15,25,55,65 サイドウォール、16,56 n型ソースドレイン領域、16a,56a n型ソースドレインエクステンション層、16b,56b n型ソースドレイン拡散層、22,62 nウェル、26,66 p型ソースドレイン領域、26a,66a p型ソースドレインエクステンション層、26b,66b p型ソースドレイン拡散層、31 シリコン酸化膜、32 非単結晶シリコン膜、40,80,81 シリコン酸化膜、90 シリコン酸化膜、90a バーズビーク。

Claims (18)

  1. シリコン基板上に形成されたポリシリコンのゲート電極を備え、
    前記ゲート電極は、内部応力として圧縮応力を有し、前記シリコン基板に引っ張り応力を印加しており、質量数70以上のイオンが注入されている
    ことを特徴とする半導体装置。
  2. 請求項1に記載の半導体装置であって、
    前記ゲート電極は、nチャネル型MOSトランジスタのゲート電極である
    ことを特徴とする半導体装置。
  3. 請求項2に記載の半導体装置であって、
    前記質量数70以上のイオンは、前記nチャネル型MOSトランジスタのソースドレイン領域を形成するためのイオンである
    ことを特徴とする半導体装置。
  4. 請求項1または請求項2に記載の半導体装置であって、
    前記質量数70以上のイオンは、電気的に不活性なイオンである
    ことを特徴とする半導体装置。
  5. 請求項1から請求項4のいずれかに記載の半導体装置であって、さらに、
    前記ゲート電極は、その下側エッジ部にシリコン酸化膜のバーズビークを有する
    ことを特徴とする半導体装置。
  6. 請求項1または請求項5に記載の半導体装置であって、
    前記シリコン基板は、歪みシリコン(Strained Silicon)基板である
    ことを特徴とする半導体装置。
  7. (a)シリコン基板上に非単結晶シリコンのゲート電極を形成する工程と、
    (b)前記ゲート電極に質量数70以上のイオンを注入する工程と、
    (c)前記質量数70以上のイオンが注入された前記ゲート電極を覆うように、550℃以下の成膜温度で所定の膜を形成する工程と、
    (d)前記所定の膜で前記ゲート電極を覆った状態で、550℃以上の温度で熱処理を行う工程とを備える
    ことを特徴とする半導体装置の製造方法。
  8. 請求項7に記載の半導体装置の製造方法であって、
    前記ゲート電極は、nチャネル型MOSトランジスタのゲート電極である
    ことを特徴とする半導体装置の製造方法。
  9. 請求項7に記載の半導体装置の製造方法であって、
    前記工程(a)で形成される前記ゲート電極は、複数個であり、
    前記工程(b)は、前記複数個のゲート電極のうちの所定のゲート電極に対してのみ行われる
    ことを特徴とする半導体装置の製造方法。
  10. 請求項9に記載の半導体装置の製造方法であって、
    前記複数個のゲート電極は、nチャネル型MOSトランジスタのゲート電極およびpチャネル型MOSトランジスタのゲート電極を含み、
    前記工程(b)における前記所定のゲート電極は、前記nチャネル型MOSトランジスタのゲート電極である
    ことを特徴とする半導体装置の製造方法。
  11. 請求項9に記載の半導体装置の製造方法であって、
    前記複数個のゲート電極は、複数個のnチャネル型MOSトランジスタのゲート電極を含む
    ことを特徴とする半導体装置の製造方法。
  12. 請求項7に記載の半導体装置の製造方法であって、さらに、
    前記工程(a)で形成される前記ゲート電極は、複数個であり、
    (e)前記工程(d)よりも前に行われ、前記複数個の前記ゲート電極のうちの所定のゲート電極上の前記所定の膜を除去する工程をさらに備える
    ことを特徴とする半導体装置の製造方法。
  13. 請求項8、請求項10、請求項11のいずれかに記載の半導体装置の製造方法であって、
    前記工程(b)のイオン注入は、前記nチャネル型MOSトランジスタのソースドレイン領域を形成するためのイオン注入である
    ことを特徴とする半導体装置の製造方法。
  14. 請求項7から請求項12のいずれかに記載の半導体装置の製造方法であって、
    前記工程(b)において注入されるイオンは、電気的に不活性なイオンであることを特徴とする半導体装置の製造方法。
  15. 請求項7から請求項14のいずれかに記載の半導体装置の製造方法であって、
    前記所定の膜は、前記加熱処理により収縮する特性を有する膜である
    ことを特徴とする半導体装置の製造方法。
  16. 請求項7から請求項14のいずれかに記載の半導体装置の製造方法であって、
    前記所定の膜は、シリコン酸化膜である
    ことを特徴とする半導体装置の製造方法。
  17. 請求項7から請求項16のいずれかに記載の半導体装置の製造方法であって、さらに、
    (f)前記シリコン基板および前記ゲート電極の表面を酸化することで、前記ゲート電極の下側エッジ部にシリコン酸化膜のバーズビークを形成する工程を備える
    ことを特徴とする半導体装置の製造方法。
  18. 請求項7または請求項17に記載の半導体装置の製造方法であって、
    前記シリコン基板は、歪みシリコン(Strained Silicon)基板である
    ことを特徴とする半導体装置の製造方法。
JP2002336669A 2002-11-20 2002-11-20 半導体装置およびその製造方法 Pending JP2004172389A (ja)

Priority Applications (17)

Application Number Priority Date Filing Date Title
JP2002336669A JP2004172389A (ja) 2002-11-20 2002-11-20 半導体装置およびその製造方法
US10/620,379 US6906393B2 (en) 2002-11-20 2003-07-17 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
TW092119657A TWI260091B (en) 2002-11-20 2003-07-18 Semiconductor device and method of manufacturing the same
KR1020030053421A KR100599907B1 (ko) 2002-11-20 2003-08-01 반도체 장치 및 그 제조 방법
US11/127,093 US7183204B2 (en) 2002-11-20 2005-05-12 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US11/671,216 US7470618B2 (en) 2002-11-20 2007-02-05 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US12/323,507 US7960281B2 (en) 2002-11-20 2008-11-26 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US13/103,558 US8372747B2 (en) 2002-11-20 2011-05-09 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US13/743,012 US8586475B2 (en) 2002-11-20 2013-01-16 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US14/038,981 US8809186B2 (en) 2002-11-20 2013-09-27 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US14/325,570 US9209191B2 (en) 2002-11-20 2014-07-08 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US14/933,079 US9412867B2 (en) 2002-11-20 2015-11-05 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US15/201,744 US9614081B2 (en) 2002-11-20 2016-07-05 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US15/384,000 US9847417B2 (en) 2002-11-20 2016-12-19 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US15/806,535 US20180069119A1 (en) 2002-11-20 2017-11-08 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US16/835,661 US20200227557A1 (en) 2002-11-20 2020-03-31 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US17/353,079 US20210313467A1 (en) 2002-11-20 2021-06-21 Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002336669A JP2004172389A (ja) 2002-11-20 2002-11-20 半導体装置およびその製造方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2009084282A Division JP4540735B2 (ja) 2009-03-31 2009-03-31 半導体装置の製造方法
JP2010163617A Division JP5101667B2 (ja) 2010-07-21 2010-07-21 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2004172389A true JP2004172389A (ja) 2004-06-17
JP2004172389A5 JP2004172389A5 (ja) 2005-10-20

Family

ID=32290368

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002336669A Pending JP2004172389A (ja) 2002-11-20 2002-11-20 半導体装置およびその製造方法

Country Status (4)

Country Link
US (14) US6906393B2 (ja)
JP (1) JP2004172389A (ja)
KR (1) KR100599907B1 (ja)
TW (1) TWI260091B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005286341A (ja) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
JP2006041118A (ja) * 2004-07-26 2006-02-09 Toshiba Corp 半導体装置及びその製造方法
WO2006030522A1 (ja) * 2004-09-17 2006-03-23 Sharp Kabushiki Kaisha 薄膜半導体装置及びその製造方法
JP2006120718A (ja) * 2004-10-19 2006-05-11 Toshiba Corp 半導体装置およびその製造方法
WO2007010600A1 (ja) * 2005-07-20 2007-01-25 Fujitsu Limited 半導体装置及びその製造方法
JP2007053336A (ja) * 2005-07-20 2007-03-01 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2007511909A (ja) * 2003-11-14 2007-05-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 粒状半導体材料を有する応力半導体構造
KR100772836B1 (ko) 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체소자의 제조 방법
JP2008504677A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 改良した歪みシリコンcmosデバイスおよび方法
JP2008520110A (ja) * 2004-11-11 2008-06-12 インターナショナル・ビジネス・マシーンズ・コーポレーション ゲート及びチャネル内に歪を誘起させてcmosトランジスタの性能を向上させる方法
WO2008117464A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置およびその製造方法
JP2008277753A (ja) * 2007-04-06 2008-11-13 Panasonic Corp 半導体装置及びその製造方法
JP2009076768A (ja) * 2007-09-21 2009-04-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2009088069A (ja) * 2007-09-28 2009-04-23 Panasonic Corp 半導体装置及びその製造方法
WO2010004679A1 (ja) * 2008-07-07 2010-01-14 パナソニック株式会社 半導体装置及びその製造方法
JP2010529654A (ja) * 2007-05-31 2010-08-26 フリースケール セミコンダクター インコーポレイテッド ゲート・ストレッサ及び半導体デバイスを特徴とする半導体デバイスの製造方法
JP2012504345A (ja) * 2008-09-29 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 高応力下にあるチャネルを有するmosデバイスを製造するための方法
US8158498B2 (en) 2005-03-09 2012-04-17 Fujitsu Semiconductor Limited P-channel MOS transistor and fabrication process thereof
WO2012176503A1 (ja) * 2011-06-23 2012-12-27 三菱電機株式会社 半導体装置及び半導体装置の製造方法

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US20050059260A1 (en) * 2003-09-15 2005-03-17 Haowen Bu CMOS transistors and methods of forming same
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
US7157355B2 (en) * 2004-06-30 2007-01-02 Freescale Smeiconductor, Inc. Method of making a semiconductor device having a strained semiconductor layer
US7253045B1 (en) * 2004-07-13 2007-08-07 Advanced Micro Devices, Inc. Selective P-channel VT adjustment in SiGe system for leakage optimization
US7169659B2 (en) * 2004-08-31 2007-01-30 Texas Instruments Incorporated Method to selectively recess ETCH regions on a wafer surface using capoly as a mask
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US7060549B1 (en) * 2005-07-01 2006-06-13 Advanced Micro Devices, Inc. SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same
CN1901194A (zh) * 2005-07-20 2007-01-24 松下电器产业株式会社 半导体装置及其制造方法
KR101155097B1 (ko) * 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
US20070108529A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US20070166936A1 (en) * 2006-01-19 2007-07-19 Po-Chao Tsao Pre-amorphization implantation process and salicide process
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
DE102006019936B4 (de) * 2006-04-28 2015-01-29 Globalfoundries Inc. Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
KR100703986B1 (ko) * 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US20100019324A1 (en) * 2006-12-22 2010-01-28 Hiroyuki Ohara Manufacturing method of semiconductor device and semiconductor device
KR100882834B1 (ko) * 2007-03-14 2009-02-10 샤프 가부시키가이샤 박막 반도체 장치 및 그 제조 방법
KR101264113B1 (ko) * 2007-07-16 2013-05-13 삼성전자주식회사 변형된 채널을 갖는 cmos 소자 및 이의 제조방법
DE102007063230B4 (de) * 2007-12-31 2013-06-06 Advanced Micro Devices, Inc. Halbleiterbauelement mit verspannten Materialschichten und Kontaktelement sowie Herstellungsverfahren hierfür
US9368410B2 (en) * 2008-02-19 2016-06-14 Globalfoundries Inc. Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
DE102008011931B4 (de) * 2008-02-29 2010-10-07 Advanced Micro Devices, Inc., Sunnyvale Verringerung der Speicherinstabilität durch lokale Anpassung der Rekristallisierungsbedingungen in einem Cache-Bereich eines Halbleiterbauelements
US8624295B2 (en) 2008-03-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM devices utilizing strained-channel transistors and methods of manufacture
JP5463811B2 (ja) * 2009-09-09 2014-04-09 富士通セミコンダクター株式会社 半導体装置の製造方法
KR101777643B1 (ko) * 2009-12-11 2017-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치, 논리 회로, 및 cpu
US8534808B2 (en) * 2010-02-08 2013-09-17 Seiko Epson Corporation Liquid ejecting apparatus and cleaning method in liquid ejecting apparatus
CN102194748B (zh) * 2010-03-15 2014-04-16 北京大学 半导体器件及其制造方法
CN103177947A (zh) * 2011-12-22 2013-06-26 无锡华润上华科技有限公司 Mos晶体管的多晶硅栅电极的制备方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9508557B2 (en) 2014-11-24 2016-11-29 Tokyo Electron Limited Method of improving line roughness in substrate processing
CN108417572A (zh) * 2017-02-10 2018-08-17 中芯国际集成电路制造(上海)有限公司 Sram存储器及其形成方法
CN107783022B (zh) * 2017-10-27 2019-10-11 西安电子科技大学 高电子迁移率晶体管的热可靠性评估方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3955269A (en) * 1975-06-19 1976-05-11 International Business Machines Corporation Fabricating high performance integrated bipolar and complementary field effect transistors
JPS5747711A (en) * 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
JP2668234B2 (ja) 1988-02-19 1997-10-27 尾池工業株式会社 導電性シート
US4835112A (en) * 1988-03-08 1989-05-30 Motorola, Inc. CMOS salicide process using germanium implantation
JPH0499037A (ja) 1990-08-06 1992-03-31 Fujitsu Ltd 半導体装置の製造方法
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH05136075A (ja) 1991-11-15 1993-06-01 Fujitsu Ltd 半導体装置の製造方法
JP2873660B2 (ja) * 1994-01-08 1999-03-24 株式会社半導体エネルギー研究所 半導体集積回路の作製方法
DE69529493T2 (de) * 1994-06-20 2003-10-30 Canon K.K., Tokio/Tokyo Anzeigevorrichtung und Verfahren zu ihrer Herstellung
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5405791A (en) * 1994-10-04 1995-04-11 Micron Semiconductor, Inc. Process for fabricating ULSI CMOS circuits using a single polysilicon gate layer and disposable spacers
JP3545526B2 (ja) 1996-01-19 2004-07-21 株式会社東芝 半導体装置の製造方法
JP3729955B2 (ja) * 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
FR2751468A1 (fr) 1996-07-15 1998-01-23 Lgelectronics Procede d'attaque pour un dispositif presentant un materiau organique
DE59707274D1 (de) * 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
JP3598197B2 (ja) * 1997-03-19 2004-12-08 株式会社ルネサステクノロジ 半導体装置
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
JPH1131665A (ja) 1997-07-11 1999-02-02 Hitachi Ltd 半導体集積回路装置の製造方法
US5904529A (en) * 1997-08-25 1999-05-18 Advanced Micro Devices, Inc. Method of making an asymmetrical IGFET and providing a field dielectric between active regions of a semiconductor substrate
JPH11204492A (ja) 1998-01-08 1999-07-30 Sony Corp 半導体装置の製造方法
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
JP2000058822A (ja) 1998-08-12 2000-02-25 Fujitsu Ltd 半導体装置の製造方法
US6246070B1 (en) * 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
JP2000164716A (ja) * 1998-11-26 2000-06-16 Seiko Epson Corp 半導体装置及びその製造方法
US6455398B1 (en) * 1999-07-16 2002-09-24 Massachusetts Institute Of Technology Silicon on III-V semiconductor bonding for monolithic optoelectronic integration
TW428237B (en) * 1999-09-16 2001-04-01 United Microelectronics Corp Self-aligned silicide process by using chemical mechanical polishing to prevent bridge connection between gate and source/drain
US6232194B1 (en) * 1999-11-05 2001-05-15 Taiwan Semiconductor Manufacturing Company Silicon nitride capped poly resistor with SAC process
US7232742B1 (en) * 1999-11-26 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes forming a material with a high tensile stress in contact with a semiconductor film to getter impurities from the semiconductor film
JP2001257273A (ja) 2000-03-14 2001-09-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6352912B1 (en) * 2000-03-30 2002-03-05 International Business Machines Corporation Reduction of reverse short channel effects by deep implantation of neutral dopants
JP2001284558A (ja) 2000-03-31 2001-10-12 Fujitsu Ltd 積層半導体基板及びその製造方法並びに半導体装置
JP2002026289A (ja) * 2000-07-03 2002-01-25 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002093921A (ja) 2000-09-11 2002-03-29 Hitachi Ltd 半導体装置の製造方法
GB2367788A (en) 2000-10-16 2002-04-17 Seiko Epson Corp Etching using an ink jet print head
JP2004523103A (ja) * 2000-12-04 2004-07-29 アンバーウェーブ システムズ コーポレイション 歪みシリコン表面チャネルmosfetを用いるcmosインバータ回路
JP4831885B2 (ja) 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6642092B1 (en) * 2002-07-11 2003-11-04 Sharp Laboratories Of America, Inc. Thin-film transistors formed on a metal foil substrate
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
JP5389346B2 (ja) * 2007-10-11 2014-01-15 富士通セミコンダクター株式会社 Mos電界効果トランジスタおよびその製造方法

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4843498B2 (ja) * 2003-11-14 2011-12-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイス構造を製造する方法
JP2007511909A (ja) * 2003-11-14 2007-05-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 粒状半導体材料を有する応力半導体構造
JP2005286341A (ja) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
US8816440B2 (en) 2004-03-30 2014-08-26 Samsung Electronics Co., Ltd. Low noise and high performance LSI device
US9093306B2 (en) 2004-03-30 2015-07-28 Samsung Electronics Co., Ltd. Low noise and high performance LSI device
US9425182B2 (en) 2004-03-30 2016-08-23 Samsung Electronics Co., Ltd. Low noise and high performance LSI device
US9899386B2 (en) 2004-03-30 2018-02-20 Samsung Electronics Co., Ltd. Low noise and high performance LSI device
JP2008504677A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 改良した歪みシリコンcmosデバイスおよび方法
JP2006041118A (ja) * 2004-07-26 2006-02-09 Toshiba Corp 半導体装置及びその製造方法
JPWO2006030522A1 (ja) * 2004-09-17 2008-05-08 シャープ株式会社 薄膜半導体装置及びその製造方法
WO2006030522A1 (ja) * 2004-09-17 2006-03-23 Sharp Kabushiki Kaisha 薄膜半導体装置及びその製造方法
JP5122818B2 (ja) * 2004-09-17 2013-01-16 シャープ株式会社 薄膜半導体装置の製造方法
JP2006120718A (ja) * 2004-10-19 2006-05-11 Toshiba Corp 半導体装置およびその製造方法
JP2008520110A (ja) * 2004-11-11 2008-06-12 インターナショナル・ビジネス・マシーンズ・コーポレーション ゲート及びチャネル内に歪を誘起させてcmosトランジスタの性能を向上させる方法
US8158498B2 (en) 2005-03-09 2012-04-17 Fujitsu Semiconductor Limited P-channel MOS transistor and fabrication process thereof
JP2007053336A (ja) * 2005-07-20 2007-03-01 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
WO2007010600A1 (ja) * 2005-07-20 2007-01-25 Fujitsu Limited 半導体装置及びその製造方法
KR100772836B1 (ko) 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체소자의 제조 방법
JP5195747B2 (ja) * 2007-03-27 2013-05-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US9786565B2 (en) 2007-03-27 2017-10-10 Fujitsu Semiconductor Limited Semiconductor device and method of manufacturing the semiconductor device
WO2008117464A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置およびその製造方法
JP2008277753A (ja) * 2007-04-06 2008-11-13 Panasonic Corp 半導体装置及びその製造方法
JP2010529654A (ja) * 2007-05-31 2010-08-26 フリースケール セミコンダクター インコーポレイテッド ゲート・ストレッサ及び半導体デバイスを特徴とする半導体デバイスの製造方法
US8587039B2 (en) 2007-05-31 2013-11-19 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
JP2009076768A (ja) * 2007-09-21 2009-04-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2009088069A (ja) * 2007-09-28 2009-04-23 Panasonic Corp 半導体装置及びその製造方法
WO2010004679A1 (ja) * 2008-07-07 2010-01-14 パナソニック株式会社 半導体装置及びその製造方法
JP2012504345A (ja) * 2008-09-29 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 高応力下にあるチャネルを有するmosデバイスを製造するための方法
WO2012176503A1 (ja) * 2011-06-23 2012-12-27 三菱電機株式会社 半導体装置及び半導体装置の製造方法
US9093361B2 (en) 2011-06-23 2015-07-28 Mitsubishi Electric Corporation Semiconductor device

Also Published As

Publication number Publication date
US9847417B2 (en) 2017-12-19
US8586475B2 (en) 2013-11-19
US7470618B2 (en) 2008-12-30
US20140322878A1 (en) 2014-10-30
US20170104099A1 (en) 2017-04-13
US7960281B2 (en) 2011-06-14
US20210313467A1 (en) 2021-10-07
US9412867B2 (en) 2016-08-09
US20110207312A1 (en) 2011-08-25
TWI260091B (en) 2006-08-11
US20160315192A1 (en) 2016-10-27
US20180069119A1 (en) 2018-03-08
US20070128816A1 (en) 2007-06-07
US9614081B2 (en) 2017-04-04
US8372747B2 (en) 2013-02-12
US20200227557A1 (en) 2020-07-16
KR20040044092A (ko) 2004-05-27
KR100599907B1 (ko) 2006-07-19
US20040097030A1 (en) 2004-05-20
US7183204B2 (en) 2007-02-27
TW200409354A (en) 2004-06-01
US6906393B2 (en) 2005-06-14
US20160056289A1 (en) 2016-02-25
US9209191B2 (en) 2015-12-08
US8809186B2 (en) 2014-08-19
US20090081843A1 (en) 2009-03-26
US20140024194A1 (en) 2014-01-23
US20050202603A1 (en) 2005-09-15
US20130130457A1 (en) 2013-05-23

Similar Documents

Publication Publication Date Title
US20210313467A1 (en) Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
JP5204763B2 (ja) 埋め込み歪み層を有してフローティングボディ効果が減少されたsoiトランジスタの製造方法
US20070184600A1 (en) Stressed-channel CMOS transistors
KR20070084030A (ko) 게이트 및 채널에 변형을 유도하여 cmos 트랜지스터성능을 향상시키는 방법
US8153537B1 (en) Method for fabricating semiconductor devices using stress engineering
JP2004096041A (ja) 半導体装置およびその製造方法
US9231079B1 (en) Stress memorization techniques for transistor devices
JP5101667B2 (ja) 半導体装置の製造方法
JP4540735B2 (ja) 半導体装置の製造方法
JP2004247735A (ja) 選択的エピタキシャル成長を利用した半導体素子の局部配線形成方法
WO2006033041A1 (en) Integrated circuit fabrication using solid phase epitaxy and silicon on insulator technology
CN102044437B (zh) 用于制造半导体器件的方法
JP2007329258A (ja) 半導体装置の製造方法
JP2006196561A (ja) 半導体装置の製造方法
JP2009141295A (ja) 半導体装置の製造方法
KR20010054161A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050620

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050620

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090331

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090331

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100323

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100406

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100525