KR100703986B1 - 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법 - Google Patents

동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR100703986B1
KR100703986B1 KR1020060045709A KR20060045709A KR100703986B1 KR 100703986 B1 KR100703986 B1 KR 100703986B1 KR 1020060045709 A KR1020060045709 A KR 1020060045709A KR 20060045709 A KR20060045709 A KR 20060045709A KR 100703986 B1 KR100703986 B1 KR 100703986B1
Authority
KR
South Korea
Prior art keywords
strain
channel
transistor
condensation
etch stop
Prior art date
Application number
KR1020060045709A
Other languages
English (en)
Inventor
테츠지 우에노
이화성
이호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060045709A priority Critical patent/KR100703986B1/ko
Application granted granted Critical
Publication of KR100703986B1 publication Critical patent/KR100703986B1/ko
Priority to CN2007101050578A priority patent/CN101079422B/zh
Priority to JP2007135909A priority patent/JP5367955B2/ja
Priority to TW096118175A priority patent/TWI365537B/zh
Priority to US11/802,281 priority patent/US7952147B2/en
Priority to US13/091,327 priority patent/US8445968B2/en
Priority to US13/897,908 priority patent/US20130249016A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

트랜지스터의 동작 특성과 플리커 노이즈 특성이 모두 향상된 반도체 소자가 제공된다. 반도체 소자는 기판, 기판 상의 아날로그 nMOS 트랜지스터와 응축 스트레인 채널 pMOS 트랜지스터, 상기 nMOS 및 pMOS 트랜지스터를 각각 덮는 제1 및 제2 식각 정지 라이너를 포함하되, nMOS 및 pMOS 트랜지스터는 각각 레퍼런스 비스트레인 채널 아날로그 nMOS 및 pMOS 트랜지스터에 대한 500Hz에서의 플리커 노이즈 파워의 상대치가 1 이하이다.
스트레인, 플리커 노이즈, 아날로그 트랜지스터

Description

동작 특성과 플리커 노이즈 특성이 향상된 아날로그 트랜지스터를 구비하는 반도체 소자 및 그 제조 방법{Semiconductor device having analog transistor with improved both operation and flicker noise characteristics and fabrication method thereof}
도 1은 본 발명의 실시예들에 따른 아날로그 MOS 트랜지스터들의 노이즈 파워 특성 평가 기준이 되는 레퍼런스 비스트레인 채널 아날로그 MOS 트랜지스터들의 단면도이다.
도 2는 본 발명의 실시예들에 따른 반도체 소자를 구성하는 응축 스트레인 채널 아날로그 pMOS 트랜지스터들의 단면도이다.
도 3 내지 도 5는 본 발명의 실시예들에 따른 반도체 소자를 구성하는 아날로그 nMOS 트랜지스터들의 단면도들이다.
도 6은 PECVD에 의해 형성한 SiON막의 스트레스와 수소 농도를 나타내는 그래프이다.
도 7 내지 도 11은 플리커 노이드 특성에 주된 영향을 미치는 인자를 분석하기 위한 다양한 실험 데이터들이다.
도 12a 내지 도 12f는 본 발명의 몇몇 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 13은 응축 스트레인 유도 SiON막과 신장 스트레인 유도 SiON 막 내의 수소 농도를 IR로 측정한 그래프이다.
도 14은 응축 스트레인된 게이트에 의해 채널에 신장 스트레인이 유도된 nMOS 소자를 포함하는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도이다.
(도면의 주요 부분에 대한 부호의 설명)
100: 기판 102: 소자 분리 영역
104a, 104b: 채널 110: 게이트 절연막
120: 게이트 123: 스페이서
128a, 128b: 소오스/드레인 영역 130: 실리사이드막
152a, 252a, 352a: 제1 식각 정지 라이너
152b, 352b: 제2 식각 정지 라이너
본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로, 특히 플리커 노이즈 특성이 향상된 반도체 소자 및 그 제조 방법에 관한 것이다.
반도체 소자의 디자인 룰 감소에 따른 소자 특성 열화에 대한 해결책으로 채널 영역에 스트레인(strain)을 유도함으로써 전자 및 정공의 이동도를 향상시키는 방법이 도입되고 있다. 그런데, 스트레인을 유도한 아날로그 MOS 트랜지스터의 경 우 플리커 노이즈 특성이 열화되는 경향이 나타난다. 따라서, 스트레인 유도 기술을 적용할 경우 아날로그 MOS 트랜지스터의 상호 컨덕턴스(mutual conductance)나 차단 주파수(cut off frequency) 특성이 향상됨에도 불구하고, 스트레인 유도 기술을 적용하는 것이 곤란하다.
특히, 시스템 LSI(Large Scale Integrated circuit)의 경우에는 디지털 MOS 트랜지스터와 아날로그 MOS 트랜지스터가 혼재되어 하나의 완전한 기능을 수행한다. 그러므로, 시스템 LSI의 경우 디지털 MOS 트랜지스터와 아날로그 MOS 트랜지스터에 동시에 스트레인 유도 기술을 적용하는 것이 곤란하다.
본 발명이 이루고자 하는 기술적 과제는 플리커 노이즈 특성이 향상된 아날로그 MOS 트랜지스터를 포함하는 반도체 소자를 제공하고자 하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 플리커 노이즈 특성이 향상된 아날로그 MOS 트랜지스터를 포함하는 반도체 소자의 제조 방법을 제공하고자 하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 예시적인 실시예들에 따른 반도체 소자는 비스트레인 채널(not strained) 아날로그 MOS 트랜지스터에 대한 플리 커 노이즈 파워의 상대치가 1 이하인 스트레인 채널 아날로그 MOS 트랜지스터를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 반도체 소자는 기판, 기판 상의 아날로그 nMOS 트랜지스터와 응축 스트레인 채널 아날로그 pMOS 트랜지스터, 및 상기 nMOS 및 pMOS 트랜지스터를 각각 덮는 제1 및 제2 식각 정지 라이너를 포함하되, 상기 nMOS 및 pMOS 트랜지스터는 각각 레퍼런스 비스트레인 채널 아날로그 nMOS 및 pMOS 트랜지스터에 대한 500Hz에서의 플리커 노이즈 파워의 상대치가 1 이하이다.
상기 다른 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 반도체 소자의 제조 방법은 기판 상에 아날로그 nMOS 트랜지스터와 아날로그 pMOS 트랜지스터를 형성하고, 상기 nMOS 트랜지스터를 덮으며 수소 농도는 1×1021/㎤ 이하인 제1 식각 정지 라이너와 상기 pMOS 트랜지스터를 덮으며 상기 pMOS 트랜지스터의 채널에 응축 스트레인을 유도하는 제2 식각 정지 라이너를 형성하는 것을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태 로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
따라서, 몇몇 실시예에서, 잘 알려진 공정 단계들, 잘 알려진 구조 및 잘 알려진 기술들은 본 발명이 모호하게 해석되는 것을 피하기 위하여 구체적으로 설명되지 않는다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는한 복수형도 포함한다. 명세서에서 사용되는 포함한다(comprises) 및/또는 포함하는(comprising)은 언급된 구성요소, 단계, 동작 및/또는 소자 이외의 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는 의미로 사용한다. 그리고, "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다. 또, 이하 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 개략도들을 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 또한 본 발명에 도시된 각 도면에 있어서 각 구성 요소들은 설명의 편의를 고려하여 다소 확대 또는 축소되어 도시된 것일 수 있다.
도 1 내지 도 5는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 개략도이다. 도 1 내지 도 5를 참조하면, 본 발명의 실시예들에 따른 반도체 소자는 도 1에 도시되어 있는 레퍼런스 아날로그 MOS 트랜지스터(1000, 2000)에 대한 500Hz에서의 플리커(1/f) 노이즈(noise) 파워(Svg(V2/Hz))의 상대치가 1 이하가 되는 스트레인 채널(strained channel) 아날로그 pMOS 트랜지스터(도 2의 2100)와 스트레인 채널 또는 비스트레인 채널(not strained channel) 아날로그 nMOS 트랜지스터(도 3의 3100, 도 4의 4100, 도 5의 5100)의 다양한 조합으로 이루어진다. 플리커 노이즈 파워의 상대치가 1 이하가 된다는 것은 레퍼런스 아날로그 MOS 트랜지스터(1000, 2000) 대비 플리커 노이즈 특성의 열화가 없음을 의미한다.
스트레인 채널이란 채널에 압축 스트레스(compressive stress) 또는 신장 스트레스(tensile stress) 등이 가해져 채널의 스트레인(strain)을 유도함으로써 캐리어(전자 또는 정공)의 이동도(μ)가 변형된 채널을 지칭한다.
도 1에 예시되어 있는 레퍼런스 비스트레인 채널 아날로그 MOS 트랜지스터(1000, 2000)는 본 발명의 실시예들에 따른 반도체 소자를 구성하는 pMOS 트랜지스터(2100)와 nMOS 트랜지스터(3100, 4100, 5100)와 동일 디자인 룰, 동일 재질로 형성되되 채널에 스트레인이 유도되지 않는 MOS 트랜지스터이다. 즉, 채널에 ± │2│Gdyne/㎠ 이상의 스트레스가 유도되지 않는 구조의 MOS트랜지스터를 지칭한다. 따라서, 레퍼런스 아날로그 MOS 트랜지스터(1000, 2000)를 구성하는 식각 정지 라이너(etch stop liner, 이하 ESL)(1152a, 1152b)는 ± │2│Gdyne/㎠ 이상의 스트 레스를 유도하지 않는 중성(neutral) ESL(이하 NESL)이다. NESL(1152a, 1152b)는 수소 농도가 1×1022/㎤ 미만, 보다 구체적으로는 1×1021/㎤ 이하일 수 있다.
도 1 내지 도 5를 참고하면, 본 발명의 실시예들에 따른 반도체 소자를 구성하는 아날로그 nMOS 트랜지스터(3100, 3200, 1300)와 레퍼런스 아날로그 nMOS 트랜지스터(1000)는 기판(100), 기판(100) 내에 형성된 얕은 트렌치 소자 분리 영역(STI)(102), STI(102)에 의해 정의된 활성 영역 내에 형성된 n형 소오스/드레인 영역(128a), n형 소오스/드레인 영역(128a) 사이의 채널 영역(104a) 상에 게이트 절연막(110)을 개재하여 형성된 게이트(120), 게이트(120) 측벽의 스페이서(123)를 포함한다. 게이트(120)와 n형 소오스/드레인 영역(128a)에는 금속 실리사이드층(130)이 형성되어 있을 수 있다.
마찬가지로 스트레인 채널 아날로그 pMOS 트랜지스터(2100)와 레퍼런스 비스트레인 채널 아날로그 pMOS 트랜지스터(2000)은 기판(100), 기판(100) 내에 형성된 얕은 트렌치 소자 분리 영역(102)에 의해 정의된 활성 영역 내에 형성된 p형 소오스/드레인 영역(128b), 소오스/드레인 영역(128b) 사이의 채널 영역(104b) 상에 게이트 절연막(110)을 개재하여 형성된 게이트(120), 게이트(120) 측벽의 스페이서(124)를 포함한다. 게이트(120)와 소오스/드레인 영역(128b)에는 금속 실리사이드층(130)이 형성되어 있을 수 있다.
아날로그 nMOS 트랜지스터(3100, 4100, 5100)는 각각 게이트(120)와 스페이서(124)를 덮으며 기판 상으로 연장된 제1 ESL(152a, 252a, 352a)를 포함한다. 스 트레인 채널 아날로그 pMOS 트랜지스터(2100)는 게이트(120)와 스페이서(124)를 덮으며 기판 상으로 연장된 제2 ESL(152b, 352b)를 포함한다.
제1 ESL(152a, 252a, 352a)과 제2 ESL(152b, 352b)는 반도체 소자의 집적도가 증가하면서 트랜지스터들 사이의 간격이 좁아지고, 트랜지스터의 디자인 룰도 현저히 감소함에 따라 콘택 영역 또한 축소되어 콘택홀의 식각시 식각 마진이 감소하는 것을 해결하기 위해 도입한 것이다.
본 발명의 실시예들에 따른 반도체 소자를 구성하는 스트레인 채널 아날로그 pMOS 트랜지스터(2100)와 아날로그 nMOS 트랜지스터(3100, 4100, 5100)는 채널 스트레인에 의한 소자 특성 향상과 함께 노이즈 특성의 향상이라는 공동 상승 효과(synergism)가 가능하도록 하는 트랜지스터 구조들로만 이루어져 있다.
이와 같은 트랜지스터 구조들은 도 6 내지 도 11에 도시되어 있는 바와 같이 1/f 노이즈 특성에 주된 영향을 미치는 인자가 아날로그 nMOS 트랜지스터의 경우에는 ESL내의 수소 농도이고, 아날로그 pMOS 트랜지스터의 경우에는 채널에 유도된 응축 스트레인이라는 발명자의 새로운 발견에 기초한 것이다. 이와 같은 발견은 현재까지 그 어느 누구에 의해서도 제시된 바가 없었다.
1/f 노이즈 파워(Svg)를 나타내는 아래 식 1을 참고하면, 노이즈 파워에 영향을 주는 주요한 변수는 계면 상태 밀도(Interface state density)와 캐리어 스캐터링(carrier scattering)이다.
Figure 112006035514356-pat00001
상기 식중, Svg는 노이즈 파워, Nt는 계면 상태 밀도, μ는 이동도, N은 캐리어 밀도, α는 스캐터링 계수를 각각 나타낸다.
도 6 내지 도 11의 결과로부터 스트레스는 오히려 노이즈 파워를 감소시키고 수소에 의한 계면 상태 밀도의 증가가 노이즈 파워 증가의 원인이 되는 것을 알 수 있다.
도 6은 PECVD에 의해 형성한 SiON막의 스트레스와 수소 농도를 나타내는 그래프이다.
도 6을 참조하면, 중성 스트레스를 나타내는 NESL의 경우 수소 농도가 낮은 경우(LH)(1×1021/㎤)와 수소 농도가 높은 경우(HH)(1×1022/㎤) 모두 약 2Gdyne/㎠ 정도의 스트레스를 나타내고, 수소 농도가 높은(HH) 응축(compressive) ESL(이하 CESL)의 경우 약 -12 Gdyne/㎠ 정도의 스트레스를 나타낸다.
도 7은 동일 디자인 룰, 동일 재질의 아날로그 pMOS 트랜지스터를 제조하되, NESL(LH), 수소 농도가 높으며 채널에 응축 스트레인을 유도하는 CESL(compressive ESL)(HH) 및 채널에 응축 스트레인을 유도하는 에피택셜 SiGe(이하 eSiGe)과 함께 NESL(LH)을 포함하는 아날로그 pMOS 트랜지스터들에 대하여 NBTI를 측정한 것이다. eSiGe는 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 영역 이다.
도 8은 NESL(LH), NESL(HH), CESL(LH), CESL(HH)을 각각 구비하는 아날로그 pMOS 트랜지스터들의 500Hz에서의 노이즈 파워를 측정한 결과를 나타낸다. 또, 각 ESL과 함께 eSiGe를 포함하는 아날로그 pMOS 트랜지스터들에 대해서도 500Hz에서의 노이즈 파워를 측정한 결과를 나타낸다. 도 9는 도 8의 결과를 바탕으로 NESL(LH)를 포함하는 레퍼런스 아날로그 pMOS 트랜지스터에 대한 나머지 pMOS 트랜지스터의 노이즈 파워의 상대치를 나타내는 그래프이다.
도 7을 참고하면, NESL(LH)+eSiGe의 경우 NESL(LH)의 경우와 실질적으로 동일한 특성을 나타내는 반면, CESL(HH)의 경우 NESL(LH)와 다른 특성을 나타내는 것을 알 수 있다.
그런데, 도 8의 결과를 살펴보면, NESL(LH)와 실질적으로 동일한 NBTI 특성을 나타낸 NESL(LH)+eSiGe의 경우 노이즈 파워가 감소함을 알 수 있다. 결론적으로, eSiGe는 원래 수소를 포함하지 않기 때문에, 노이즈 특성 개선에 영향을 미치는 인자가 eSiGe에 의해 유도되는 응축 스트레인임을 알 수 있다. 즉, 응축 스트레인이 캐리어의 질량을 감소시켜 스캐터링 계수를 감소킴으로써 결과적으로 노이즈 파워를 감소시킴을 알 수 있다.
한편, NESL(LH), CESL(LH) 대비 NESL(HH), CESL(HH)의 경우 각각 노이즈 파워가 2배 정도 증가하는 것으로 보아 수소에 의한 계면 상태 밀도 증가가 노이즈 파워 증가의 원인임을 알 수 있다.
그러나, NESL(LH) 대비 CESL(HH)의 경우 약간의 노이즈 특성이 개선되는 것 으로 보아, 응축 스트레인이 수소에 의한 노이즈 특성의 열화를 상쇄하고 일정 정도 노이즈 특성을 향상시킬 수 있음을 알 수 있다.
즉, 아날로그 pMOS 트랜지스터의 경우에는 ESL 내의 수소 농도가 노이즈 특성을 일정정도 열화시키기는 하나 적절한 응축 스트레인을 유도함으로써 노이즈 특성의 열화를 방지할 수 있음을 알 수 있다. 따라서, 도 9에 도시되어 있는 바와 같이, 채널에 응축 스트레인을 유도한 pMOS 트랜지스터의 경우 ESL의 종류 및 수소 농도에 상관없이 NESL(LH)를 포함하는 레퍼런스 비스트레인 채널 아날로그 pMOS 트랜지스터에 대한 노이즈 파워의 상대치가 1 이하가 되도록 할 수 있음을 알 수 있다.
도 10은 동일 디자인 룰, 동일 재질의 아날로그 nMOS 트랜지스터를 제조하되, NESL(LH), NESL(HH), CESL(LH), CESL(HH), 채널에 신장 스트레인을 유도하는 신장(tensile) ESL(이하 TESL)(LH), TESL(HH)을 각각 구비하는 아날로그 nMOS 트랜지스터들의 500Hz에서의 노이즈 파워를 측정한 결과를 나타낸다. 도 11은 도 10의 결과를 바탕으로 NESL(LH)를 포함하는 레퍼런스 아날로그 nMOS 트랜지스터에 대한 나머지 nMOS 트랜지스터의 노이즈 파워의 상대치를 나타내는 그래프이다.
도 10을 참고하면, NESL(HH), CESL(HH), TESL(HH) 대비 NESL(LH), CESL(LH), TESL(LH)의 경우 각각의 노이즈 특성이 현저하게 향상되는 반면, NESL(LH), NESL(HH) 대비 CESL(LH), CESL(HH)의 경우 각각의 노이즈 특성이 거의 변화하지 않는 것으로 보아, 아날로그 nMOS 트랜지스터의 노이즈 파워는 응축 스트레인에 의한 영향보다는 수소 농도의 영향을 훨씬 크게 받음을 알 수 있다. 또, NESL(LH) 대비 TESL(LH)의 경우 노이즈 특성이 소량 향상되는 것으로 보아, 신장 스트레인의 유도에 의해 노이즈 특성이 일정 정도 개선시킬 수 있음을 알 수 있다. 그러나, NESL(LH) 대비 TESL(HH)의 경우 노이즈 특성이 현저히 열화되는 것으로 보아 아날로그 nMOS 트랜지스터의 노이즈 파워는 신장 스트레인에 의한 영향보다는 수소 농도의 영향을 훨씬 크게 받음을 알 수 있다.
도 11을 참고하면, NESL(LH)를 포함하는 레퍼런스 아날로그 nMOS 트랜지스터에 대한 나머지 nMOS 트랜지스터의 노이즈 파워의 상대치가 1 이하가 되도록 하기 위해서는 ESL내의 수소 농도를 저농도(1×1021/㎤ 이하)로 유지해야 함을 알 수 있다.
도 6 내지 도 11의 결과에 대한 다양하고 심층적인 분석을 통해, 도 2에 예시되어 있는 스트레인 채널 아날로그 pMOS 트랜지스터(2100)와 도 3 내지 도 5에 예시되어 있는 아날로그 nMOS 트랜지스터(3100, 4100, 5100)를 조합한 본 발명의 실시예들에 따른 반도체 소자를 창안하였다. 그 결과 본 발명의 실시예들에 따른 반도체 소자는 소자 특성 향상과 함께 노이즈 특성의 향상이라는 공동 상승 효과(synergism)를 달성할 수 있다.
도 2에 예시되어 있는 스트레인 채널 아날로그 pMOS 트랜지스터(2100)는 제2 ESL(152b, 352b)의 수소 농도에는 제약을 받지 않으며, 채널에 응축 스트레인을 유도할 수 있는 구조를 채용함으로써 채널 스트레인에 의한 소자 특성의 향상과 함께 노이즈 특성의 향상이라는 공동 상승 효과(synergism)를 달성할 수 있도록 하고 있 다.
구체적으로, 2100a는 채널(104b)에 응축 스트레인을 유도하지 않는 NESL(152b)를 사용하되, 기판(100) 내에 형성된 그루브를 매립하며 소오스/드레인 영역(128b)이 형성된 신장 에피택셜 반도체층(124b)(예., SiGe층)에 의해 채널(104b)에 응축 스트레인을 유도하는 스트레인 채널 pMOS 트랜지스터를 예시하고, 2100b는 채널(104b)에 응축 스트레인을 유도하는 CESL(352b)를 포함하는 스트레인 채널 pMOS 트랜지스터를 예시하고, 2100c는 CESL(152b)과 신장 에피택셜 반도체층(124b)이 함께 채널(104b)에 응축 스트레인을 유도하는 스트레인 채널 pMOS 트랜지스터를 예시한다.
도 3 내지 도 5에 예시되어 있는 nMOS 트랜지스터(3100, 4100, 5100)는 채널 스트레인의 유도 여부와 상관없이 제1 ESL(152a, 252a, 352a)의 수소 농도가 저농도(1×1022/㎤ 미만, 바람직하기로는 1×1021/㎤ 이하)가 되도록 함으로써 pMOS 트랜지스터(2100)와 함께 반도체 소자를 구성하여 반도체 소자의 특성 향상과 함께 노이즈 특성의 향상이라는 공동 상승 효과를 달성할 수 있도록 한다.
구체적으로, 도 3은 저농도의 NESL(152a)을 구비하는 아날로그 nMOS 트랜지스터(3100)들을 나타낸다. 3100a는 NESL(152a)만을 구비하는 비스트레인 채널 nMOS 트랜지스터를 예시하고, 3100b는 기판(100) 내에 형성된 그루브를 매립하며 소오스/드레인 영역(128a)이 형성된 신장 에피택셜 반도체층(124a)(예., SiC층)에 의해 채널(104a)에 신장 스트레인을 유도하는 스트레인 채널 nMOS 트랜지스터를 예시하 고, 1100c는 응축 스트레인된 게이트(Compressively Strained Gate)(120')에 의해 채널(104a)에 신장 스트레인을 유도하는 스트레인 채널 nMOS 트랜지스터를 예시한다. 도면에는 예시되어 있지 않지만 1100b와 1100c가 조합되어 신장 에피택셜 반도체층(124a)과 CSG(120')를 함께 포함하는 스트레인 채널 nMOS 트랜지스터도 사용될 수 있다.
도 4는 저농도의 TESL(252a)을 구비하는 아날로그 nMOS 트랜지스터(3100)들을 나타낸다. 4100a는 채널(104a)에 신장 스트레인을 유도하는 TESL(252a)만을 구비하는 스트레인 채널 nMOS 트랜지스터를 예시하고, 4100b는 TESL(252a)와 기판(100) 내에 형성된 그루브를 매립하며 소오스/드레인 영역(128a)이 형성된 신장 에피택셜 반도체층(124a)(예., SiC층)이 함께 채널(104a)에 신장 스트레인을 유도하는 스트레인 채널 nMOS 트랜지스터를 예시하고, 4100c는 TESL(252a)과 응축 스트레인된 게이트(Compressively Strained Gate)(120')가 함께 채널(104a)에 신장 스트레인을 유도하는 스트레인 채널 nMOS 트랜지스터를 예시한다. 도면에는 예시되어 있지 않지만 4100b와 4100c가 조합되어 TESL(252a), 신장 에피택셜 반도체층(124a) 및 GSG(120')를 함께 포함하는 스트레인 채널 nMOS 트랜지스터도 사용될 수 있다.
도 5는 저농도의 CESL(352a)을 구비하는 아날로그 nMOS 트랜지스터(5100)들을 나타낸다. 5100a는 채널(104a)에 응축 스트레인을 유도하는 CESL(352a)만을 구비하는 스트레인 채널 nMOS 트랜지스터를 예시하고, 5100b는 채널(104a)에 CESL(352a)이 유도하는 응축 스트레인과 기판(100) 내에 형성된 그루브를 매립하며 소오스/드레인 영역(128a)이 형성된 신장 에피택셜 반도체층(124a)(예., SiC층)이 유도하는 신장 스트레인이 함께 유도되는 스트레인 채널 nMOS 트랜지스터를 예시하고, 5100c는 채널(104a)에 CESL(252a)이 유도하는 응축 스트레인과 응축 스트레인된 게이트(Compressively Strained Gate)(120')가 유도하는 신장 스트레인이 함께 유도되는 스트레인 채널 nMOS 트랜지스터를 예시한다. 도면에는 예시되어 있지 않지만 5100b와 5100c가 조합되어 CESL(352a), 신장 에피택셜 반도체층(124a) 및 GSG(120')를 함께 포함하는 스트레인 채널 nMOS 트랜지스터도 사용될 수 있다.
도면에는 예시되어 있지 않으나, 본 발명의 실시예들에 따른 반도체 소자가 하나의 완전한 시스템을 제공하기 위해서 디지털 회로 및 아날로그 회로를 단일 칩 상에 형성한 시스템 LSI(Large Scale Integrated circuit)인 경우에는 아날로그 회로 영역과 디지털 회로 영역을 함께 포함한다. 따라서, 아날로그 회로 영역은 도 2 내지 도 5에 예시되어 있는 아날로그 pMOS 트랜지스터 및 아날로 nMOS 트랜지스터를 포함하고, 디지털 회로 영역은 시스템 LSI에서 요구하는 성능에 따라 스트레인 채널 또는 비스트레인 채널 디지털 nMOS 트랜지스터 및/또는 pMOS 트랜지스터를 포함할 수 있다.
이하, 도 12a 내지 도 12f를 참고하여 본 발명의 몇몇 실시예들에 따른 반도체 소자의 제조 방법을 설명한다. 도 12a 내지 도 12f에서는 도 2의 2100c와 도 4의 4100b를 조합한 반도체 소자를 예시한다.
먼저, 도 12a를 참고하면, 반도체 기판(100), 예를 들면 실리콘 기판의 디지털 회로 영역 및 아날로그 회로 영역에 각각 소자 분리를 위한 STI(102)를 형성한다. 그 후 형성하고자 하는 트랜지스터의 채널 타입에 따라 반도체 기판(100)의 각 영역에 적절한 이온을 사용하여 채널 이온 주입을 행한다. 이어서, 기판(100) 상에 절연막 및 도전막을 형성한 후, 이를 패터닝하여 게이트 절연막(110)과 게이트(120)로 형성한다. 그 후, 소오스/드레인 익스텐션(extension) 영역(122)을 형성하여 채널(104a, 104b)를 정의하고, 게이트(120)의 측벽에 절연 스페이서(123)을 형성한다.
도 12b를 참고하면, 기판(100)의 일부를 식각하여 채널(104a, 104b)에 소정의 스트레인을 유도하는 에피택셜 반도체층이 매립될 그루브(G)를 형성한다. 그루브(G) 형성시 게이트(120)의 일부도 식각될 수 있다.
도 12c를 참고하면, 그루브(G)를 매립하는 에피택셜 반도체층(124a, 124b)을 형성한다. nMOS 영역에는 채널(104a)에 신장 스트레인을 유도하는 SiC 층을 형성할 수 있으며, pMOS 영역에는 채널(104b)에 응축 스트레인을 유도하는 SiGe층을 형성할 수 있다. 매립 에피택셜 반도체층(124a, 124b)은 선택적 에피택시 성장(SEG) 공정에 의할 수 있는데, 예를 들면, 저압화학기상증착(LPCVD), 고진공화학기상증착법(UHV-CVD) 등에 의할 수 있으며, 이에 한정되는 것은 아니다. 또한, 매립 에피택셜 반도체층(124a, 124b) 형성시 딥 소오스/드레인 영역(126)에 적용될 도펀트를 인시츄로 도핑할 수도 있다.
매립 에피택셜 반도체층(124a, 124b) 형성에 사용되는 Si 소오스 가스로는 Si2H6, SiH4, SiH2Cl2, SiHCl3, SiCl4 등을 사용할 수 있고, Ge의 소오스로는 GeH4를 사용할 수 있으며, C의 소오스로는 C2H6, CH3SiH3 등을 사용할 수 있는데, 이에 한정되는 것은 아니다. 또한 선택적 특성을 향상시키기 위하여 HCl 또는 Cl2 같은 가스를 첨가할 수 있다. 이 때 특별히 도핑을 목적으로 하는 경우 B2H6 또는 PH3 AsH3와 같은 가스들을 첨가할 수 있다. HCl을 첨가하게 되면 소자 분리 영역(102)에서는 에피택셜 반도체층(124a, 124b)이 형성되지 않고 Si이 드러나 영역에서만 에피택셜 반도체층(124a, 124b)이 형성되는 선택적 에피택시 성장이 가능하다. SEG 공정은 당업계에 널리 잘 알려져 있으므로 그 구체적인 설명은 생략하기로 한다.
에피택셜 반도체층(124a, 124b) 형성 후, 딥(deep) 소오스/드레인 영역(126)을 형성하여 n형 소오스/드레인 영역(128a)과 p형 소오스/드레인 영역(128b)를 완성한다. 에피택시 공정시 동시에 도핑한 경우에는 딥 소오스/드레인 영역(126)의 형성을 생략할 수 있다. 그 후, 통상의 샐리사이드 공정을 통해 게이트(120)와 소오스/드레인 영역(128a, 128b) 상에 실리사이드막(130)을 형성한다.
도 12d를 참고하면, nMOS 트랜지스터를 덮는 신장 스트레인 유도 라이너(252)와 pMOS 트랜지스터를 덮는 응축 스트레인 유도 라이너(352)를 형성한다.
서로 다른 이종 물질을 사용하여 서로 다른 스트레인을 유도할 수 있을 뿐만 아니라, 동종 물질이라 할지라도 공정 조건의 조절에 의해서 서로 다른 스트레인을 유도하는 라이너를 형성할 수 있다. 이는 당업계에 널리 잘 알려져 있으므로 그 구체적인 설명은 생략하기로 한다. SiON막을 사용할 경우 도 13에 도시되어 있는 바와 같이 신장 스트레인 유도 라이너(252)내의 수소 농도는 1×1021/㎤ 를 초과한다. 또, 신장 스트레인 유도 라이너(252) 내의 수소 농도는 응축 스트레인 유도 라이너(352) 내의 수소 농도보다 높다.
따라서, 아날로그 nMOS 트랜지스터의 플리커 노이즈 특성을 향상시키기 위하여 신장 스트레인 유도 라이너(252) 내의 수소 농도를 낮추기 위한 공정을 필요로 한다. 수소 농도를 낮추는 공정은 UV 조사등으로 행할 수 있으며 조사 시간은 약 1 내지 10분 정도가 될 수 있다. UV 조사에 의해 응축 스트레인 유도 라이너(352) 내의 수소 농도도 낮아질 수 있다.
그 결과 도 12f에 도시되어 있는 바와 같이 트랜지스터의 동작 특성과 플리커 노이즈 특성이 향상된 아날로그 nMOS 트랜지스터와 아날로그 pMOS 트랜지스터를 포함하는 반도체 소자를 완성할 수 있다.
이후, 반도체 소자의 기술분야에서 통상의 지식을 가진 자에게 널리 알려진 공정 단계들에 따라 nMOS 트랜지스터 및 pMOS 트랜지스터에 각각 전기적 신호의 입출력이 가능하도록 하는 배선들을 형성하는 단계, 기판상에 패시베이션층을 형성하는 단계 및 상기 기판을 패키지하는 단계를 더 수행하여 반도체 소자를 완성한다. 이와 같은 후속단계들은 본 발명이 모호하게 해석되는 것을 피하기 위하여 개략적으로 설명한다.
기본적으로는 도 12a 내지 도 12f를 참조하여 설명한 제조 방법을 사용하되, 에피택셜 반도체층(124a, 124b)의 형성을 선택적으로 생략하거나 nMOS 트랜지스터 상의 제1 ESL 및 pMOS 트랜지스터 상의 제2 ESL을 원하는 스트레인 유도 특성을 가지는 라이너로 형성함으로써 도 2 내지 도 5를 참고하여 설명한 다양한 조합의 아날로그 트랜지스터들을 포함하는 반도체 소자를 제조할 수 있다.
도 14는 nMOS 트랜지스터의 채널(104a)에 신장 스트레인을 유도하는 응축 스 트레인 게이트(120')를 형성하는 방법을 설명하기 위한 단면도이다.
도 14를 참고하면, 소오스/드레인 영역(128a, 128b) 형성 후, 샐리사이드 공정 전에 기판(100)의 전면에 게이트 변형용 막(124)을 형성한 후, 어닐링을 수행하면, 폴리실리콘으로 이루어진 게이트(120)에 응축 스트레인이 가해진다. 그 결과 도면에는 명시되지 않았으나, 상부가 변형된 형태를 가지는 응축 스트레인 게이트(120')를 형성할 수 있다. 게이트 변형용 막(124)의 종류 및 응축 스트레인 게이트(120')의 형성 공정은 K Ota 등에 의해 2002 IEDM, pp 27~30에 게재된 "Novel Locally Strained Channel Technique for High Performance 55nm CMOS" 라는 제목의 논문과 Chien-Hao Chen 등에 의해 2004 VLSI Technology에 게재된 "Stress Memorization Technique (SMT) by Selectively Strained-Nitride Capping for Sub-65nm High-Performance Strained-Si Device Application"에 개시되어 있으며 상기 내용은 본 명세서에 원용되어 통합된다.
이후 게이트 변형용 막(124)을 제거한 후, 도 12b 내지 도 12d를 참조하여 설명한 공정 단계에 따라 본 발명의 몇몇 실시예들에 따른 반도체 소자를 형성할 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
본 발명의 실시예들에 따른 반도체 소자는 소자 특성 향상과 함께 노이즈 특성의 향상이라는 공동 상승 효과(synergism)를 달성할 수 있다.

Claims (25)

  1. 기판,
    기판 상의 아날로그 nMOS 트랜지스터와 응축 스트레인 채널 아날로그 pMOS 트랜지스터, 및
    상기 nMOS 및 pMOS 트랜지스터를 각각 덮는 제1 및 제2 식각 정지 라이너를 포함하되,
    상기 nMOS 및 pMOS 트랜지스터는 각각 레퍼런스 비스트레인 채널 아날로그 nMOS 및 pMOS 트랜지스터에 대한 500Hz에서의 플리커 노이즈 파워의 상대치가 1 이하인 반도체 소자.
  2. 제1 항에 있어서, 상기 제1 식각 정지 라이너의 수소 농도는 1×1021/㎤ 이하인 반도체 소자.
  3. 제2 항에 있어서, 상기 제2 식각 정지 라이너는 중성 식각 정지 라이너이고, 상기 스트레인 채널 pMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성된 응축 에피택셜 반도체층에 의해 채널에 응축 스트레인이 유도되는 트랜지스터인 반도체 소자.
  4. 제2 항에 있어서, 상기 제2 식각 정지 라이너는 응축 스트레인 유도 라이너이고, 상기 스트레인 채널 pMOS 트랜지스터는 상기 응축 스트레인 라이너에 의해 채널에 응축 스트레인이 유도되는 트랜지스터인 반도체 소자.
  5. 제4 항에 있어서, 상기 pMOS 트랜지스터는 상기 채널에 응축 스트레인을 유도하고, 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 응축 에피택셜 반도체층을 포함하는 반도체 소자.
  6. 제2 항에 있어서, 상기 제1 식각 정지 라이너는 중성 식각 정지 라이너이고, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  7. 제2 항에 있어서, 상기 제1 식각 정지 라이너는 신장 스트레인 유도 라이너이고, 상기 nMOS 트랜지스터는 상기 신장 스트레인 유도 라이너에 의해 채널에 신장 스트레인이 유도된 스트레인 채널 트랜지스터인 반도체 소자.
  8. 제7 항에 있어서, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인 된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  9. 제2 항에 있어서, 상기 제1 식각 정지 라이너는 응축 스트레인 유도 라이너이고, 상기 nMOS 트랜지스터는 상기 응축 스트레인 유도 라이너에 의해 채널에 응축 스트레인이 유도된 스트레인 채널 트랜지스터인 반도체 소자.
  10. 제9 항에 있어서, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  11. 기판,
    상기 기판 상의 아날로그 nMOS 트랜지스터와 아날로그 pMOS 트랜지스터,
    상기 nMOS 트랜지스터를 덮으며 수소 농도는 1×1021/㎤ 이하인 제1 식각 정지 라이너,
    상기 pMOS 트랜지스터를 덮으며 상기 pMOS 트랜지스터의 채널에 응축 스트레인을 유도하는 제2 식각 정지 라이너를 포함하는 반도체 소자.
  12. 제11 항에 있어서, 상기 제1 식각 정지 라이너는 상기 nMOS 트랜지스터의 채널에 신장 스트레인을 유도하는 반도체 소자.
  13. 제12 항에 있어서, 상기 pMOS 트랜지스터는 상기 채널에 응축 스트레인을 유도하고, 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 응축 에피택셜 반도체층을 포함하는 반도체 소자.
  14. 제13 항에 있어서, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  15. 기판,
    수소 농도가 1×1021/㎤ 이하인 제1 식각 정지 라이너, 및
    상기 제1 식각 정지 라이너와 상기 기판 사이에 형성된 스트레인 채널 아날로그 nMOS 트랜지스터를 포함하는 반도체 소자.
  16. 제15 항에 있어서, 상기 제1 식각 정지 라이너는 중성 식각 정지 라이너이고, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드 레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  17. 제15 항에 있어서, 상기 제1 식각 정지 라이너는 신장 스트레인 유도 라이너이고, 상기 nMOS 트랜지스터는 상기 신장 스트레인 유도 라이너에 의해 채널에 신장 스트레인이 유도된 스트레인 채널 트랜지스터인 반도체 소자.
  18. 제17 항에 있어서, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  19. 제15 항에 있어서, 상기 제1 식각 정지 라이너는 응축 스트레인 유도 라이너이고, 상기 nMOS 트랜지스터는 상기 응축 스트레인 유도 라이너에 의해 채널에 응축 스트레인이 유도된 스트레인 채널 트랜지스터인 반도체 소자.
  20. 제19 항에 있어서, 상기 nMOS 트랜지스터는 상기 기판 내에 형성된 그루브를 매립하며 소오스/드레인 영역이 형성되는 신장 에피택셜 반도체층, 응축 스트레인된 게이트, 및 이들의 조합으로부터 선택되고 상기 채널에 신장 스트레인을 유도하 는 어느 하나를 포함하는 스트레인 채널 트랜지스터인 반도체 소자.
  21. 기판 상에 아날로그 nMOS 트랜지스터와 아날로그 pMOS 트랜지스터를 형성하고,
    상기 nMOS 트랜지스터를 덮으며 수소 농도는 1×1021/㎤ 이하인 제1 식각 정지 라이너와 상기 pMOS 트랜지스터를 덮으며 상기 pMOS 트랜지스터의 채널에 응축 스트레인을 유도하는 제2 식각 정지 라이너를 형성하는 것을 포함하는 반도체 소자의 제조 방법.
  22. 제21 항에 있어서, 상기 제1 식각 정지 라이너와 상기 제2 식각 정지 라이너를 형성하는 것은 상기 nMOS 트랜지스터를 덮는 신장 스트레인 식각 정지 라이너와 상기 pMOS 트랜지스터를 덮는 응축 스트레인 라이너를 형성하고, 상기 결과물 전면에 UV를 조사하는 것을 포함하는 반도체 소자의 제조 방법.
  23. 제22 항에 있어서, 상기 pMOS 트랜지스터를 형성하는 것은 상기 기판 내에 그루브를 형성하고, 상기 그루브를 매립하는 응축 에피택셜 반도체층을 형성하고, 상기 응축 에피택셜 반도체층에 소오스/드레인 영역을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
  24. 제23 항에 있어서, 상기 nMOS 트랜지스터를 형성하는 것은 상기 기판 내에 그루브를 형성하고, 상기 그루브를 매립하는 신장 에피택셜 반도체층을 형성하고, 상기 신장 에피택셜 반도체층에 소오스/드레인 영역을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
  25. 제23 항에 있어서, 상기 nMOS 트랜지스터를 형성하는 것은 응축 스트레인된 게이트를 포함하는 nMOS 트랜지스터를 형성하는 것인 반도체 소자의 제조 방법.
KR1020060045709A 2006-05-22 2006-05-22 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법 KR100703986B1 (ko)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1020060045709A KR100703986B1 (ko) 2006-05-22 2006-05-22 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
CN2007101050578A CN101079422B (zh) 2006-05-22 2007-05-22 具有模拟晶体管的半导体器件及其制造方法
JP2007135909A JP5367955B2 (ja) 2006-05-22 2007-05-22 動作特性とフリッカーノイズ特性が向上したアナログトランジスタを備える半導体素子及びその製造方法
TW096118175A TWI365537B (en) 2006-05-22 2007-05-22 Semiconductor device having analog transistor with improved operating and flicker noise characteristics and method of making same
US11/802,281 US7952147B2 (en) 2006-05-22 2007-05-22 Semiconductor device having analog transistor with improved operating and flicker noise characteristics and method of making same
US13/091,327 US8445968B2 (en) 2006-05-22 2011-04-21 Semiconductor device having analog transistor with improved operating and flicker noise characteristics and method of making same
US13/897,908 US20130249016A1 (en) 2006-05-22 2013-05-20 Semiconductor device having analog transistor with improved operating and flicker noise characteristics and method of making same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060045709A KR100703986B1 (ko) 2006-05-22 2006-05-22 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR100703986B1 true KR100703986B1 (ko) 2007-04-09

Family

ID=38160933

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060045709A KR100703986B1 (ko) 2006-05-22 2006-05-22 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법

Country Status (5)

Country Link
US (3) US7952147B2 (ko)
JP (1) JP5367955B2 (ko)
KR (1) KR100703986B1 (ko)
CN (1) CN101079422B (ko)
TW (1) TWI365537B (ko)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5017958B2 (ja) * 2006-08-08 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7998821B2 (en) * 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
JP2008182063A (ja) * 2007-01-25 2008-08-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7671469B2 (en) * 2007-12-31 2010-03-02 Mediatek Inc. SiGe device with SiGe-embedded dummy pattern for alleviating micro-loading effect
JP2009164364A (ja) * 2008-01-08 2009-07-23 Renesas Technology Corp 半導体装置およびその製造方法
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8338239B2 (en) * 2010-05-18 2012-12-25 International Business Machines Corporation High performance devices and high density devices on single chip
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8535999B2 (en) 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
CN103512508B (zh) * 2012-06-25 2016-08-03 中国科学院微电子研究所 半导体器件测试方法
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
JP2016500927A (ja) 2012-10-31 2016-01-14 三重富士通セミコンダクター株式会社 低変動トランジスタ・ペリフェラル回路を備えるdram型デバイス、及び関連する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
CN105225949B (zh) * 2014-05-26 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US11288430B2 (en) * 2017-11-27 2022-03-29 Globalfoundries U.S. Inc. Producing models for dynamically depleted transistors using systems having simulation circuits
CN108763830B (zh) * 2018-06-25 2022-08-09 上海华力集成电路制造有限公司 半导体器件的闪烁噪声模型及其提取方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6768175B1 (en) 1998-09-25 2004-07-27 Asahi Kasei Kabushiki Kaisha Semiconductor substrate and its production method, semiconductor device comprising the same and its production method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3220645B2 (ja) 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
JP2000058483A (ja) * 1998-08-05 2000-02-25 Hitachi Ltd 半導体装置の製造方法
JP2000340562A (ja) * 1999-05-31 2000-12-08 Hitachi Ltd 半導体装置及びその製造方法
JP2002093921A (ja) * 2000-09-11 2002-03-29 Hitachi Ltd 半導体装置の製造方法
JP4597479B2 (ja) * 2000-11-22 2010-12-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2002170951A (ja) * 2000-12-01 2002-06-14 Asahi Kasei Microsystems Kk Mos構造を有する半導体装置の製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US7042024B2 (en) * 2001-11-09 2006-05-09 Semiconductor Energy Laboratory Co., Ltd. Light emitting apparatus and method for manufacturing the same
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
DE10392870B4 (de) * 2002-06-28 2009-07-30 National Institute Of Advanced Industrial Science And Technology Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR101025761B1 (ko) 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
JP2005310927A (ja) * 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7119404B2 (en) * 2004-05-19 2006-10-10 Taiwan Semiconductor Manufacturing Co. Ltd. High performance strained channel MOSFETs by coupled stress effects
JP4837902B2 (ja) 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
JP4444027B2 (ja) 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7494856B2 (en) * 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7528029B2 (en) * 2006-04-21 2009-05-05 Freescale Semiconductor, Inc. Stressor integration and method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6768175B1 (en) 1998-09-25 2004-07-27 Asahi Kasei Kabushiki Kaisha Semiconductor substrate and its production method, semiconductor device comprising the same and its production method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
논문

Also Published As

Publication number Publication date
US20130249016A1 (en) 2013-09-26
TW200818497A (en) 2008-04-16
US20080036006A1 (en) 2008-02-14
TWI365537B (en) 2012-06-01
US7952147B2 (en) 2011-05-31
US20110233611A1 (en) 2011-09-29
CN101079422B (zh) 2012-04-18
JP2007324589A (ja) 2007-12-13
CN101079422A (zh) 2007-11-28
US8445968B2 (en) 2013-05-21
JP5367955B2 (ja) 2013-12-11

Similar Documents

Publication Publication Date Title
KR100703986B1 (ko) 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US7101742B2 (en) Strained channel complementary field-effect transistors and methods of manufacture
EP2113130B1 (en) Multi-layer source/drain stressor
US7315063B2 (en) CMOS transistor and method of manufacturing the same
US7473608B2 (en) N-channel MOSFETs comprising dual stressors, and methods for forming the same
US8120065B2 (en) Tensile strained NMOS transistor using group III-N source/drain regions
US7572706B2 (en) Source/drain stressor and method therefor
US8563382B2 (en) Semiconductor device
US20070023795A1 (en) Semiconductor device and method of fabricating the same
US20060046366A1 (en) Method and apparatus for mobility enhancement in a semiconductor device
KR20090073183A (ko) 스트레스형 전계효과 트랜지스터 및 그 제조방법
US7999326B2 (en) Tensile strain source using silicon/germanium in globally strained silicon
US20080017931A1 (en) Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
JP2010219152A (ja) 半導体装置およびその製造方法
US8440539B2 (en) Isolation trench processing for strain control
JP4486056B2 (ja) 半導体装置およびその製造方法
CN101118925A (zh) 金属氧化物半导体晶体管元件及其制造方法与改善方法
WO2008103517A1 (en) Multi-layer source/drain stressor

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130228

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170228

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190228

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20200228

Year of fee payment: 14