JP4843498B2 - 半導体デバイス構造を製造する方法 - Google Patents

半導体デバイス構造を製造する方法 Download PDF

Info

Publication number
JP4843498B2
JP4843498B2 JP2006539779A JP2006539779A JP4843498B2 JP 4843498 B2 JP4843498 B2 JP 4843498B2 JP 2006539779 A JP2006539779 A JP 2006539779A JP 2006539779 A JP2006539779 A JP 2006539779A JP 4843498 B2 JP4843498 B2 JP 4843498B2
Authority
JP
Japan
Prior art keywords
gate electrode
recess
polysilicon
type fet
fine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006539779A
Other languages
English (en)
Other versions
JP2007511909A (ja
Inventor
ドリス、ブルース、ビー
ベリャンスキー、マイケル、ピー
ボイド、ダイアン、シー
チダンバラオ、デュレセティ
グラシェンコフ、オレグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007511909A publication Critical patent/JP2007511909A/ja
Application granted granted Critical
Publication of JP4843498B2 publication Critical patent/JP4843498B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/115Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
    • H01L31/119Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation characterised by field-effect operation, e.g. MIS type detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Control Of Vending Devices And Auxiliary Devices For Vending Devices (AREA)

Description

本発明は、n型FETおよびp型FETの双方を含むCMOSデバイス構造等の半導体デバイス構造に関する。
例えばCMOSデバイス技術のような将来の半導体にとって、移動度の向上は重要である。従来のプロセス技術からの性能改善は、達成することが極めて困難になっている。Siチャネルに応力を印加するための方法には、チャネルの下部から応力を印加するSiGeを用いること、様々な側面から応力を印加する異なる浅いトレンチ分離(STI:shallow trench isolation)材料の選択、および、側面から長手方向の応力を印加するSiNエッチ・ストップ層が含まれる。歪みSiキャップ層(stained Si cap layer)を用いたSiGeバッファ層または注入−アニール−バッファ手法の欠点は、周知である。その欠点には、歩留まりに大きな影響を与える転位、および、As拡散の向上を制御することが極めて困難であることが含まれる。更に、このプロセスは、非常に複雑でコストが高い。STI手法は、それよりもコストが低いが、ゲートに対して自己整合(self-aligned)せず、RXサイズに関して敏感である。窒化物エッチ・ストップ層を用いて応力を生成するというコストの低い手法は、ある程度の利点があるが、その利点は比較的瑣末なものと考えられている。
本発明は、チャネル移動度の向上を利用してデバイスの性能を改善する。本発明は、適正に調整したポリシリコン・ゲート・スタックの応力特性を用いることによって、チャネルの上部から移動度を改善する。本発明より以前には、これらの応力特性を制御することは極めて困難であった。しかしながら、本発明が含む方法および構造は、細粒ポリシリコンを用いて応力特性を制御する。本発明は、p型FETに圧縮応力を印加し、n型FETチャネルに引張り応力を印加する方法および構造を提供する。他の実施形態は、n型FETチャネルに圧縮応力が印加されることを防ぎつつ、p型FETチャネルに圧縮応力を印加することを含む。本発明の別の実施形態は、p型FETチャネルに引張り応力が印加されることを防ぎつつ、n型FETチャネルに引張り応力を印加することを含む。
本発明の方法は、ゲート電極スタックにおいて細粒ポリシリコン膜を配置(例えば蒸着)し、この膜はアニーリングの結果として伸張性が高くなる。伸張性膜の応力は、ポリシリコン膜の上に配置した堆積SiN膜を低温でアニーリングすることによって、抑えることができる。
本発明の主な目的は、半導体デバイスにおいてチャネル移動度を向上させることである。
本発明によれば、半導体デバイス構造を製造する方法は、基板を設けるステップと、基板上に電極を設けるステップと、電極内に開口を有するくぼみを形成するステップと、くぼみ内に細粒半導体材料を配置するステップと、開口を覆ってくぼみ内に細粒半導体材料を閉じこめるステップと、上述のステップの結果として得られる構造をアニーリングするステップと、を含む。また、本発明は、半導体デバイス構造も提供する。
本発明の更に別の目的は、以下の詳細な記載を、添付図面と関連付けて考慮することによって、よりいっそう容易に明らかになろう。
ここで図面、特に図1を参照すると、半導体基板1が設けられている。半導体基板は、バルクSi基板、SOI基板、または応力を印加した(歪み)Si基板である。あるいは、基板は、2つ以上の表面方位を含むハイブリッド基板である。あるいは、基板は、Geまたは、III−V族元素またはII−VI族元素のいずれかの組み合わせ等、Si以外の半導体材料を含む。
初期基板洗浄手順(従来のもの)を行った後、分離方法を実行する。半導体製造において周知のように、分離方法を用いて、選択したデバイスを電気的に相互に絶縁する。分離方法は、標準的な、または変更を加えた浅いトレンチ分離(STI)方法とすることができる。図1にSTI2を示す。あるいは、分離は、半導体デバイスを製造する当技術分野において周知のように、LOCOSプロセスまたはメサ分離方式を用いて達成する。半導体デバイスを製造するための様々な公知または従来のプロセスについては、VLSI Technology, 2nd Edition、S. M. Sze(McGraw HillPublishing Co.、1988年)を参照のこと。
分離2を形成した後、従来のゲート酸化物前洗浄プロセスを実行する。高性能論理回路製造プロセスの場合と同様に、様々な従来のゲート酸化物プロセスを用いて、異なるゲート酸化物厚を有するデバイスを製造することができる。例えば、従来の熱酸化プロセスを用いて、ゲート酸化物3を形成する。酸化物3は、N2O、NO、O2、またはそれらのいずれかの組み合わせを用いて形成する。従来のプラズマ・プロセスを用いて、酸化物を窒化させることができる。あるいは、ゲート酸化物を形成するには、ベース酸化物を用い、その後に、酸化アルミニウム、または酸化ハフニウム等の高k誘電体、または別の高kゲート誘電体を堆積すれば良い。ゲート誘電体材料3は、約(±10%)0.6nmから約7nmの範囲内で、ほぼ(±10%)均一な厚さを有する。
次に、ウエハ構造1、2、3の全体を覆うように、膜4を堆積する。膜4は、可処分(除去可能)または半可処分のゲート電極材料として用いる。好適な実施形態では、膜4は、約80nmから約150nmの範囲内でほぼ均一な厚さまたは高さ(T)を有するポリシリコン(ポリSi)材料を含む。低圧気相化学付着(LPCVD:low pressure chemical vapor deposition)または急速熱化学気相付着(RPCVD:rapid thermal chemical vapor deposition)等の堆積技法を用いて、除去可能ゲート電極材料4を堆積する。図1に、結果として得られる構造を示す。ポリSi層4は、好ましくは、約1nmから約40nmの範囲の標準的な粒径を有する。
次に、従来のリソグラフィ・プロセスを用いて、除去可能ゲート電極材料4の上に、フォトレジスト画像をパターニングする。図には示さないが、フォトレジスト画像を用いて、従来のドライ・エッチング・プロセスを利用することによって、除去可能ゲート電極材料4内に所望の構造体を転写する。ドライ・エッチング・プロセスは、ゲート酸化物材料3に対して選択的に除去可能ゲート電極材料4をエッチングすることができるいくつかの化学成分を含む。図2に示す構造は、n型FETゲート・スタック3、5のための完全にパターニングした除去可能ゲート電極5、および、p型FETゲート・スタック3、6のための除去可能ゲート電極6を示す。
次いで、図には示さないが、高性能論理回路製造プロセスにおいて一般的に行われるように、従来のゲート再酸化プロセスを用いる。再酸化は、熱酸化プロセスを用いて約1nmから約7nmのほぼ均一な厚さを達成することで形成する。再酸化プロセスの後に、従来のフォトリソグラフィ・プロセスを用いて、p型FET領域を覆うように、ブロック・マスクをパターニングする。ブロック(例えばレジスト)マスク(図には示さない)を用いて、適切なn型FET領域を注入している間にp型FET領域が注入されるのを阻止または防ぐ。n型FET拡張部7およびハロ(図示せず)は、それぞれ低エネルギAsおよびBの注入を用いて注入される。次いで、ドライまたはウエット・エッチングを用いて、レジスト・マスクを除去する。n型FET領域を覆うように、別のブロック・マスク(図示せず)をパターニングする。p型FET拡張部8およびハロ(図示せず)を、それぞれ低エネルギBF2またはBの注入およびAsの注入を用いて注入する。図2に、n型FETおよびp型FETのための拡張注入プロファイル7、8を示す。
拡張部およびハロを注入した後、ウエハ構造全体を覆うように、誘電体ライナ層9を形成する(図3)。ライナ層9として用いる誘電膜は、好ましくは、CVDもしくはRTCVDまたは他のいずれかの適切な堆積技法によって堆積されるSiNである。ライナ層9の目的は、次のプロセスのためのCMPストップ層を設けることである。更に、SiNライナ9は、プロセス・フローの後の時点でエッチングして、ソース−ドレイン・スペーサを形成する。図3に、結果として得られる構造を示す。
プロセス・フローの次のステップは、酸化物膜10を堆積することである。酸化物膜10を堆積し、化学機械研磨(CMP:chemical mechanical polishing)を用いて平坦化する。膜10は、例えば、高密度プラズマ(HDP:high density plasma)プロセスを用いて堆積する。除去可能ゲート電極5の上のライナ9の上部は、ドライ・エッチング・プロセスを用いて除去する。このプロセスは、窒化シリコンをエッチングすることができるが、酸化物またはポリSiの相当量をエッチングしない。図4に、ライナ層9の上部を除去した後の、平坦化した酸化物膜10およびSiNライナ9構造を示す。
本発明の重要な態様は、本発明のプロセス・フローのこの時点で、ゲート・リセス・プロセスを用いて、ゲート電極5、6から、完全にまたは部分的に、ポリSiを除去することである。図5に、ポリSiを部分的に除去する好適な実施形態を示す。いずれかの適切なドライまたはウエット・エッチング・プロセスを用いて、ポリSiにくぼみを形成する(recessed)。最初のポリSiの部分12および部分13は、残ったままであり、約1nmから約20nmの範囲内でほぼ均一な厚さを有する。図5に、n型FETゲート電極のリセス部分12およびp型FETゲート電極のリセス部分13を示す。別の実施形態(図示せず)では、ポリSiを完全に除去する。この実施形態を用いる場合、従来のゲート酸化物前洗浄プロセスを行い、その後に従来のゲート酸化プロセスを実行する。
本発明の別の重要な態様は、ゲート・リセス・プロセスの後に、ウエハ全体を覆うように、細粒ポリSiを堆積することである。細粒ポリシリコンは、Shimizu, S等のProceedings ofthe 1997 Symposium on VLSI Technology(京都(日本)、1997年6月10〜12日)、および、Silicon Processing for The VLSI Era, Vol 1 Process Technology、S. Wolf(1999年)から公知である。好ましくは、粒構造は、約1nmから約50nmの範囲内である。より好ましい粒径は、約5nmから30nmの範囲の実質的に等しい大きさである。ポリSiは、RTCVDまたはLPCVDによって堆積する。次に、例えばCMPまたはドライ・エッチングを用いて、酸化物層10の上から、ポリSiを平坦化し、くぼませる。CMPおよびドライ・エッチング・プロセスは、双方とも、SiO2層10に対して選択的にポリSiを除去することができる。図6に、CMPおよびドライ・エッチングの後の、n型FETのための本発明のゲート電極構造17、12、および、p型FETのための本発明のゲート電極構造13、18を示す。
本発明の方法のこの時点で、n型FET領域を覆うように、図7に示すハード・マスク15をパターニングする。マスク15は、SiNを含む誘電膜および従来のフォトリソグラフィ手順を用いてパターニングする。約350℃から約700℃の範囲の温度で、プラズマ・エンハンス化学気相付着等の低温堆積プロセスを用いて、ハード・マスク15を堆積する。好ましくは、550度以下の温度で、ハード・マスク15を形成する材料を堆積して、ポリSi粒の再成長を防ぐ。次に、構造全体を、約500℃から約600℃の温度を用いて約1時間アニーリングする。あるいは、約700℃から約1000度で約1秒から約7秒間の熱アニーリングを実行しても良い。別の実施形態では、構造12、14は、プロセスのもっと後の時点でアニーリングを行う。n型FETゲート電極部17では、細粒ポリSiは、好ましくは約5nmから約30nmの範囲で小さいままである。なぜなら、SiNキャップ層15が存在するからである。しかしながら、p型FETゲート電極部18の粒は、約30nmを超える粒径まで著しく成長する。
本発明者等は、本発明のプロセス(例えば図7に関して)が、従来のプロセス技術からの大きな転換を示すと考える。細粒ポリSiが標準的な熱量を受ける場合、ポリSi粒の成長によって引張り応力が著しく増大する。引張り応力は、チャネル領域において圧縮応力を生成し、これが電子移動度を劣化させ、n型FETの性能を制限する。図10のシミュレーションを参照のこと。本発明者等は、粒の成長およびその結果としての引張り応力の顕著な増大は、n型FET領域を覆うように配置したSiNハード・マスク15を用いたアニーリングによってほぼ完全に排除されると考える。細粒ポリSiをくぼみ内に堆積し、n型FETをSiNハード・マスクを用いてアニーリングすることによって、各デバイスごとに別個に粒構造を最適化することができる。このステップは、n型FETデバイス性能を著しく向上させる結果となると思われる。
本発明のプロセスの次のステップは、酸化物膜10の水平部分全体から、または水平部分全体から(図8に示すように、部分17上を除いて)、Siハード・マスク15を除去することである。ポリSiは前述のようにくぼませているので、図8に示すように、ハード・マスク15の部分19は、くぼみ孔内に残ったままである(配置されている)。構造19の目的は、標準的な最新の半導体製造プロセス技術において一般的に行われる、この後の従来の熱サイクルの間に、粒の成長を防ぐことである。SiNをエッチングした後、SiNおよびポリSi材料に対して選択的にSiO2膜を除去することができる適切なドライまたはウエット・エッチング・プロセスを用いて、酸化物膜10を除去する。次に、方向性ドライ・エッチング・プロセスをライナ層9に行って、図8に示すように、スペーサ20、25を形成する。本発明に必須ではないが、p型FETのスペーサ20およびn型FETのスペーサ25は、図示のように異なる高さを有する場合がある。n型FETおよびp型FET拡張領域7、8を形成するのに用いたものと同様のブロック・マスクおよび注入プロセスを用いて、図8に示すように、n型FETソース−ドレイン領域21およびp型FETソース−ドレイン領域22を形成する。次に、急速熱アニーリングを用いて、接合を活性化する。n型FETにはSiN層19が存在し続けるので、n型FETゲートにおける粒の成長は抑えられ、これによって、ゲート電極スタック3、12、17の張力、およびこのスタックの下のチャネル領域における以降の圧縮は、最小限に抑えられる。
次に、ウエットまたはドライ・エッチング・プロセスを用いて、ゲート電極スタックの上の残り部分19を除去する。次に、シリサイド前洗浄プロセスを実行し、その後に従来のシリサイド・プロセスを行う。図9およびシリサイド23を参照のこと。標準的な後半の(back-end-of-line)処理を行う。この処理には、前金属誘電体堆積および平坦化、コンタクト・エッチング、コンタクト・ライナ形成およびコンタクト形成が含まれる。その後に、金属配線および最終チップ形成を行う。これらは全て図示しない。
シミュレーションの結果によって、キャップのないポリSiにおける引張り応力のレベルは、約600℃の温度で約1時間アニーリングを行った結果、約600MPaから約1200MPa増大するが、キャップを有するポリSiでは、引張り応力の増大は約10MPaのみであることが示される。我々のシミュレーション結果は、ゲート材料における応力の約33%から約50%(ゲート応力に対して逆の符号を有する)は、チャネル領域内に移すことができることを示す。このため、キャップなしのゲート・スタックは、−200MPaから−300MPaを印加するが、キャップありのゲート・スタックは、チャネル領域にほとんどまたは全く応力が移らない。図10のグラフに、応力曲線の1つのシミュレーションを示す。
現時点で本発明の好適な実施形態と考えられるものを図示し説明したが、本発明の精神および範囲から逸脱することなく、様々な変更および変形を実施可能であることは、当業者には明らかであろう。本発明の精神および範囲は、特許請求の範囲によってのみ限定されるものとする。
本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な実施形態によるプロセス・ステップの側面概略図である。 本発明の好適な構造のゲートおよびチャネルにおける応力曲線のシミュレーションを示す図である。

Claims (7)

  1. 半導体デバイス構造を製造する方法であって、
    基板を設けるステップと、
    前記基板上のn型FETおよびp型FETを形成する領域上に、それぞれ、パターニングされた第1のゲート電極および第2のゲート電極を形成するステップと、
    前記第1のゲート電極および第2のゲート電極の周囲に絶縁膜を形成し、該絶縁膜を平坦化することにより、前記第1のゲート電極および第2のゲート電極の上面を露出するステップと、
    前記露出した第1のゲート電極および第2のゲート電極を、一部、または、全部分をエッチング除去して、それぞれ、第1のくぼみおよび第2のくぼみを形成するステップと、
    前記第1のくぼみおよび第2のくぼみを、細粒ポリシリコンによって埋め込み、前記エッチング除去された第1のゲート電極および第2のゲート電極の領域を細粒ポリシリコンによって置換するステップと、
    前記第1のくぼみ内に形成されたn型FETの前記細粒ポリシリコンの上面を覆うようにSiN膜を形成し、次いで前記n型FETおよび前記p型FETの前記細粒ポリシリコンを加熱して、前記p型FETの前記細粒ポリシリコンのポリシリコン粒を成長させるとともに、前記n型FETの前記細粒ポリシリコンのポリシリコン粒の再成長を防止して、前記n型FETの前記ポリシリコン粒の平均直径が前記p型FETの前記ポリシリコン粒の平均直径未満になるようにする、ステップと、を含
    前記p型FETには、前記ポリシリコン粒の再成長により、チャネル領域に圧縮応力を印加する方法。
  2. 前記加熱するステップが、500℃から600℃の範囲内の温度で1時間、前記n型FETおよび前記p型FETを加熱することを含む、請求項に記載の方法。
  3. 前記細粒ポリシリコンが5nmから30nmの範囲の平均粒径を有する、請求項に記載の方法。
  4. 前記置換するステップが、前記第1のゲート電極および第2のゲート電極の前記一部を除去して、前記第1のくぼみおよび第2のくぼみを形成し、次いで前記第1のくぼみおよび第2のくぼみ内に前記細粒ポリシリコンを配置することを含む、請求項に記載の方法。
  5. 前記置換するステップが、前記第1のゲート電極および第2のゲート電極の全部分を除去して、前記第1のくぼみおよび第2のくぼみを形成し、次いで前記第1のくぼみおよび第2のくぼみ内に前記細粒ポリシリコンを堆積することを含む、請求項に記載の方法。
  6. 前記第1のゲート電極および第2のゲート電極の側面にスペーサを形成するステップを更に含み、前記第1のゲート電極の側面に設けられたスペーサと前記第2のゲート電極の側面に設けられたスペーサとが異なる高さを有する、請求項に記載の方法。
  7. 前記第1のゲート電極および第2のゲート電極の側面にスペーサを設けるステップを更に含み、前記第2のゲート電極の側面に設けられたスペーサの高さが、前記第1のゲート電極の側面に設けられたスペーサの高さ未満である、請求項に記載の方法。
JP2006539779A 2003-11-14 2004-11-09 半導体デバイス構造を製造する方法 Expired - Fee Related JP4843498B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/707,018 2003-11-14
US10/707,018 US7122849B2 (en) 2003-11-14 2003-11-14 Stressed semiconductor device structures having granular semiconductor material
PCT/US2004/037434 WO2005050701A2 (en) 2003-11-14 2004-11-09 Stressed semiconductor device structures having granular semiconductor material

Publications (2)

Publication Number Publication Date
JP2007511909A JP2007511909A (ja) 2007-05-10
JP4843498B2 true JP4843498B2 (ja) 2011-12-21

Family

ID=34573430

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006539779A Expired - Fee Related JP4843498B2 (ja) 2003-11-14 2004-11-09 半導体デバイス構造を製造する方法

Country Status (7)

Country Link
US (2) US7122849B2 (ja)
EP (1) EP1683187B1 (ja)
JP (1) JP4843498B2 (ja)
KR (1) KR100946038B1 (ja)
CN (1) CN100468785C (ja)
AT (1) ATE512465T1 (ja)
WO (1) WO2005050701A2 (ja)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10245153A1 (de) * 2002-09-27 2004-04-15 Infineon Technologies Ag Integrierter Feldeffekttransistor mit zwei Steuerbereichen, Verwendung dieses Feldeffekttranistors und Herstellungsverfahren
JP4085891B2 (ja) * 2003-05-30 2008-05-14 ソニー株式会社 半導体装置およびその製造方法
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7381609B2 (en) * 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
CN100501998C (zh) * 2005-04-11 2009-06-17 恩益禧电子股份有限公司 半导体器件
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7470943B2 (en) 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
JP5222583B2 (ja) * 2007-04-06 2013-06-26 パナソニック株式会社 半導体装置
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
KR20100101446A (ko) 2009-03-09 2010-09-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US8034692B2 (en) 2009-10-20 2011-10-11 International Business Machines Corporation Structure and method for manufacturing asymmetric devices
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
CN102376582A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法
JP5422534B2 (ja) * 2010-10-14 2014-02-19 株式会社東芝 不揮発性抵抗変化素子および不揮発性抵抗変化素子の製造方法
CN102456621A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法
US8883623B2 (en) 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183346A (ja) * 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
JP2002093921A (ja) * 2000-09-11 2002-03-29 Hitachi Ltd 半導体装置の製造方法
JP2002329868A (ja) * 2001-04-27 2002-11-15 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
JP2005079559A (ja) * 2003-09-04 2005-03-24 Hitachi Ltd 半導体装置

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) * 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
EP0469215B1 (en) * 1990-07-31 1995-11-22 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
KR100230359B1 (ko) * 1996-04-04 1999-11-15 윤종용 저온 폴리실리콘 박막 트랜지스터의 제조방법
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6274467B1 (en) * 1999-06-04 2001-08-14 International Business Machines Corporation Dual work function gate conductors with self-aligned insulating cap
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6423615B1 (en) * 1999-09-22 2002-07-23 Intel Corporation Silicon wafers for CMOS and other integrated circuits
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
AU2002349881A1 (en) * 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6534390B1 (en) * 2002-01-16 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Salicide method for producing a semiconductor device using silicon/amorphous silicon/metal structure
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) * 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183346A (ja) * 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
JP2002093921A (ja) * 2000-09-11 2002-03-29 Hitachi Ltd 半導体装置の製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2002329868A (ja) * 2001-04-27 2002-11-15 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
JP2005079559A (ja) * 2003-09-04 2005-03-24 Hitachi Ltd 半導体装置

Also Published As

Publication number Publication date
WO2005050701A2 (en) 2005-06-02
EP1683187A4 (en) 2008-08-27
US7122849B2 (en) 2006-10-17
WO2005050701A3 (en) 2006-01-05
KR20070015499A (ko) 2007-02-05
JP2007511909A (ja) 2007-05-10
EP1683187A2 (en) 2006-07-26
KR100946038B1 (ko) 2010-03-09
CN100468785C (zh) 2009-03-11
US20080064172A1 (en) 2008-03-13
WO2005050701A8 (en) 2005-11-03
ATE512465T1 (de) 2011-06-15
CN1879227A (zh) 2006-12-13
US7488658B2 (en) 2009-02-10
EP1683187B1 (en) 2011-06-08
US20050106799A1 (en) 2005-05-19

Similar Documents

Publication Publication Date Title
JP4843498B2 (ja) 半導体デバイス構造を製造する方法
US7388259B2 (en) Strained finFET CMOS device structures
EP1565931B1 (en) Strained finfet cmos device structures
US7220630B2 (en) Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US7052964B2 (en) Strained channel transistor and methods of manufacture
KR101023208B1 (ko) 인장 변형된 기판을 구비한 mosfet 디바이스와 그제조방법
US8754487B2 (en) Semiconductor device with metal gate
US7321155B2 (en) Offset spacer formation for strained channel CMOS transistor
US7612389B2 (en) Embedded SiGe stressor with tensile strain for NMOS current enhancement
JP4982958B2 (ja) 半導体装置とその製造方法
US8790991B2 (en) Method and structure for shallow trench isolation to mitigate active shorts
US20070269970A1 (en) Structure and method for forming cmos devices with intrinsically stressed silicide using silicon nitride cap
US20050054148A1 (en) METHOD AND STRUCTURE FOR IMPROVED MOSFETs USING POLY/SILICIDE GATE HEIGHT CONTROL
US20060166457A1 (en) Method of making transistors and non-silicided polysilicon resistors for mixed signal circuits
US8324040B2 (en) Semiconductor device and method for fabricating the same
US20090065807A1 (en) Semiconductor device and fabrication method for the same
US7898036B2 (en) Semiconductor device and process for manufacturing the same
JP2010502025A (ja) 簡単化されたデュアル応力ライナ構成を用いる向上した性能をもつ半導体構造体
KR100714929B1 (ko) 변형된 FinFET CMOS 장치 구조

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071002

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110814

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110814

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110815

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110927

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20110927

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111007

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees