TW201437416A - 低收縮介電薄膜 - Google Patents

低收縮介電薄膜 Download PDF

Info

Publication number
TW201437416A
TW201437416A TW102148000A TW102148000A TW201437416A TW 201437416 A TW201437416 A TW 201437416A TW 102148000 A TW102148000 A TW 102148000A TW 102148000 A TW102148000 A TW 102148000A TW 201437416 A TW201437416 A TW 201437416A
Authority
TW
Taiwan
Prior art keywords
ruthenium
plasma
containing precursor
substrate processing
substrate
Prior art date
Application number
TW102148000A
Other languages
English (en)
Inventor
Suk-Won Hong
Toan Tran
Abhijit Mallick
Jing-Mei Liang
Nitin K Ingle
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201437416A publication Critical patent/TW201437416A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明描述在基板上形成介電層之方法,且該方法可包括將第一前驅物引入至遠端電漿區域中,該遠端電漿區域與基板處理腔室之基板處理區域流體耦接。可在遠端電漿區域中形成電漿以產生電漿流出物。可將電漿流出物導入至基板處理區域中。可將含矽前驅物引入至基板處理區域中,且含矽前驅物可包括至少一個矽-矽鍵。可在處理區域中使電漿流出物及含矽前驅物反應以形成矽基介電層,該介電層在基板上形成時起初為可流動的。

Description

低收縮介電薄膜 【相關專利申請案之交叉引用】
本申請案主張於2013年3月15日提交申請之美國非臨時專利申請案第13/834,333號之優先權,該美國非臨時專利申請案主張於2013年1月25日提交申請之標題為「Low Shrinkage Dielectric Films」之美國臨時申請案第61/756,762號之權益。出於所有目的以全文引用之方式將以上每個揭示案併入本文。
本發明技術係關於半導體製程及設備。更特定而言,本發明技術係關於形成介電材料。
隨著積體電路晶片製造商不斷增加每個晶片上電路元件之密度,填充分離彼等元件之間隙變得更具挑戰性。增加的電路元件密度已使得相鄰元件之間更短的寬度成為必需。由於該等間隙之寬度收縮比該等間隙之高度收縮更快,故高度與寬度之比率(稱為深寬比)按比例增加。使用介電材料之均勻薄膜填充高且窄的間隙(亦即,高深寬比間隙)比填充淺且寬的間隙(亦即,低深寬比間隙)更加困難。
填充高深寬比間隙通常遭遇的一個難題係空隙之形成。在高深寬比間隙中,填充間隙之介電材料傾向於以更快的速率在間隙之頂端周圍沉積。通常,在已完全填充間隙前,介電材料將封閉頂部,留下空隙。即使當間隙之頂部並未過早閉合,介電薄膜沿間隙之側壁向下的不均勻生長速率可在間隙填充之中間產生薄弱的接縫。該等接縫稍後可導致裂痕,不利地影響裝置之實體完整性及介電特性。
一種避免介電間隙填充中形成空隙及薄弱接縫之技術係以較低沉積速率填充間隙。較低沉積速率可給予介電材料更多時間以在間隙之內表面上再分佈以減少過度頂側生長之幾率。較低沉積速率亦可係增加與介電沉積同時發生之蝕刻或濺鍍之結果。舉例而言,在HDPCVD中,間隙之頂部角落處的介電材料比間隙之側壁及底部部分上的材料蝕刻更快。此增加了間隙之頂側將保持開口之幾率,從而使得側壁及底部可完全填滿介電材料。然而,減小介電沉積速率亦導致沉積花費更長時間完成。更長的沉積時間減小了基板晶圓經由沉積腔室處理的速率,導致腔室效率下降。
因此,需要改良的系統及方法以用無空隙介電薄膜填充短寬度、高深寬比之間隙。藉由本發明之系統及方法解決該等及其他問題。
本發明描述在基板上形成介電層之方法,且該方法可包括將第一前驅物引入至遠端電漿區域中,該遠端電漿區域與基板處理腔室之基板處理區域流體耦接。可在遠端電漿 區域中形成電漿以產生電漿流出物。可將電漿流出物導入至基板處理區域中。可將含矽前驅物引入至基板處理區域中,且含矽前驅物可包括至少一個矽-矽鍵。可在處理區域中使電漿流出物及含矽前驅物反應以形成矽基介電層,該介電層在基板上形成時起初為可流動的。
含矽前驅物可包括至少兩個矽-矽鍵,且可包括三個或更多個矽-矽鍵。第一前驅物可包括選自包括氨、氮、H2、Ar及He的群組中之一或更多種前驅物,及亦可包括額外載氣或前驅物之組合。可經由淋噴頭將電漿流出物及含矽前驅物引入至處理區域中,該淋噴頭經配置以維持前驅物之分離,使得該等前驅物在進入基板處理區域前不彼此接觸。維持基板與淋噴頭相隔小於或約3吋之距離,且在所揭示之實施例中可維持基板與淋噴頭相隔更近或更遠。基板處理區域在沉積製程期間可為無電漿,及在替代配置中,可在處理區域內提供直接電漿。
含矽前驅物可具有化學式SixHy,其中x係大於或等於2,且y係2x+n或更大,其中n係小於或等於2之任何數字。亦可將含矽前驅物以實質氣相引入至處理區域中。可在小於或等於約30℃溫度下執行沉積之方法,且製程期間之壓力可為小於或等於約5托。藉由所描述之製程產生的所形成薄膜可具有大於或等於約1.4g/cc之密度。
方法亦可包括在形成矽基介電層後停止含矽前驅物之引入。然而,可將電漿流出物連續導入至基板處理區域中,以便緻密化形成的介電層。方法可進一步包括退火形成的矽 基介電層,且在退火期間,形成的矽基介電層可收縮小於約20%。
亦描述用於在處理腔室之基板處理區域中的基板上形成介電層的方法。方法可包括將第一前驅物引入至與基板處理區域流體耦接之遠端電漿區域中,同時在遠端電漿區域中形成電漿以產生電漿流出物。方法亦可包括將電漿流出物導入至基板處理區域,及將含矽前驅物引入至基板處理區域。在所揭示之實施例中,含矽前驅物可包括至少一個矽-矽鍵。方法亦可包括在基板處理區域中使電漿流出物及含矽前驅物反應以形成矽基介電層,該介電層在基板上形成時起初為可流動的。方法可進一步包括使用至少一種額外前驅物固化形成的矽基介電層。
所使用之至少一種額外前驅物可包括含氧前驅物、含氮前驅物或含碳前驅物。方法亦可包括將電漿流出物導入至基板處理區域以緻密化所固化的介電層。所使用之含矽前驅物可具有化學式SixHy,其中係x大於或等於2,且y係2x+n或更大,其中n係小於或等於2的任何數字,且其中將含矽前驅物以實質氣相引入至處理區域中。引入至處理腔室中以形成矽基介電層的前驅物可包括一或更多種惰性前驅物及具有矽-矽鍵及矽-氫鍵的含矽前驅物。方法亦可包括退火所形成的矽基介電層之步驟。當執行時,退火可將形成的介電層在退火期間收縮小於約20%。
此技術相較於習知技術可提供眾多益處。舉例而言,所揭示之沉積製程可產生更緻密且更高品質之薄膜。因 此,可在退火期間或形成後操作期間減小或防止薄膜收縮。將結合下文描述及隨附圖式更詳細地描述該等及其他實施例以及眾多優勢及特徵。
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作
140‧‧‧操作
210‧‧‧操作
220‧‧‧操作
230‧‧‧操作
240‧‧‧操作
250‧‧‧操作
260‧‧‧操作
270‧‧‧操作
301‧‧‧基板處理腔室
310‧‧‧遠端電漿系統/RPS
311‧‧‧氣體入口組件
312‧‧‧第一通道
313‧‧‧第二通道
320‧‧‧腔室電漿區域
321‧‧‧蓋/導電頂部部分
324‧‧‧絕緣環
326‧‧‧長度
350‧‧‧最小直徑
351‧‧‧中空體積
353‧‧‧淋噴頭
355‧‧‧小孔
356‧‧‧通孔
370‧‧‧基板處理區域
375‧‧‧基座
380‧‧‧基板
400‧‧‧系統
402‧‧‧前端開口晶圓盒
404‧‧‧機器人臂
406‧‧‧低壓固持區域
408a‧‧‧基板處理腔室
408b‧‧‧基板處理腔室
408c‧‧‧基板處理腔室
408d‧‧‧基板處理腔室
408e‧‧‧基板處理腔室
408f‧‧‧基板處理腔室
410‧‧‧第二機器人臂
可參考本說明書之其餘部分及圖式實現對所揭示技術之本質及優勢的進一步理解。
第1圖圖示根據所揭示之實施例之用於形成介電薄膜的製程之流程圖。
第2圖圖示根據所揭示之實施例之用於形成介電薄膜的製程之另一流程圖。
第3A圖圖示根據所揭示之技術之基板處理腔室的一部分之示意性橫截面圖。
第3B圖圖示根據所揭示之技術之淋噴頭之底部平面圖。
第4圖圖示根據所揭示之技術之示例性基板處理系統之俯視平面圖。
在隨附圖式中,類似組件及/或特徵可具有相同數字元件符號。進一步,相同類型之各個組件可由元件符號後的字母區分,該字母在類似元件及/或特徵中各不相同。只要本說明書中使用第一數字元件符號,該描述便適用於具有相同第一數字元件符號的類似元件及/或特徵中之任一者而無關於字母後綴如何。
本發明技術包括用於在基板上沉積矽基材料的經改 良之製程及化學輪廓。儘管習知製程可沉積類似材料之薄膜,但是薄膜可遭受降低的品質及密度。因此,當緻密化較低品質薄膜以產生較高品質薄膜時,薄膜收縮可發生,該收縮可對底層裝置具有不良效應。舉例而言,隨著裝置幾何形狀減小,矽或裝置基座可具有更薄的壁輪廓。當在結構內部沉積薄膜及緻密化薄膜時,收縮薄膜可對底層結構施加應力,此應力可使得圖案變形。然而,本發明技術可形成基本上比習知薄膜收縮更少的可流動薄膜。在此情況下,可覆蓋更加複雜之圖案。舉例而言,裝置內部之溝槽可具有極高深寬比,諸如具有高度:寬度比率大於或約5:1、8:1、10:1等等或更高,且因此提供自下而上填充輪廓可能需要可流動介電薄膜。若緻密化時該等薄膜亦收縮更少,則對底層結構施加更少應力,及可改良總體製程品質。
本發明描述在基板上形成介電層之方法,且該等方法可包括將第一前驅物引入至遠端電漿區域中,該遠端電漿區域與基板處理腔室之基板處理區域流體耦接。可在遠端電漿區域中形成電漿以產生電漿流出物。可將電漿流出物導向至基板處理區域中。可將含矽前驅物引入至基板處理區域中,且含矽前驅物可包括至少一個矽-矽鍵。可在處理區域中反應電漿流出物及含矽前驅物以形成矽基介電層,該介電層在基板上形成時起初為可流動的。
矽基薄膜之沉積中所使用之前驅物可包括影響沉積薄膜之品質的各種額外分子。舉例而言,羥基之存在可增加沉積薄膜之流動性。然而,在最終薄膜中移除該等基團以產 生較高品質薄膜可為理想的。該等基團之移除或用包括氧、氮及碳的其他材料取代(例如)可減小沉積或形成之薄膜之總體密度。因此,當執行用於緻密化薄膜之後續處理步驟時,薄膜可收縮,此情況可產生對底層結構的不當應力。發明者已有利地決定,藉由減少所使用之前驅物中除矽以外包括的材料,所得薄膜可具有改良的品質且與基於變化更多之前驅物基團的習知薄膜相比可具有減小的收縮。
為了更好地理解及瞭解本發明,現將參考第1圖,該圖圖示根據所揭示之實施例之用於形成介電薄膜的製程之流程圖。在第一操作前,可將基板傳遞至製程腔室中,諸如下文所描述之彼等製程腔室。可預先圖案化或相對清洗基板。可能已經執行各種前端處理,包括閘極、通孔、溝槽及其他結構之形成。該等結構可為奈米尺度,且例如,需要填充的溝槽或間隙可具有小於約100nm之寬度,及或者可小於或約75nm、50nm、40nm、30nm、25nm、20nm、10nm等等或更小。可隨後將經圖案化之基板傳遞至基板處理區域以便沉積介電材料。在所揭示之實施例中,若在待發生沉積製程的同一腔室中執行先前操作,則基板可已經位於處理區域中。在操作110處,可將第一前驅物引入至處理腔室之電漿區域中,該電漿區域自基板所在腔室之處理區域分離或移除。第一前驅物可包括若干前驅物材料中之一者。舉例而言,第一前驅物可為一或更多種惰性氣體,包括氬、氦、氮等等。或者或另外,可使用額外氣體,且額外氣體可包括氨(NH3)、氫或含氮及/或含氫氣體。在所揭示之實施例中,第一前驅物 可只包括惰性氣體,及在一示例性製程中,將氬或氦傳遞至電漿區域。
分離電漿區域在本文中可稱為遠端電漿區域及可處於與處理腔室分離的不同模組內部,或稱為處理腔室內部的隔室。可在遠端電漿區域內部形成電漿,從而自第一前驅物產生電漿流出物。在操作120處,將產生的電漿流出物導入至基板處理區域中。此舉可以眾多方式實現,該等方式包括使用壓力差、產生之電場或一些其他將離子流及/或電子流導入至處理區域中之已知機制。在操作120前、操作120後或與操作120同時,可將含矽前驅物引入至基板處理區域中。在所揭示之實施例中,含矽前驅物包括至少一個矽-矽鍵。
可在基板處理區域中使電漿流出物及含矽前驅物反應以在基板上形成矽基介電層。當起初形成時或當起初沉積時,形成的材料起初可為可流動的,此情況可允許該材料向下流入至溝槽中以填充在基板上所界定之圖案。介電材料可基於電漿物質與含矽前驅物之間的反應。前驅物可在彼此接觸後直接開始反應,且因此前驅物在進入基板處理區域之前可為分離的。可藉由諸如下文將進一步描述之雙通道淋噴頭之組件執行此分離。可配置淋噴頭以維持前驅物之分離以便防止前驅物彼此接觸,直至該等前驅物進入或被傳遞至基板處理區域中。先前技術可已依賴於如此沉積的薄膜中包括水或羥基以潛在給予薄膜流動性。如先前所述,儘管如此,該等基團可產生較弱薄膜,該等薄膜在緻密化後可產生令人不可接受的收縮。然而,本發明技術使用可協同允許流動性發 生之各種參數。
不受限於特定理論,沉積薄膜中矽-矽鍵之數目可直接對應於產生薄膜之收縮量。舉例而言,薄膜中矽-矽鍵愈多,因緻密化而可發生收縮愈少,因為可給予更少額外聚合及移除不當物質更少。諸如當正在形成氧化矽薄膜時,在初始沉積後,可氧化形成的薄膜或形成的薄膜可與額外材料反應以便將氧合併至聚合物基質中,及移除諸如氫、氮、碳等等之殘餘材料。自薄膜移除的更多材料可在薄膜內部留下微孔,該等微孔在諸如退火之緻密化期間被移除。該等微孔之移除可壓縮薄膜,沿薄膜接觸之表面施加應力。該等應力可克服允許結構變形之閾值,此舉可破壞底層結構。因此,本發明技術可使用含矽前驅物,該等含矽前驅物最大化矽-矽鍵之數目及最小化額外分子鍵合。以此方式,在固化或其他操作期間可需要移除更少材料,此舉可減小最終薄膜之收縮量。
在所揭示之實施例中,該技術可使用矽烷及聚矽烷作為含矽前驅物。該等材料可包括或只由矽-矽鍵及矽-氫鍵組成。示例性前驅物可包括至少一個矽-矽鍵、至少兩個矽-矽鍵、至少三個矽-矽鍵等等。舉例而言,前驅物可選自從二矽烷開始的聚矽烷同系物中之任一者。含矽前驅物亦可選自聚矽烷之各種異構體。舉例而言,若含矽前驅物具有五個矽原子,則組合物可包括正戊矽烷、異戊矽烷、新戊矽烷、2-矽烷基四矽烷、2,2-二矽烷基三矽烷等等中之任一者。含矽前驅物可包括環狀形式或環矽烷,諸如(例如)環六矽烷。聚矽烷亦可包括任何飽和或不飽和化合物,諸如矽烯類及矽炔類。 含矽前驅物亦可具有通式,諸如SixHy。X可為2或大至無限大之任何數字,且Y可為2或大至無限大之任何數字。舉例而言,此最小式將表示二矽炔(Si2H2)。Y亦可係基於X的任何因數。舉例而言,Y可為2X或2X+N,其中n=2,0,-2,-4,-6等等,或N可為小於或等於2的任何數字。技術中所使用之示例性矽烷可包括二矽烷、四矽烷、環六矽烷等等。
該等所揭示之材料中的眾多材料之蒸氣壓係使得材料在許多操作條件下可為實質液相。儘管在所揭示之技術中可以液體形式使用含矽前驅物,但是在實施例中,可將含矽前驅物以蒸氣或實質蒸氣形式引入至處理腔室中。然而,此舉可需要使用額外元件以確保以蒸氣形式引入材料。可使用許多不同機制以傳遞氣相含矽前驅物。舉例而言,在各種其他技術中可使用有或沒有受熱安瓶、起泡器的蒸氣吸取或直接液體噴射。然而,取決於使用的前驅物,可發生額外問題。舉例而言,若將高階矽烷以液相傳遞至噴嘴,則可加熱噴嘴以將材料作為蒸氣傳遞至基板處理區域中。然而,高階矽烷之汽化溫度與高階矽烷之聚合溫度可相對接近,以使得技術可阻塞噴嘴。另外,起泡器可在蒸氣之傳遞中使用惰性氣體,並且引入額外惰性氣體。在此情況下,可需要進一步機制以確保傳遞實際含矽前驅物之適當流以提供適當薄膜形成速率。高階含矽前驅物或矽烷具有更多矽-矽鍵,此情況可導致薄膜之更少收縮,但是該等高階含矽前驅物或矽烷可另外具有極低蒸氣壓,此情況可需要額外組件或機制以提供氣相含矽前驅物至基板處理區域。
再次不受限於任何特定理論,使用所揭示之技術的介電材料之流動性可基於製程參數之組合,該等參數包括關於含矽前驅物的製程之溫度與壓力、使用的電漿功率及淋噴頭或傳遞前驅物之機制與待形成薄膜之基板之間的距離。在處理期間,基板處理區域在沉積期間可相對無電漿、實質上無電漿或完全無電漿。儘管可將第一前驅物之電漿流出物傳遞至基板處理區域中,但是在基板處理區域外部可含有用於產生流出物之電漿。在淋噴頭下或前驅物起初交互作用處可直接發生最大量之反應。電漿流出物可已具有最小量之再結合時間,且因此前驅物之間可發生更大反應。使用之材料可進一步影響該等氣相反應。矽-矽鍵可為比矽-氫、矽-氧及其他矽基鍵更弱的鍵。因此,在該等較大反應發生的情況下,或在使用較高電漿功率的情況下,對於本發明技術之含矽前驅物,可斷開更多數目之鍵。可能結果是,在此區域中形成之介電材料在沉積於基板上時可具有減小的流動性或無流動性。隨著基板與淋噴頭之距離增加,交互作用量可略微或更實質地減小。舉例而言,潛在地兩個矽-矽鍵中僅一者或三個矽-矽鍵中僅一者可斷裂,且因此聚合可包括更長鏈之矽基團,此舉可至少部分地給予流動性至沉積材料。
因此,基板可與淋噴頭或前驅物之間開始交互作用的區域維持一距離,亦即小於或約三吋。在所揭示之實施例中,可將基板與淋噴頭維持相距至少0.1吋。亦可將基板維持在小於或約2吋、1吋、0.9吋、0.8吋、0.7吋、0.6吋、0.5吋、0.4吋、0.3吋、0.2吋、0.1吋或更短之一距離,或維持 在約3吋與約0.01吋之間、2吋與0.1吋之間、1吋與0.2吋之間、0.8吋與0.2吋之間等等。亦可結合製程參數及含矽前驅物或正使用之前驅物決定距離。
在沉積製程期間,可在等於或低於約400℃維持基板,且可在等於或低於約300℃、200℃、100℃、80℃、75℃、50℃、25℃、10℃、0℃、-10℃、-20℃、-30℃或更低,在約30℃與-30℃之間等等維持基板。在製程期間可在等於或低於約100托維持處理腔室,且可在等於或低於約50托、25托、15托、5托、1托、0.1托等等或在約0.1毫托與約10托之間維持處理腔室。亦可至少部分地基於含矽前驅物或正使用之前驅物之蒸氣壓設置溫度與壓力。作為一個非限制性實例,若將四矽烷用作含矽前驅物,且腔室溫度為約-10℃,則四矽烷之蒸氣壓可為約3托以下。因此,若腔室壓力大於約3托,則可發生四矽烷之縮聚。電漿流出物與四矽烷之交互作用亦可給予克服縮聚點的能量。另外,隨著與離電漿源之距離增加,及電漿功率在距離或實際產生功率中一者或兩者上減小,可減少矽-矽鍵斷裂量,此舉可允許較長的聚合物鏈形成。改良聚合(亦即,較長矽-矽鏈)與在前驅物之縮聚點處或附近的操作之益處之組合可至少部分地給予流動性至薄膜。製程亦可使用減少的額外材料產生較長的矽聚合物鏈,此舉可減小最終薄膜之收縮量。技術中所使用之電漿源可包括腔室中所產生但遠離基板處理區域及與基板處理區域流體耦接之電漿,或者模組中所產生與處理腔室分離但與處理腔室流體耦接之電漿。電漿可來自任何已知技術或後來發展之 技術,且可產生介於約0與2000瓦特之間的電漿功率。在所揭示之實施例中,電漿功率可小於或約1000瓦特,或約500瓦特、300瓦特、250瓦特、200瓦特、150瓦特、100瓦特、80瓦特、60瓦特、40瓦特、20瓦特等等或更小。
使用所描述之製程,可產生協同組合,在該協同組合中發生一定量縮聚及一定量聚合以自可僅包括矽與氫鍵合之含矽前驅物產生可流動薄膜。合成薄膜在基板上起初形成時可具有流動性,但可主要由矽-矽鍵及矽-氫鍵組成。可在基質中具有更多矽原子及在沉積薄膜中具有更多矽-矽鍵合之該等薄膜可比習知薄膜收縮更少。有利地,發明者已另外決定,該等薄膜在形成時可具有比習知薄膜增加的密度。如此沉積之薄膜可具有大於或等於約1.2g/cc之密度。薄膜亦可具有大於或等於約1.3g/cc、1.4g/cc、1.5g/cc、1.6g/cc、1.7g/cc、1.8g/cc、1.9g/cc、2.0g/cc、2.1g/cc等等或更大之密度。
在基板上已形成一定量介電材料後,可執行額外製程。可執行一或更多個緻密化操作以增加介電材料之品質。在所揭示之實施例中,一旦已形成決定量之介電材料,可停止含矽前驅物之引入及流動。然而,可繼續產生電漿流出物及將該等流出物導入至形成的介電層處之基板處理區域中以緻密化形成的介電材料。或者或另外,可退火形成的矽基介電層以進一步緻密化該薄膜。退火可為乾式退火(諸如使用氮氣)或可在介於約200℃與更高之間、高於或約400℃、高於或約900℃等等或更高溫度下發生之水蒸汽退火。在退火期間,薄膜收縮量可比習知薄膜更少。舉例而言,薄膜在退 火期間可收縮小於50%。另外,由所揭示之技術產生之薄膜可收縮少於或約40%、30%、25%、20%、15%、10%、5%、0%、-5%、-10%等等或更少。在示例性方法及製程中,發明者已決定可發生負收縮。此負收縮可暗示薄膜在處理期間膨脹。
結合第1圖所描述之上述實例製程可產生實質矽基薄膜,且可用於產生各種結構及特性之多晶矽薄膜。可進一步調節製程以合併額外材料以產生氧化物、氮化物、碳化物及在半導體處理中有用之其他組合物。關於第2圖描述所揭示之技術合併額外材料之另一實例。第2圖圖示根據所揭示之實施例形成介電薄膜的製程之另一流程圖。製程可包括關於第1圖所描述之類似操作。在所揭示之實施例中,在操作210處,可將第一前驅物引入至與基板處理區域流體耦接之遠端電漿區域中,同時在遠端電漿區域中形成電漿以產生電漿流出物。第一前驅物可包括任何先前所描述之前驅物中之一或更多者,且可只由諸如氬、氦或氮之一或更多種惰性前驅物組成。在操作220處,可將電漿流出物導入至基板處理區域中。
在操作230處,可將含矽前驅物引入至基板處理區域中,且含矽前驅物可具有至少一個矽-矽鍵。含矽前驅物可包括任何先前所論述之前驅物中之一或更多者。舉例而言,含矽前驅物可具有化學式SixHy,其中x可大於或等於2,且y係2x+n或更大,及其中n可係小於或等於2之任何數字。另外,可將含矽前驅物以實質氣相引入至處理區域中。在所 揭示之實施例中,引入至處理腔室中以形成矽基介電層的前驅物可由一或更多種惰性前驅物及由含有矽-矽鍵及矽-氫鍵組成的含矽前驅物組成。舉例而言,第一前驅物可由氬或氦組成。在操作240處,可使電漿流出物及含矽前驅物反應以在基板上形成矽基介電層。可以先前描述之任何方式執行該等操作。在已形成初始薄膜後,可在操作250處視情況執行固化操作以使用至少一種額外前驅物固化形成的矽基介電層。
額外前驅物可包括諸如臭氧之含氧前驅物、含氮前驅物或含碳前驅物中之一或更多者。可在有或沒有電漿流出物導入至基板處理區域的情況下引入或使用前驅物。可使用額外前驅物另外取代材料進入矽基介電材料。舉例而言,可使用臭氧或另一含氧前驅物以提供氧,將氧合併至矽基質中以產生氧化矽薄膜。此舉可有助於用額外材料包裝形成的基質,使得可進一步在退火或緻密化操作上幫助減少收縮。可以此方式形成各種薄膜,包括氧化物、氮化物、碳化物、氧碳化物、氧氮化物、碳氮化物等等。在矽基介電質之形成後,接近形成之結束時,可使額外前驅物流動及可在有或沒有含矽前驅物及/或第一前驅物的情況下引入額外前驅物。在固化操作期間可改變腔室之溫度及/或壓力。舉例而言,若薄膜形成操作之溫度低於(例如)30℃,則腔室溫度可升高至高於或約30℃、50℃、100℃、200℃等等或更高。
在額外前驅物材料之可選引入後,在操作260處可視情況緻密化固化或以其他方式形成的介電質。可停止含矽 前驅物及/或額外前驅物之流動,及隨後可執行第一前驅物之流動,或在各種實施例中可繼續該流動。可將自第一前驅物中發展的電漿流出物導入至基板處理區域中以緻密化所形成或所固化的介電層。在所揭示之實施例中,可在固化操作前執行緻密化操作,以使得在操作250前執行操作260。在製程中可視情況執行額外操作,包括在操作270處之退火。可如先前所論述退火所形成、固化及/或緻密化的介電質以改良薄膜之最終品質。可執行進一步沉積後處理,該等處理可包括各種操作(包括UV、電子束)及其他固化或退火類型操作中之一或更多者。在退火期間,矽基介電層可收縮少於或約50%。由所揭示之技術產生之薄膜可收縮少於或約40%、30%、25%、20%、15%、10%、5%等等或更少。可在與薄膜形成或沉積操作相同或不同腔室中執行所有可選操作。藉由在不破壞真空的情況下在腔室中維持基板,可減小或防止所形成的薄膜上之濕氣及其他效應。
技術亦包含將含矽前驅物傳遞至遠端電漿區域中。或者,可藉由在腔室之遠端區域中或或者腔室之處理區域中應用之直接電漿活化含矽前驅物,其中將含矽前驅物傳遞至產生電漿之區域中。在所揭示之實施例中,可將含矽前驅物傳遞至腔室之處理區域或電漿區域中及使用諸如先前所界定類型電漿之電漿活化該含矽前驅物。可用諸如惰性載體之額外流體傳遞含矽前驅物,該等流體可包括氬及在所揭示之實施例中亦可包括氫。可在先前所描述之各種溫度、壓力及電漿功率下執行製程。舉例而言,直接施加之電漿功率可小於 500W,及亦可小於或約300W、200W、100W、80W、70W、60W、50W等等或更小,且溫度可低於或約100℃,及亦可低於或約75℃、50℃、25℃、15℃、10℃、5℃、0℃、-5℃等等或更低。另外,在此實施例及其他所揭示之實施例中,可電氣偏壓基板所在基座。基座之偏壓可提供電場,該電場可用於將自由基物質導向至基板之表面。
所描述之製程協同使用具有矽-矽鍵合的含矽前驅物、溫度、壓力、電漿及沉積距離以產生具有改良品質且減少收縮之可流動薄膜。藉由解釋含矽前驅物之相變輪廓,該技術可有利移除氮、碳、水、羥基及可減小形成的薄膜密度及增加形成的薄膜收縮之其他額外材料。如將理解,可使用對腔室參數及電漿功率之額外修改以可視需要進一步調諧沉積製程。有利地,可執行調諧該等製程而無需破壞真空條件或移動基板至額外腔室。此情況比習知技術可減少總體處理時間且節省成本。將在下文描述示例性處理腔室及系統期間揭示沉積製程參數、化學物質及組件之額外實例。
示例性處理系統
可實施本發明之實施例的沉積腔室可包括高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition;HDP-CVD)腔室、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)腔室、低於大氣壓化學氣相沉積(sub-atmospheric chemical vapor deposition;SACVD)腔室及熱化學氣相沉積腔室,以及其他類型腔室。可實施本發明之實施例的CVD系統之具體實例包括CENTURA ULTIMA® HDP-CVD腔室/系統及PRODUCER® PECVD腔室/系統,以上腔室/系統可購自加州Santa Clara的應用材料公司。
可與本發明之示例性方法使用之基板處理腔室之實例可包括共同受讓之Lubomirsky等人於2006年5月30日提交申請且標題為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」之美國臨時專利申請案第60/803,499號中所展示及描述之彼等實例,出於所有目的將該臨時專利申請案中之全部內容以引用之方式併入本文。額外示例性系統可包括美國專利案第6,387,207號及第6,830,624號中所展示及描述之彼等系統,亦出於所有目的將該等專利案以引用之方式併入本文。
第3A圖圖示根據所揭示之技術之基板處理腔室301的一部分之示意性橫截面圖。遠端電漿系統(remote plasma system;RPS)310可處理氣體,該氣體隨後移動穿過氣體入口組件311。可在氣體入口組件311內部存在兩個不同氣體供應通道。第一通道312可載送氣體穿過遠端電漿系統(RPS)310,而第二通道313可繞過RPS 310。在所揭示之實施例中,第一通道312可用於製程氣體且第二通道313可用於處理氣體。圖示絕緣環324安置在蓋或導電頂部部分321與諸如淋噴頭353之多孔分隔之間,此情況可允許將交流電位相對於淋噴頭353施加至蓋321上。製程氣體可移動穿過第一通道312至腔室電漿區域320中及可藉由腔室電漿區域320中之電漿單獨或與RPS 310組合激勵該製程氣體。腔室電漿區域320及/或RPS 310之組合在本文中可稱為遠端電漿系統。多孔分隔或淋 噴頭353可將腔室電漿區域320與淋噴頭353下的基板處理區域370分離。淋噴頭353可允許腔室電漿區域320中存在之電漿避免直接激勵基板處理區域370中的氣體,同時仍允許經激勵之物質自腔室電漿區域320移動至基板處理區域370中。
可將淋噴頭353定位於腔室電漿區域320與基板處理區域370之間,且允許電漿流出物或前驅物之激勵衍生物或腔室電漿區域320內部所產生之其他氣體穿過複數個通孔356,該等通孔橫貫淋噴頭中所包括之平板或多個平板之厚度。淋噴頭353亦可具有一或更多個中空體積351,該等中空體積可充滿蒸氣或氣體形式之前驅物(諸如含矽前驅物)及穿過小孔355到達基板處理區域370中,而非直接到達腔室電漿區域320中。在所揭示之實施例中,淋噴頭353可比通孔356之最小直徑350之長度更厚。為了維持自腔室電漿區域320穿透至基板處理區域370之激勵物質之明顯濃度,可藉由形成部分穿透淋噴頭353的通孔356之較大直徑部分來限制通孔之最小直徑350之長度326。在所揭示之實施例中,通孔356之最小直徑350之長度可為與通孔356之最小直徑相同的數量級或更小。
在所示之實施例中,淋噴頭353可經由通孔356分配製程氣體,該等製程氣體含有諸如(例如)氬之電漿蒸氣/氣體。另外,淋噴頭353可經由較小孔355分配含矽前驅物,將該含矽前驅物與電漿區域320保持分離。可經由淋噴頭353保持製程氣體或多個製程氣體與含矽前驅物流體分離,直至 前驅物單獨進入處理區域370。前驅物一旦進入處理區域便可彼此接觸及反應以在基板380上形成可流動介電材料。
在實施例中,通孔356之數目可介於約60與約2000之間。通孔356可具有各種形狀但可製成圓形。在所揭示之實施例中,通孔356之最小直徑350可介於約0.5mm與約20mm之間或介於約1mm與約6mm之間。選擇通孔之橫截面形狀中亦存在範圍,該橫截面可製成圓錐形、圓柱形或兩個形狀之組合。用於將氣體引入至基板處理區域370中的小孔355之數目在不同實施例中可介於約100與約5000之間或介於約500與約2000之間。小孔355之直徑可介於約0.1mm與約2mm之間。
第3B圖圖示根據所揭示之技術之淋噴頭353之仰視平面圖。淋噴頭353對應於第3A圖中所示之淋噴頭。描述通孔356在淋噴頭353之底部上具有較大內直徑(inner-diameter;ID)及在頂部上具有較小ID。小孔355實質上均勻分佈於淋噴頭之表面上,甚至在通孔356中間,此舉可有助於提供比本文所描述之其他實施例更加均勻之混合。
在2011年10月3日提交申請之專利申請案第13/251,714號中更加完整地描述額外雙通道淋噴頭以及此處理系統及腔室,出於所有目的將該申請案以引用之方式併入本文以達到與本文所主張之特徵及描述相一致的程度。
當穿過淋噴頭353中的通孔356到達之電漿流出物與發源自中空體積351之穿過小孔355到達之含矽前驅物組合時,可在由基板處理區域370內之基座(諸如上面安置有 基板380的基座375)支撐的基板上產生示例性薄膜。儘管可配備基板處理區域370以支援電漿用於諸如固化之其他製程,但是在示例性薄膜之生長或沉積期間可不存在電漿。
可在淋噴頭353上方的腔室電漿區域320或淋噴頭353下方的基板處理區域370點燃電漿。或者,可在腔室之任何部分中不形成電漿及僅可在RPS單元310中形成電漿。可在腔室電漿區域320中存在電漿以諸如自氬、氦、氫或氨中之一或更多者之流入物產生自由基電漿流出物。在處理腔室之導電頂部部分(諸如蓋321)與淋噴頭353之間施加通常在射頻(radio frequency;RF)範圍內的交流電壓以在沉積期間點燃腔室電漿區域320中的電漿。RF電源產生13.56百萬赫之高RF頻率,但亦可單獨或與13.56百萬赫頻率組合產生其他頻率。
當在介電層之形成期間可開啟基板處理區域370中的底部電漿時或在清洗基板處理區域370邊界處之內表面的同時,可將頂部電漿保持處於低功率或無功率。可藉由在淋噴頭353與腔室之基座375或底部之間施加交流電壓來點燃基板處理區域370中的電漿。可在存在電漿的同時將清洗氣體引入至基板處理區域370中。
基座375可為可移動的,及在所揭示之實施例中該基座可經配置以升高或降低,及該基座可經類似地配置以旋轉。基座375可具有熱交換通道,熱交換流體流動穿過該通道以控制基板之溫度。此配置允許基板溫度經冷卻或加熱以維持相對低溫,諸如約0℃或更低至約200℃或更高。熱交 換流體可包含乙二醇、水或能夠引入熱量或自系統移除熱量之一些其他流體。亦可電阻加熱基座之晶圓支撐圓盤以便使用嵌入電阻加熱元件實現約200℃或更低至約1100℃或更高之相對高溫。可與支撐圓盤之周邊相鄰佈置加熱器元件之外部部分,同時在具有較小半徑的同心圓之路徑上佈置內部部分。可另外經由圓盤盤繞電阻加熱元件以提供更加均勻的溫度。至加熱器元件的電線可穿過基座之桿。
腔室電漿區域或RPS中的區域可稱為遠端電漿區域。在實施例中,可在遠端電漿區域產生自由基前驅物(例如,氬前驅物)且該自由基前驅物移動至基板處理區域中以與含矽前驅物組合。在實施例中,僅藉由氬自由基前驅物激勵含矽前驅物。在實施例中,可將電漿功率基本上僅施加至遠端電漿區域,以確保氬自由基前驅物提供對含矽前驅物的主要激勵。
在使用腔室電漿區域之實施例中,可在與沉積區域分隔之基板處理區域之截面中產生經激勵之電漿流出物。沉積區域(在本文中亦稱為基板處理區域)可為電漿流出物與含矽前驅物混合及反應以在基板(例如,半導體晶圓)上沉積介電材料之區域。經激勵之電漿流出物亦可伴隨有包括(例如)其他惰性氣體或氨的額外氣體。在實施例中,含矽前驅物在進入基板電漿區域前可不穿過電漿。在介電材料之沉積期間,基板處理區域在本文中可描述為「無電漿」。「無電漿」不一定意謂該區域缺乏電漿。在電漿區域內產生的遊離物質及自由電子可移動穿過分隔或淋噴頭中的微孔或孔,但 不可實質上藉由施加至電漿區域之電漿功率激勵含矽前驅物。腔室電漿區域中電漿之邊界難以界定及電漿可穿過淋噴頭中的孔侵佔基板處理區域。在電感耦合電漿的情況下,可直接在基板處理區域內實現少量遊離。此外,可在沒有消除形成薄膜之理想特徵的情況下在基板處理區域中產生低強度電漿。在經激勵之電漿流出物之產生期間電漿之強度離子密度比腔室電漿區域或遠端電漿區域低得多的所有原因不脫離本文所使用之「無電漿」之範疇。
電漿功率可為各種頻率或多個頻率之組合。在示例性處理系統中,可藉由相對於淋噴頭353傳遞至蓋321的RF功率提供電漿。在不同實施例中,RF功率可介於約10瓦特與約2000瓦特之間、介於約100瓦特與約2000瓦特之間、介於約200瓦特與約1500瓦特之間、小於或約100瓦特或小於或約500瓦特。在不同實施例中,在示例性處理系統中施加的RF頻率可為小於約200千赫的低RF頻率、介於約10百萬赫與約15百萬赫之間的高RF頻率或大於或約1十億赫的微波頻率。可將電漿功率電容耦合(capacitively-coupled;CCP)或電感耦合(inductively-coupled;ICP)至遠端電漿區域中。
在前驅物、任何載氣及電漿流出物流動進入基板處理區域370期間,可將基板處理區域370維持在各種壓力下。在不同實施例中,壓力可維持在約0.1毫托與約100托之間、在約1托與約20托之間、小於約5托或小於約3托。
可將沉積系統之實施例合併至較大製造系統中以便 產生積體電路晶片。第3圖圖示根據所揭示之實施例的沉積、蝕刻、烘焙及固化腔室之一個此類系統400。在圖式中,一對前端開口晶圓盒(front opening unified pods;FOUPs)402供應各種大小之基板,該等基板由機器人臂404接收及放置到低壓固持區域406中,隨後再放置到基板處理腔室408a至408f之一者中。可使用第二機器人臂410以將基板晶圓自固持區域406傳送至基板處理腔室408a至408f及返回。可配置每個基板處理腔室408a至408f以執行眾多基板處理操作,該等處理操作除循環層沉積(cyclical layer deposition;CLD)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、蝕刻、預清洗、脫氣、定向及其他基板製程外還包括本文所描述之沉積製程。
基板處理腔室408a至408f可包括一或更多個系統組件用於在基板晶圓上沉積、退火、固化及/或蝕刻介電薄膜。在一配置中,可使用兩對處理腔室(例如,處理腔室408c-408d及處理腔室408e-408f)以在基板上沉積介電材料,及可使用第三對處理腔室(例如,處理腔室408a-408b)以蝕刻經沉積之介電質。在另一配置中,可配置所有三對腔室(例如,腔室408a至408f)以在基板上沉積、固化及緻密化介電薄膜。可在與不同實施例中所示之製造系統分離的一或多個腔室中實施所描述之製程中的任一或更多者。
在先前描述中,出於解釋之目的,已闡述眾多細節以便提供對本發明之各種實施例之理解。然而,對熟習此項 技術者將顯而易見的是,可在沒有該等細節中之一些細節或具有額外細節的情況下實施某些實施例。
已揭示若干實施例,熟習此項技術者將認識到,在不脫離所揭示之實施例之精神的情況下可使用各種修改、替代結構及等效物。另外,並未描述眾多熟知製程及元件以免不必要地模糊本發明。因此,不應將上文描述視為限制本發明之範疇。
在提供值範圍的情況下,應理解,亦具體揭示介於彼範圍之上限與下限之間的每個中間值(精確到下限單位的最小分數),除非上下文另有清楚指示。包含在所述範圍中的任何所述值或中間值與彼所述範圍中的任何其他所述值或中間值之間的每個較小範圍。彼等較小範圍之上限及下限可獨立被包括或不包括在該範圍內,且本發明中亦包含較小範圍內包括上限與下限中一者、不包括上限與下限兩者或包括上限與下限兩者之每個範圍。在所述範圍包括上限與下限中之一者或兩者的情況下,亦包括不包括彼等所包括上限與下限中之一者或兩者的範圍。
如本文所使用及在隨附申請專利範圍中所使用,除非上下文另有清楚指示,否則單數形式之「一(a)」、「一(an)」及「該」包括複數引用。因此,例如,對「一孔」之引用包括複數個此類孔,及對「該平板」之引用包括引用一或更多個平板及為熟習此項技術者所知的平板等效物等等。
又,當在本說明書及以下申請專利範圍中使用時,字詞「包含(comprise(s))」、「包含(comprising)」、「含有 (contain(s))」、「含有(containing)」、「包括(include(s))」及「包括(including)」意欲指定所述特徵、整數、組件或步驟之存在,但並未排除一或更多個其他特徵、整數、組件、步驟、行為或群組之存在或添加。
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作
140‧‧‧操作

Claims (20)

  1. 一種在一處理腔室之一基板處理區域中的一基板上形成一介電層之方法,該方法包含以下步驟:將一第一前驅物引入至與該基板處理區域流體耦接之一遠端電漿區域中,同時在該遠端電漿區域形成一電漿以產生電漿流出物;將該等電漿流出物導入至該基板處理區域中;將一含矽前驅物引入至該基板處理區域中,其中該含矽前驅物包括至少一個矽-矽鍵;以及在該基板處理區域中使該等電漿流出物及含矽前驅物反應以形成一矽基介電層,該介電層在該基板上形成時起初為可流動的。
  2. 如請求項1所述之方法,其中該含矽前驅物包括至少兩個矽-矽鍵。
  3. 如請求項1所述之方法,其中該第一前驅物包括選自由氨、氮、H2、Ar及He組成之群組中的一或更多種前驅物。
  4. 如請求項1所述之方法,其中經由一淋噴頭將該等電漿流出物及含矽前驅物引入至該處理區域中,該淋噴頭經配置以維持該等前驅物之分離,使得該等前驅物在進入該基板處理區域前不彼此接觸。
  5. 如請求項4所述之方法,其中維持該基板與該淋噴頭相隔小於或約3吋之一距離。
  6. 如請求項1所述之方法,其中該基板處理區域在該沉積製程期間為無電漿。
  7. 如請求項1所述之方法,其中該含矽前驅物具有化學式SixHy,其中x係大於或等於2,且y係2x+n或更大,其中n係小於或等於2的任何數字,且其中將該含矽前驅物以一實質氣相引入至該處理區域中。
  8. 如請求項1所述之方法,其中在小於或等於約30℃之一溫度下執行該方法。
  9. 如請求項1所述之方法,其中在小於或等於約5托之一壓力下執行該方法。
  10. 如請求項1所述之方法,其中該如此形成之薄膜具有大於或等於約1.4g/cc之一密度。
  11. 如請求項1所述之方法,該方法進一步包含以下步驟:在形成該矽基介電層後停止該含矽前驅物之該引入,及將該等電漿流出物導入至該基板處理區域中以緻密化該形成的介電層。
  12. 如請求項1所述之方法,該方法進一步包含以下步驟:退火該形成的矽基介電層。
  13. 如請求項12所述之方法,其中在該退火之步驟期間該形成的矽基介電層收縮小於約20%。
  14. 一種在一處理腔室之一基板處理區域中的一基板上形成一介電層之方法,該方法包含以下步驟:將一第一前驅物引入至與該基板處理區域流體耦接之一遠端電漿區域中,同時在該遠端電漿區域形成一電漿以產生電漿流出物;將該等電漿流出物導入至該基板處理區域中;將一含矽前驅物引入至該基板處理區域中,其中該含矽前驅物包括至少一個矽-矽鍵;在該基板處理區域中使該等電漿流出物及含矽前驅物反應以形成一矽基介電層,該介電層在該基板上形成時起初為可流動的;以及使用至少一種額外前驅物固化該形成的矽基介電層。
  15. 如請求項14所述之方法,其中該至少一種額外前驅物包含一含氧前驅物、一含氮前驅物或一含碳前驅物。
  16. 如請求項14所述之方法,該方法進一步包含以下步驟:將該等電漿流出物導入至該基板處理區域中以緻密化該固化的介電層。
  17. 如請求項14所述之方法,其中該含矽前驅物具有該化學式SixHy,其中x係大於或等於2,且y係2x+n或更大,其中n係小於或等於2的任何數字,且其中將該含矽前驅物以一實質氣相引入至該處理區域中。
  18. 如請求項14所述之方法,其中引入至該處理腔室中以形成該矽基介電層的該等前驅物由一或更多種惰性前驅物及由含有矽-矽鍵及矽-氫鍵組成的一含矽前驅物組成。
  19. 如請求項14所述之方法,該方法進一步包含以下步驟:退火該形成的矽基介電層。
  20. 如請求項14所述之方法,其中在該退火之步驟期間該形成的矽基介電層收縮小於約20%。
TW102148000A 2013-01-25 2013-12-24 低收縮介電薄膜 TW201437416A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361756762P 2013-01-25 2013-01-25
US13/834,333 US9018108B2 (en) 2013-01-25 2013-03-15 Low shrinkage dielectric films

Publications (1)

Publication Number Publication Date
TW201437416A true TW201437416A (zh) 2014-10-01

Family

ID=51223395

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102148000A TW201437416A (zh) 2013-01-25 2013-12-24 低收縮介電薄膜

Country Status (5)

Country Link
US (1) US9018108B2 (zh)
JP (1) JP2016510507A (zh)
KR (1) KR20150109379A (zh)
TW (1) TW201437416A (zh)
WO (1) WO2014116376A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107430992A (zh) * 2015-03-17 2017-12-01 应用材料公司 用于膜沉积的脉冲化等离子体
TWI734907B (zh) * 2017-04-07 2021-08-01 美商應用材料股份有限公司 使用反應性退火的間隙填充
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9059002B2 (en) 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102014223465A1 (de) * 2014-11-18 2016-05-19 Evonik Degussa Gmbh Verfahren zur Erzeugung von dotierten, polykristallinen Halbleiterschichten
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20190153617A1 (en) * 2015-11-04 2019-05-23 National Institute Of Advanced Industrial Science And Technology Production Method and Production Device for Nitrogen Compound
KR20190011817A (ko) * 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
WO2019147462A1 (en) * 2018-01-26 2019-08-01 Applied Materials, Inc. Treatment methods for silicon nitride thin films
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US20220349049A1 (en) * 2019-06-21 2022-11-03 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理

Family Cites Families (1482)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861009A (en) 1954-02-19 1958-11-18 Rubner Rebecca Process of decorating a sheet
US2889704A (en) 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3109703A (en) 1961-02-06 1963-11-05 Nylonge Corp Method for the production of cleaning devices
US3142714A (en) 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3720784A (en) 1971-02-12 1973-03-13 Bell Telephone Labor Inc Recording and display method and apparatus
USRE28375E (en) 1971-02-12 1975-03-25 Recording and display method and apparatus
US3806223A (en) 1972-03-30 1974-04-23 Corning Glass Works Planar optical waveguide
US4233537A (en) 1972-09-18 1980-11-11 Rudolf Limpaecher Multicusp plasma containment apparatus
US3999918A (en) 1974-07-02 1976-12-28 Log Etronics Inc. Apparatus for making a printing plate from a porous substrate
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4212663A (en) 1978-01-26 1980-07-15 Corning Glass Works Reactants delivery system for optical waveguide manufacturing
US4185252A (en) 1978-05-10 1980-01-22 The United States Of America As Represented By The Secretary Of The Army Microstrip open ring resonator oscillators
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4309225A (en) 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
GB2064987B (en) 1979-11-14 1983-11-30 Toray Industries Process for producing transparent shaped article having enhanced anti-reflective effect
US4425146A (en) 1979-12-17 1984-01-10 Nippon Telegraph & Telephone Public Corporation Method of making glass waveguide for optical circuit
US4385802A (en) 1980-06-09 1983-05-31 Corning Glass Works Long wavelength, low-loss optical waveguide
DE3031147A1 (de) 1980-08-18 1982-03-18 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von glas mit einem vorbestimmten brechzahlprofil und alkalifreies glas aus einem oxis eines grundstoffes, das mit einem oder mehreren weiteren stoffen dotiert ist
ATE8514T1 (de) 1980-09-18 1984-08-15 L'etat Belge, Represente Par Le Secretaire General Des Services De La Programmation De La Politique Scientifique Verfahren zum kristallisieren von filmen und so erhaltene filme.
US4425907A (en) 1980-09-25 1984-01-17 Exxon Research And Engineering Co. Reflector-coupled fluorescent solar collector
JPS5775738U (zh) 1980-10-27 1982-05-11
US4351658A (en) 1980-12-12 1982-09-28 Corning Glass Works Manufacture of optical fibers
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4378987A (en) 1981-10-15 1983-04-05 Corning Glass Works Low temperature method for making optical fibers
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4525733A (en) 1982-03-03 1985-06-25 Eastman Kodak Company Patterning method for reducing hillock density in thin metal films and a structure produced thereby
US4511520A (en) 1982-07-28 1985-04-16 American Can Company Method of making perforated films
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4734345A (en) 1982-10-07 1988-03-29 Matsushita Electric Industrial Co., Ltd. Semiconductor IC and method of making the same
US4521447A (en) 1982-10-18 1985-06-04 Sovonics Solar Systems Method and apparatus for making layered amorphous semiconductor alloys using microwave energy
US4496216A (en) 1982-12-30 1985-01-29 Polaroid Corporation Method and apparatus for exposing photosensitive material
US4520472A (en) 1983-02-07 1985-05-28 Rca Corporation Beam expansion and relay optics for laser diode array
US4507588A (en) 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
US4565157A (en) 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4528009A (en) 1983-06-01 1985-07-09 Corning Glass Works Method of forming optical fiber having laminated core
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4545646A (en) 1983-09-02 1985-10-08 Hughes Aircraft Company Process for forming a graded index optical material and structures formed thereby
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
KR890004881B1 (ko) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4568631A (en) 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
GB8414878D0 (en) 1984-06-11 1984-07-18 Gen Electric Co Plc Integrated optical waveguides
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4572841A (en) 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
JPH0697660B2 (ja) 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
JPH0647727B2 (ja) 1985-12-24 1994-06-22 キヤノン株式会社 堆積膜形成法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
DE3601632A1 (de) 1986-01-21 1987-07-23 Leybold Heraeus Gmbh & Co Kg Verfahren zum herstellen von extraktionsgittern fuer ionenquellen und durch das verfahren hergestellte extraktionsgitter
US5294285A (en) 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
US4690830A (en) 1986-02-18 1987-09-01 Solarex Corporation Activation by dehydrogenation or dehalogenation of deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4868005A (en) 1986-04-09 1989-09-19 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
US4715921A (en) 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4704367A (en) 1986-04-21 1987-11-03 Alvis John R Suppression of hillock growth through multiple thermal cycles by argon implantation
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
KR900005118B1 (ko) 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3706271A1 (de) 1987-02-26 1988-09-08 Erwin Strigl Vorrichtung zum messen des intensitaetsprofils eines laserstrahls
JPS63223712A (ja) 1987-03-13 1988-09-19 Hitachi Ltd 光導波路およびその製造方法
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US5874350A (en) 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JPS6456874A (en) 1987-03-27 1989-03-03 Canon Kk Microwave plasma cvd device
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4762808A (en) 1987-06-22 1988-08-09 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of fluorohydridodisilanes
US4830705A (en) 1987-07-16 1989-05-16 Texas Instruments Incorporated Method for etch of GaAs
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4927704A (en) 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
US4885471A (en) 1988-04-22 1989-12-05 Taunton Technologies, Inc. Ultraviolet radiometer
US4844945A (en) 1988-05-18 1989-07-04 Hewlett-Packard Company Process for producing patterns in dielectric layers formed by plasma enhanced chemical vapor deposition (PECVD)
US5093149A (en) 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
DE3923390A1 (de) 1988-07-14 1990-01-25 Canon Kk Vorrichtung zur bildung eines grossflaechigen aufgedampften films unter verwendung von wenigstens zwei getrennt gebildeten aktivierten gasen
US5167558A (en) 1988-07-22 1992-12-01 Zenith Electronics Corporation System for registering and assembling tension masks and CRT faceplates
DE3929604A1 (de) 1988-09-12 1990-03-15 Schott Glaswerke Innenbeschichtung eines rohres
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5244841A (en) 1988-11-10 1993-09-14 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material and flowing while depositing
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5204288A (en) 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02222134A (ja) 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US4932749A (en) 1989-03-17 1990-06-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes George Claude Optical waveguides formed from multiple layers
US5186120A (en) 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5032435A (en) 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
US5114770A (en) 1989-06-28 1992-05-19 Canon Kabushiki Kaisha Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5142385A (en) 1989-07-18 1992-08-25 Massachusetts Institute Of Technology Holographic lithography
JPH0814021B2 (ja) 1989-07-20 1996-02-14 松下電器産業株式会社 スパッタ装置
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
EP0416774B1 (en) 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
JPH0680657B2 (ja) 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 半導体装置の製造方法
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5298365A (en) 1990-03-20 1994-03-29 Hitachi, Ltd. Process for fabricating semiconductor integrated circuit device, and exposing system and mask inspecting method to be used in the process
JP2938118B2 (ja) 1990-03-20 1999-08-23 株式会社東芝 真空容器内の水素の排気方法とその装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5266502A (en) 1990-04-03 1993-11-30 Olympus Optical Co., Ltd. STM memory medium
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5447570A (en) 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5160408A (en) 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
DE9004934U1 (zh) 1990-04-30 1991-08-29 Rofin-Sinar Laser Gmbh, 2000 Hamburg, De
US5578350A (en) 1990-07-03 1996-11-26 Fraunhofer-Gesellschaft Method for depositing a thin layer on a substrate by laser pulse vapor deposition
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
WO1992002844A1 (en) 1990-08-01 1992-02-20 Diomed Limited High power light source
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JPH0719777B2 (ja) 1990-08-10 1995-03-06 株式会社半導体プロセス研究所 半導体装置の製造方法
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JP3020580B2 (ja) 1990-09-28 2000-03-15 株式会社日立製作所 マイクロ波プラズマ処理装置
US5133986A (en) 1990-10-05 1992-07-28 International Business Machines Corporation Plasma enhanced chemical vapor processing system using hollow cathode effect
US5078922A (en) 1990-10-22 1992-01-07 Watkins-Johnson Company Liquid source bubbler
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
DE69103251T2 (de) 1990-11-30 1994-11-24 Central Glass Co Ltd Verfahren zum Bilden einer amorphen Siliziumdünnschicht mittels Plasma-CVD.
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP3101330B2 (ja) 1991-01-23 2000-10-23 キヤノン株式会社 マイクロ波プラズマcvd法による大面積の機能性堆積膜を連続的に形成する方法及び装置
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JP3044824B2 (ja) 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
JP3375646B2 (ja) 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
DE69231390D1 (de) 1991-06-10 2000-10-05 At & T Corp Anisotropische Ablagerung von Dielektrika
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5284805A (en) 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5507881A (en) 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
EP0539804B1 (en) 1991-10-15 1998-03-04 Canon Kabushiki Kaisha A substrate for a liquid jet recording head, a manufacturing method for such a substrate, a liquid jet recording head, and a liquid jet recording apparatus
DE4137606C1 (zh) 1991-11-15 1992-07-30 Schott Glaswerke, 6500 Mainz, De
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5270264A (en) 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5253319A (en) 1992-02-24 1993-10-12 Corning Incorporated Planar optical waveguides with planar optical elements
CA2062629C (en) 1992-03-10 1999-06-15 John Barry French Apparatus and method for liquid sample introduction
JP2830591B2 (ja) 1992-03-12 1998-12-02 日本電気株式会社 半導体光機能素子
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
KR100292330B1 (ko) 1992-05-01 2001-09-17 이데이 노부유끼 반도체장치와그제조방법및실리콘절연기판의제조방법
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP2895703B2 (ja) 1992-07-14 1999-05-24 三菱電機株式会社 露光装置およびその露光装置を用いた露光方法
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5415835A (en) 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5317900A (en) 1992-10-02 1994-06-07 The Lyle E. & Barbara L. Bergquist Trust Ultrasensitive helium leak detector for large systems
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JPH06140704A (ja) 1992-10-26 1994-05-20 Mitsubishi Electric Corp レーザ光照射装置
CN1088002A (zh) 1992-11-16 1994-06-15 东京电子株式会社 制造液晶显示器基板及评价半导体晶体的方法与装置
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
TW337513B (en) 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5686734A (en) 1993-01-22 1997-11-11 Canon Kabushiki Kaisha Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5739898A (en) 1993-02-03 1998-04-14 Nikon Corporation Exposure method and apparatus
US5665167A (en) 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP2637891B2 (ja) 1993-03-26 1997-08-06 日本電気株式会社 光導波路の製造方法
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
WO1994024331A1 (en) 1993-04-16 1994-10-27 Libbey-Owens-Ford Co. Method and apparatus for coating a glass substrate
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
DE69420774T2 (de) 1993-05-13 2000-01-13 Applied Materials Inc Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
US5387288A (en) 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
KR100255689B1 (ko) 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5483055A (en) 1994-01-18 1996-01-09 Thompson; Timothy V. Method and apparatus for performing an automatic focus operation for a microscope
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5474955A (en) 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
US5348774A (en) 1993-08-11 1994-09-20 Alliedsignal Inc. Method of rapidly densifying a porous structure
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
IL106747A0 (en) 1993-08-20 1993-12-08 Oren Aharon Laser beam analyzer
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5705321A (en) 1993-09-30 1998-01-06 The University Of New Mexico Method for manufacture of quantum sized periodic structures in Si materials
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JP3219609B2 (ja) 1993-11-29 2001-10-15 キヤノン株式会社 インク再充填器及びインク再充填方法
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
TW293983B (zh) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5522957A (en) 1993-12-22 1996-06-04 Vlsi Technology, Inc. Method for leak detection in etching chambers
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
WO1995018460A1 (en) 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5811326A (en) 1994-01-17 1998-09-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5888304A (en) 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US6033480A (en) 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5766365A (en) 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
KR950034472A (ko) 1994-04-06 1995-12-28 가나이 쓰토무 패턴형성방법 및 그것에 사용되는 투영노광장치
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5518805A (en) 1994-04-28 1996-05-21 Xerox Corporation Hillock-free multilayer metal lines for high performance thin film structures
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3247270B2 (ja) 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08167605A (ja) 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
JP3469337B2 (ja) 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH08225947A (ja) 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
DE4445427C2 (de) 1994-12-20 1997-04-30 Schott Glaswerke Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht
US5854803A (en) 1995-01-12 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Laser illumination system
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5759744A (en) 1995-02-24 1998-06-02 University Of New Mexico Methods and apparatus for lithography of sparse arrays of sub-micrometer features
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
TW297135B (zh) 1995-03-20 1997-02-01 Hitachi Ltd
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
AUPN258095A0 (en) 1995-04-21 1995-05-18 Unisearch Limited Low temperature fabrication of silica-based pecvd channel waveguides
DE19516669A1 (de) 1995-05-05 1996-11-07 Siemens Ag Verfahren zur Abscheidung einer Siliziumoxidschicht
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5702532A (en) 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
FR2734811B1 (fr) 1995-06-01 1997-07-04 Saint Gobain Vitrage Substrats transparents revetus d'un empilement de couches minces a proprietes de reflexion dans l'infrarouge et/ou dans le domaine du rayonnement solaire
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6096389A (en) 1995-09-14 2000-08-01 Canon Kabushiki Kaisha Method and apparatus for forming a deposited film using a microwave CVD process
JPH09116015A (ja) 1995-09-15 1997-05-02 Korea Electron Telecommun 接触ホール埋立方法
US5728631A (en) 1995-09-29 1998-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a low capacitance dielectric layer
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5990984A (en) 1995-11-16 1999-11-23 Viratec Thin Films, Inc. Coated polymer substrate with matching refractive index and method of making the same
US5736423A (en) 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US6009827A (en) 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5925189A (en) 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
KR100267418B1 (ko) 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
KR19990082348A (ko) 1996-02-06 1999-11-25 이.아이,듀우판드네모아앤드캄파니 플라즈마 활성화 종을 갖는 탈집괴 입자의 처리
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6340417B1 (en) 1996-03-14 2002-01-22 Advanced Micro Devices, Inc. Reactor and method for ionized metal deposition
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
JP2917897B2 (ja) 1996-03-29 1999-07-12 日本電気株式会社 半導体装置の製造方法
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
GB2312525A (en) 1996-04-24 1997-10-29 Northern Telecom Ltd Providing cladding on planar optical waveguide by heating to flow
US5844684A (en) 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5782980A (en) 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
US5997642A (en) 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5710079A (en) 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
KR20000016497A (ko) 1996-06-10 2000-03-25 다니엘 제이. 설리반 포토레지스트내에 선택된 불연속 패턴이 기록될 수 있도록 간섭리소그래피를 개조하기 위한 방법
US6088505A (en) 1996-06-10 2000-07-11 Holographic Lithography Systems, Inc. Holographic patterning method and tool for production environments
JP4195734B2 (ja) 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド 集積回路のトレンチ分離製作方法
JP3739137B2 (ja) 1996-06-18 2006-01-25 日本電気株式会社 プラズマ発生装置及びこのプラズマ発生装置を使用した表面処理装置
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5902134A (en) 1996-08-07 1999-05-11 Matsushita Electronics Corporation Dry etching post-treatment method and method for manufacturing a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US20020050605A1 (en) 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
JPH1092810A (ja) 1996-09-10 1998-04-10 Mitsubishi Electric Corp 半導体装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
JP2937140B2 (ja) 1996-10-09 1999-08-23 日本電気株式会社 半導体装置の製造方法
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5935283A (en) 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6233044B1 (en) 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US5817562A (en) 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US5822479A (en) 1997-01-24 1998-10-13 Northern Telecom Limited Writing diffraction gratings with interference fringe patterns
WO1998033362A1 (fr) 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6503594B2 (en) 1997-02-13 2003-01-07 Samsung Electronics Co., Ltd. Silicon wafers having controlled distribution of defects and slip
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
JPH10242142A (ja) 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5817566A (en) 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
JP3270353B2 (ja) 1997-03-05 2002-04-02 日本電気株式会社 光導波路の製造方法
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5981354A (en) 1997-03-12 1999-11-09 Advanced Micro Devices, Inc. Semiconductor fabrication employing a flowable oxide to enhance planarization in a shallow trench isolation process
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5986234A (en) 1997-03-28 1999-11-16 The Regents Of The University Of California High removal rate laser-based coating removal system
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5926722A (en) 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
KR100226751B1 (ko) 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
JPH10284431A (ja) 1997-04-11 1998-10-23 Sharp Corp Soi基板の製造方法
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6077786A (en) 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US5907435A (en) 1997-06-26 1999-05-25 Xerox Corporation Laser beam optical focusing system of two symmetrical diffractive optical elements
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US5869149A (en) 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
KR100243446B1 (ko) 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
US6936849B1 (en) 1997-07-29 2005-08-30 Micron Technology, Inc. Silicon carbide gate transistor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6114235A (en) 1997-09-05 2000-09-05 Advanced Micro Devices, Inc. Multipurpose cap layer dielectric
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6080965A (en) 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
US6149730A (en) 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
GB2330664B (en) 1997-10-21 2002-01-23 Integrated Optical Components The manufacture of integrated optical devices
US6365870B1 (en) 1997-10-22 2002-04-02 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for treating work pieces with laser radiation
JP3299700B2 (ja) 1997-10-22 2002-07-08 日本発条株式会社 光導波路の製造方法
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
GB9723222D0 (en) 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6534242B2 (en) 1997-11-06 2003-03-18 Canon Kabushiki Kaisha Multiple exposure device formation
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
US5922617A (en) 1997-11-12 1999-07-13 Functional Genetics, Inc. Rapid screening assay methods and devices
GB9724150D0 (en) 1997-11-14 1998-01-14 Univ Southampton Apparatus providing variable refectivity to electromagnetic radiation
US5903106A (en) 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6268297B1 (en) 1997-11-26 2001-07-31 Texas Instruments Incorporated Self-planarizing low-temperature doped-silicate-glass process capable of gap-filling narrow spaces
US20050272254A1 (en) 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6207353B1 (en) 1997-12-10 2001-03-27 International Business Machines Corporation Resist formulation which minimizes blistering during etching
FR2772519B1 (fr) 1997-12-11 2000-01-14 Alsthom Cge Alcatel Antenne realisee selon la technique des microrubans et dispositif incluant cette antenne
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
DE69833968T2 (de) 1997-12-12 2006-08-17 Matsushita Electric Industrial Co., Ltd., Kadoma Laserbearbeitungsverfahren, - vorrichtung und - steuerungsverfahren
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
TW358236B (en) 1997-12-19 1999-05-11 Nanya Technology Corp Improved local silicon oxidization method in the manufacture of semiconductor isolation
JPH11242189A (ja) 1997-12-25 1999-09-07 Olympus Optical Co Ltd 像形成法、像形成装置
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6332470B1 (en) 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6358672B2 (en) 1998-02-05 2002-03-19 Samsung Electronics Co., Ltd. Method of forming semiconductor device pattern including cross-linking and flow baking a positive photoresist
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
KR100260766B1 (ko) 1998-02-18 2000-08-01 구본준 레이저 어닐 장비
JPH11307449A (ja) 1998-02-20 1999-11-05 Canon Inc 露光装置及びデバイスの製造方法
US6179277B1 (en) 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
JP2975919B2 (ja) 1998-02-27 1999-11-10 株式会社半導体プロセス研究所 下地表面改質方法及び半導体装置の製造方法
JP2002505532A (ja) 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
US5946592A (en) 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
US6016000A (en) 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6109065A (en) 1998-04-22 2000-08-29 Lucent Technologies, Inc. Method of making optical waveguide devices using perchloryl fluoride to make soot
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US5932283A (en) 1998-05-01 1999-08-03 Nec Corporation Method for fabricating SiO2 film
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6360685B1 (en) 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6095643A (en) 1998-05-07 2000-08-01 Lexmark International, Inc. Refillable disposable inkjet cartridge with foam-filled and free ink reservoirs
JP3211770B2 (ja) 1998-05-11 2001-09-25 日本電気株式会社 固体レーザ装置及びそれを備えた固体レーザ増幅器
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
DE19823226A1 (de) 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Kippbarer Lichtbogenofen
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
JPH11354516A (ja) 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6750149B2 (en) 1998-06-12 2004-06-15 Matsushita Electric Industrial Co., Ltd. Method of manufacturing electronic device
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6261857B1 (en) 1998-06-17 2001-07-17 Agere Systems Optoelectronics Guardian Corp. Process for fabricating an optical waveguide
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3472482B2 (ja) 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
JP4065468B2 (ja) 1998-06-30 2008-03-26 キヤノン株式会社 露光装置及びこれを用いたデバイスの製造方法
US6291861B1 (en) 1998-06-30 2001-09-18 Sharp Kabushiki Kaisha Semiconductor device and method for producing the same
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
WO2000001628A1 (en) 1998-07-01 2000-01-13 Intevac, Inc. Heating assembly for rapid thermal processing system
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6117772A (en) 1998-07-10 2000-09-12 Ball Semiconductor, Inc. Method and apparatus for blanket aluminum CVD on spherical integrated circuits
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4663047B2 (ja) 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 レーザー照射装置及び半導体装置の作製方法
US6358323B1 (en) 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
WO2000006996A1 (en) 1998-07-28 2000-02-10 Ce Resources Pte Ltd. Optical detection system
JP3172139B2 (ja) 1998-08-04 2001-06-04 富士写真フイルム株式会社 サーマルヘッド
US6326325B1 (en) 1998-08-05 2001-12-04 Corning Incorporated Method for fabricating silicon oxynitride
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP3363799B2 (ja) 1998-08-28 2003-01-08 キヤノン株式会社 デバイスの構造部分の配置方法およびデバイス
US6281124B1 (en) 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6261374B1 (en) 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6114704A (en) 1998-10-13 2000-09-05 Cymer, Inc. Front-illuminated fluorescent screen for UV imaging
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6239002B1 (en) 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6225171B1 (en) 1998-11-16 2001-05-01 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process for reduced for junction leakage
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6290865B1 (en) 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6911707B2 (en) 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6190973B1 (en) 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
KR100368319B1 (ko) 1998-12-30 2003-03-17 주식회사 하이닉스반도체 액체운송장치
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000299198A (ja) 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6535535B1 (en) 1999-02-12 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and semiconductor device
US6345642B1 (en) 1999-02-19 2002-02-12 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid path
US6305392B1 (en) 1999-02-19 2001-10-23 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid delivery line
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6393042B1 (en) 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6348389B1 (en) 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6114253A (en) 1999-03-15 2000-09-05 Taiwan Semiconductor Manufacturing Company Via patterning for poly(arylene ether) used as an inter-metal dielectric
US6279400B1 (en) 1999-03-16 2001-08-28 General Electric Company Apparatus and method for measuring and selectively adjusting a clearance
US6150070A (en) 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6218090B1 (en) 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6255035B1 (en) 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6080683A (en) 1999-03-22 2000-06-27 Special Materials Research And Technology, Inc. Room temperature wet chemical growth process of SiO based oxides on silicon
US6195246B1 (en) 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6218057B1 (en) 1999-04-16 2001-04-17 Lucent Technologies Inc. Lithographic process having sub-wavelength resolution
US6150209A (en) 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
JP2001024194A (ja) 1999-05-06 2001-01-26 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
KR100319620B1 (ko) 1999-05-10 2002-01-05 김영환 반도체 소자의 격리구조 및 그 제조방법
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6179925B1 (en) 1999-05-14 2001-01-30 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in substrate processing system
US6252665B1 (en) 1999-05-20 2001-06-26 California Institute Of Technology Lithography using quantum entangled particles
JP3306592B2 (ja) 1999-05-21 2002-07-24 株式会社豊田中央研究所 マイクロストリップアレーアンテナ
US6026053A (en) 1999-05-21 2000-02-15 The United States Of America As Represented By The Director Of The National Security Agency Photorefractive read-only optical memory apparatus using phase, frequency, and angular modulation
US6305531B1 (en) 1999-05-25 2001-10-23 Michael A. Wilkman Reduced cost impregnated wipes
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6240874B1 (en) 1999-05-27 2001-06-05 Advanced Micro Devices, Inc. Integrated edge exposure and hot/cool plate for a wafer track system
US6221791B1 (en) 1999-06-02 2001-04-24 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for oxidizing silicon substrates
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6551926B1 (en) 1999-06-09 2003-04-22 Electron Vision Corporation Electron beam annealing of metals, alloys, nitrides and silicides
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6489225B1 (en) 1999-06-11 2002-12-03 Electron Vision Corporation Method for controlling dopant profiles and dopant activation by electron beam processing
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6528752B1 (en) 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US6255207B1 (en) 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
US6355108B1 (en) 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6223540B1 (en) 1999-06-25 2001-05-01 Applied Materials, Inc. Gas processing techniques
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6151446A (en) 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6465044B1 (en) 1999-07-09 2002-10-15 Silicon Valley Group, Thermal Systems Llp Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
JP2003504881A (ja) 1999-07-12 2003-02-04 エイエスエムエル ユーエス インコーポレイテッド 化学種の組み合わせを用いる半導体製造装置の現場洗浄のための方法及びシステム
US6171901B1 (en) 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6340556B1 (en) 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
US6174808B1 (en) 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6083822A (en) 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6567219B1 (en) 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US6512264B1 (en) 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US6875558B1 (en) 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
EP1077480B1 (en) 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6140701A (en) 1999-08-31 2000-10-31 Micron Technology, Inc. Suppression of hillock formation in thin aluminum films
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6888096B1 (en) 1999-09-28 2005-05-03 Sumitomo Heavy Industries, Ltd. Laser drilling method and laser drilling device
US6796148B1 (en) 1999-09-30 2004-09-28 Corning Incorporated Deep UV laser internally induced densification in silica glasses
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6418960B1 (en) 1999-10-06 2002-07-16 Applied Materials, Inc. Ultrasonic enhancement for solvent purge of a liquid delivery system
US6274500B1 (en) 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6268274B1 (en) 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6248628B1 (en) 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
KR100343286B1 (ko) 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법
JP4562835B2 (ja) 1999-11-05 2010-10-13 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
US6882477B1 (en) 1999-11-10 2005-04-19 Massachusetts Institute Of Technology Method and system for interference lithography utilizing phase-locked scanning beams
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6408125B1 (en) 1999-11-10 2002-06-18 Corning Incorporated Germanium silicon oxynitride high index films for planar waveguides
KR100338771B1 (ko) 1999-11-12 2002-05-30 윤종용 수소 어닐링 단계를 포함하는 공정이 간단한 트렌치소자분리방법
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US20010025607A1 (en) 1999-12-22 2001-10-04 Tony Lebar Microwave plasma reactor and method
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
JP2001185503A (ja) 1999-12-24 2001-07-06 Nec Corp 半導体薄膜改質装置
WO2001048800A1 (fr) 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6426127B1 (en) 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6500771B1 (en) 2000-01-31 2002-12-31 Chartered Semiconductor Manufacturing Ltd. Method of high-density plasma boron-containing silicate glass film deposition
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
AU2001239789A1 (en) 2000-02-17 2001-08-27 Cquint Communications Corporation Cylindrical processing of optical media
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
JP3368265B2 (ja) 2000-03-02 2003-01-20 キヤノン株式会社 露光方法、露光装置、およびデバイス製造方法
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW473917B (en) 2000-03-07 2002-01-21 United Microelectronics Corp Step-like structure of silicon on insulation (SOI)
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
FR2806076B1 (fr) 2000-03-08 2002-09-20 Saint Gobain Vitrage Substrat transparent revetu d'une couche polymere
EP1912253A3 (en) 2000-03-13 2009-12-30 OHMI, Tadahiro Method of forming a dielectric film
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6426289B1 (en) 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
EP1199378A4 (en) 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
WO2001076333A1 (en) 2000-04-04 2001-10-11 Dek International Gmbh Method and apparatus for applying viscous or paste material onto a substrate
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US7011710B2 (en) 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6337467B1 (en) 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6511923B1 (en) 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6433911B1 (en) 2000-05-19 2002-08-13 Massachusetts Institute Of Technology Frustrated total internal reflection-based micro-opto-electro-mechanical modulator/demodulator
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP2001345273A (ja) 2000-05-31 2001-12-14 Canon Inc シリコン系薄膜の形成方法、シリコン系薄膜及び光起電力素子
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6184155B1 (en) 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6583025B2 (en) 2000-07-10 2003-06-24 Samsung Electronics Co., Ltd. Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
US6620296B2 (en) 2000-07-17 2003-09-16 Applied Materials, Inc. Target sidewall design to reduce particle generation during magnetron sputtering
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368948B1 (en) 2000-07-26 2002-04-09 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillocks
JP4556302B2 (ja) 2000-07-27 2010-10-06 ソニー株式会社 薄膜トランジスタ製造システム及び方法、ポリシリコン評価方法及びポリシリコン検査装置
US6541401B1 (en) 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6413886B1 (en) 2000-08-10 2002-07-02 Infineon Technologies Ag Method for fabricating a microtechnical structure
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP2004523878A (ja) 2000-08-28 2004-08-05 アプライド マテリアルズ インコーポレイテッド ガラス基板の予備ポリコーティング
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
DE10042733A1 (de) 2000-08-31 2002-03-28 Inst Physikalische Hochtech Ev Multikristalline laserkristallisierte Silicium-Dünnschicht-Solarzelle auf transparentem Substrat
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
US6556280B1 (en) 2000-09-19 2003-04-29 Optical Switch Corporation Period reconfiguration and closed loop calibration of an interference lithography patterning system and method of operation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
US7067176B2 (en) 2000-10-03 2006-06-27 Cree, Inc. Method of fabricating an oxide layer on a silicon carbide layer utilizing an anneal in a hydrogen environment
JP4312365B2 (ja) 2000-10-11 2009-08-12 株式会社クラレ 透明プラスチック線状体の製造方法
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6479405B2 (en) 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
JP2002187231A (ja) 2000-10-13 2002-07-02 Dainippon Printing Co Ltd バリア性フィルムおよびその製造法
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US7253124B2 (en) 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6319772B1 (en) 2000-10-30 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method for making low-leakage DRAM structures using selective silicon epitaxial growth (SEG) on an insulating layer
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
US6348410B1 (en) 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
JP4597479B2 (ja) 2000-11-22 2010-12-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020098712A1 (en) 2000-11-30 2002-07-25 Jaideep Mavoori Multi-thickness oxide growth with in-situ scanned laser heating
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6503050B2 (en) 2000-12-18 2003-01-07 Applied Materials Inc. Turbo-molecular pump having enhanced pumping capacity
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6479098B1 (en) 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
JP3914386B2 (ja) 2000-12-28 2007-05-16 株式会社ルネサステクノロジ フォトマスク、その製造方法、パターン形成方法および半導体装置の製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
WO2002084702A2 (en) 2001-01-16 2002-10-24 Lampkin Curtis M Sputtering deposition apparatus and method for depositing surface films
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6809012B2 (en) 2001-01-18 2004-10-26 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor using laser annealing
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US7345342B2 (en) 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
JP3768819B2 (ja) 2001-01-31 2006-04-19 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6522433B2 (en) 2001-02-28 2003-02-18 Optical Switch Corporation Interference lithography using holey fibers
US6641268B2 (en) 2001-02-28 2003-11-04 Massachusetts Institute Of Technology Interferometric projection system
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6649907B2 (en) 2001-03-08 2003-11-18 Wisconsin Alumni Research Foundation Charge reduction electrospray ionization ion source
JP2002270685A (ja) 2001-03-08 2002-09-20 Mitsubishi Electric Corp 半導体装置の製造方法
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6584987B1 (en) 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6365518B1 (en) 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US6482688B2 (en) 2001-03-30 2002-11-19 Texas Instruments Incorporated Utilizing amorphorization of polycrystalline structures to achieve T-shaped MOSFET gate
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
JP2003084066A (ja) 2001-04-11 2003-03-19 Nippon Kessho Kogaku Kk 放射線検出器用部品、放射線検出器および放射線検出装置
US20020182342A1 (en) 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
EP1354341A1 (en) 2001-04-19 2003-10-22 The Trustees Of Columbia University In The City Of New York Method for single-scan, continuous motion sequential lateral solidification
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6528412B1 (en) 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391777B1 (en) 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6624091B2 (en) 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US20020168828A1 (en) 2001-05-10 2002-11-14 Kuan-Lun Cheng Method of reducing threshold voltage shifting of a gate
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US20020170487A1 (en) 2001-05-18 2002-11-21 Raanan Zehavi Pre-coated silicon fixtures used in a high temperature process
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
KR20040008193A (ko) 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6887514B2 (en) 2001-05-31 2005-05-03 Dalsa Semiconductor Inc. Method of depositing optical films
US6705124B2 (en) 2001-06-04 2004-03-16 Lightwave Microsystems Corporation High-density plasma deposition process for fabricating a top clad for planar lightwave circuit devices
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6936842B2 (en) 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6812134B1 (en) 2001-06-28 2004-11-02 Lsi Logic Corporation Dual layer barrier film techniques to prevent resist poisoning
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP4219628B2 (ja) 2001-07-27 2009-02-04 東京エレクトロン株式会社 プラズマ処理装置および基板載置台
US6602792B2 (en) 2001-08-02 2003-08-05 Macronix International Co., Ltd. Method for reducing stress of sidewall oxide layer of shallow trench isolation
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
JP2003086604A (ja) 2001-09-10 2003-03-20 Advanced Lcd Technologies Development Center Co Ltd 薄膜半導体装置及びその基板ならびにその製造方法
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6868800B2 (en) 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6716740B2 (en) 2001-10-09 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for depositing silicon oxide incorporating an outgassing step
US20030070451A1 (en) 2001-10-11 2003-04-17 Luc Ouellet Method of reducing stress-induced mechanical problems in optical components
US6803330B2 (en) 2001-10-12 2004-10-12 Cypress Semiconductor Corporation Method for growing ultra thin nitrided oxide
DE10150822B4 (de) 2001-10-15 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6734101B1 (en) 2001-10-31 2004-05-11 Taiwan Semiconductor Manufacturing Company Solution to the problem of copper hillocks
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
KR20050044371A (ko) 2001-11-07 2005-05-12 어플라이드 머티어리얼스, 인코포레이티드 광학 스폿 그리드 어레이 프린터
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
KR100422597B1 (ko) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030113085A1 (en) 2001-12-14 2003-06-19 Applied Materials, Inc., A Delaware Corporation HDP-CVD film for uppercladding application in optical waveguides
US20030110808A1 (en) 2001-12-14 2003-06-19 Applied Materials Inc., A Delaware Corporation Method of manufacturing an optical core
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6713127B2 (en) 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US6773142B2 (en) 2002-01-07 2004-08-10 Coherent, Inc. Apparatus for projecting a line of light from a diode-laser array
JP3912111B2 (ja) 2002-01-09 2007-05-09 富士通株式会社 波長多重双方向光伝送モジュール
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US6868207B2 (en) 2002-01-10 2005-03-15 Corona Optical Systems, Inc. Method to diffract and attenuate an optical signal
US6618537B2 (en) 2002-01-14 2003-09-09 Applied Wdm, Inc. Optical waveguide structures and methods of fabrication
WO2003062490A2 (en) 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
KR100594537B1 (ko) 2002-01-18 2006-07-03 산요덴키가부시키가이샤 유기 무기 복합체의 제조 방법 및 유기 무기 복합체
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
US7122281B2 (en) 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
KR100574150B1 (ko) 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
WO2003075062A2 (en) 2002-03-01 2003-09-12 Rosemount Inc. Optical switch with 3d waveguides
AU2002324868A1 (en) 2002-03-04 2003-09-29 Massachusetts Institute Of Technology A method and system of lithography using masks having gray-tone features
US7651821B2 (en) 2002-03-04 2010-01-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US20030183518A1 (en) 2002-03-27 2003-10-02 Glocker David A. Concave sputtering apparatus
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030197831A1 (en) 2002-04-12 2003-10-23 Kim Hyoung Sik Lens fastening device for frameless spectacles
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US8288239B2 (en) 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6777336B2 (en) 2002-04-29 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation structure
US6812153B2 (en) 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6875624B2 (en) 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
US6709553B2 (en) 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6653203B1 (en) 2002-05-23 2003-11-25 Taiwan Semiconductor Manufacturing Company Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches
US6789789B2 (en) 2002-05-29 2004-09-14 Veeco Instruments Inc. High throughput vaporizer
DE10223954A1 (de) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI273143B (en) 2002-06-10 2007-02-11 Konica Corp Layer formation method, and substrate with a layer formed by the method
US20040206621A1 (en) 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US7247252B2 (en) 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP2004055600A (ja) 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
TWI235433B (en) 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
AU2003302222A1 (en) 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6835633B2 (en) 2002-07-24 2004-12-28 International Business Machines Corporation SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
KR100464852B1 (ko) 2002-08-07 2005-01-05 삼성전자주식회사 반도체 장치의 게이트 산화막 형성방법
US7399500B2 (en) 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6589611B1 (en) 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6870982B1 (en) 2002-08-23 2005-03-22 Cypress Semiconductor Corporation Cascading optical switch three dimensional switch fabric system and method
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US20040045577A1 (en) 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US20060208634A1 (en) 2002-09-11 2006-09-21 General Electric Company Diffusion barrier coatings having graded compositions and devices incorporating the same
US20040229051A1 (en) 2003-05-15 2004-11-18 General Electric Company Multilayer coating package on flexible substrates for electro-optical devices
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040052969A1 (en) 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US7005235B2 (en) 2002-12-04 2006-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and systems to print contact hole patterns
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6853142B2 (en) 2002-11-04 2005-02-08 Zond, Inc. Methods and apparatus for generating high-density plasma
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
KR200302418Y1 (ko) 2002-11-08 2003-02-05 박준영 피뢰 접지판 체결구 세트
US6936981B2 (en) 2002-11-08 2005-08-30 Applied Materials, Inc. Retarding electron beams in multiple electron beam pattern generation
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6896773B2 (en) 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US7022561B2 (en) 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
JP2004343031A (ja) 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd 誘電体膜およびその形成方法ならびに誘電体膜を用いた半導体装置およびその製造方法
TW577124B (en) 2002-12-03 2004-02-21 Mosel Vitelic Inc Method for estimating the forming thickness of the oxide layer and determining whether the pipes occur leakages
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6908852B2 (en) 2003-01-29 2005-06-21 Freescale Semiconductor, Inc. Method of forming an arc layer for a semiconductor device
US6998565B2 (en) 2003-01-30 2006-02-14 Rohm Co., Ltd. Plasma processing apparatus
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7273808B1 (en) 2003-02-03 2007-09-25 Novellus Systems, Inc. Reactive barrier/seed preclean process for damascene process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US6924241B2 (en) 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
KR100470973B1 (ko) 2003-02-26 2005-03-10 삼성전자주식회사 고밀도 플라즈마 화학기상증착 공정
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20060158101A1 (en) 2003-03-04 2006-07-20 Dow Corning Corporation Organic light-emitting diode
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
KR101416781B1 (ko) 2003-03-14 2014-07-08 아익스트론 인코포레이티드 원자 층 증착을 위한 방법 및 장치
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6805779B2 (en) 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US20050285140A1 (en) 2004-06-23 2005-12-29 Chih-Hsin Ko Isolation structure for strained channel transistors
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US7723228B2 (en) 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6946358B2 (en) 2003-05-30 2005-09-20 International Business Machines Corporation Method of fabricating shallow trench isolation by ultra-thin SIMOX processing
US6869860B2 (en) 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
KR100500451B1 (ko) 2003-06-16 2005-07-12 삼성전자주식회사 인장된 채널을 갖는 모스 트랜지스터를 구비하는반도체소자의 제조 방법
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
CN1809654B (zh) 2003-06-17 2010-12-29 西巴特殊化学品控股有限公司 通过微波沉积制备金属氧化物涂布的有机材料的方法
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7274038B2 (en) 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7794831B2 (en) 2003-07-28 2010-09-14 Vampire Optical Coating, Inc. Anti-reflective coating
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7342289B2 (en) 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US7608300B2 (en) 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US7070915B2 (en) 2003-08-29 2006-07-04 Tokyo Electron Limited Method and system for drying a substrate
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
KR100901892B1 (ko) 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7459241B2 (en) 2003-09-22 2008-12-02 Seagate Technology Llc Rotary apertured interferometric lithography (RAIL)
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
US6989337B2 (en) 2003-10-02 2006-01-24 United Microelectric Corp. Silicon oxide gap-filling process
US20050073671A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US20050074698A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
US20050085085A1 (en) 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US7142282B2 (en) 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US20050088633A1 (en) 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6808991B1 (en) 2003-11-19 2004-10-26 Macronix International Co., Ltd. Method for forming twin bit cell flash memory
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US7459120B2 (en) 2003-12-04 2008-12-02 Essilor International Low pressure thermoforming of thin, optical carriers
US20050129404A1 (en) 2003-12-10 2005-06-16 Kim Byoung W. Apparatus for providing broadcasting service through overlay structure in WDM-PON
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7244654B2 (en) 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
CN100477107C (zh) 2004-01-28 2009-04-08 东京毅力科创株式会社 基板处理装置的处理室净化方法、基板处理装置和基板处理方法
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7112513B2 (en) 2004-02-19 2006-09-26 Micron Technology, Inc. Sub-micron space liner and densification process
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP5039907B2 (ja) 2004-03-09 2012-10-03 エグザテック・リミテッド・ライアビリティー・カンパニー 非平面状基体のためのプラズマ被覆装置
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7384486B2 (en) 2004-03-26 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
EP1731299A1 (en) 2004-03-31 2006-12-13 Konica Minolta Holdings, Inc. Transparent conductive film, method for producing transparent conductive film and organic electroluminescent device
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
FR2869897B1 (fr) 2004-05-10 2006-10-27 Saint Gobain Substrat a revetement photocatalytique
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
GB0410749D0 (en) 2004-05-14 2004-06-16 Dow Corning Ireland Ltd Coating apparatus
CN1954094A (zh) 2004-05-20 2007-04-25 阿克佐诺贝尔股份有限公司 用于固体化学制品持续蒸汽发送的起泡器
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7326505B2 (en) 2004-05-26 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7176105B2 (en) 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US20050274128A1 (en) 2004-06-10 2005-12-15 Genesis Cryopump with enhanced hydrogen pumping
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
WO2006014034A1 (en) 2004-08-04 2006-02-09 Industry-University Cooperation Foundation Hanyang University Remote plasma atomic layer deposition apparatus and method using dc bias
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US7041543B1 (en) 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
JP4389791B2 (ja) 2004-08-25 2009-12-24 セイコーエプソン株式会社 微細構造体の製造方法および露光装置
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7270887B2 (en) 2004-10-13 2007-09-18 Shin-Etsu Chemical Co., Ltd. Antireflective coating, coating composition, and antireflective coated article
US20060224451A1 (en) 2004-10-18 2006-10-05 Xcelerator Loyalty Group, Inc. Incentive program
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
WO2006052512A1 (en) 2004-10-28 2006-05-18 Applied Materials, Inc. Methods and devices for measuring a concentrated light beam
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7109051B2 (en) 2004-11-15 2006-09-19 Freescale Semiconductor, Inc. Method of integrating optical devices and electronic devices on an integrated circuit
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7271110B2 (en) 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
CN1800441B (zh) 2005-01-05 2010-09-01 鸿富锦精密工业(深圳)有限公司 等离子体增强薄膜沉积方法及装置
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7323391B2 (en) 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
EP1691224A1 (en) 2005-02-10 2006-08-16 Konica Minolta Opto, Inc. Diffractive grating member and optical communication module
TWI263265B (en) 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
KR100607351B1 (ko) 2005-03-10 2006-07-28 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7309659B1 (en) 2005-04-01 2007-12-18 Advanced Micro Devices, Inc. Silicon-containing resist to pattern organic low k-dielectrics
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4663381B2 (ja) 2005-04-12 2011-04-06 富士フイルム株式会社 ガスバリア性フィルム、基材フィルムおよび有機エレクトロルミネッセンス素子
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060274295A1 (en) 2005-05-04 2006-12-07 Brueck Steven R J Nanotool processes and applications
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7462527B2 (en) 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7238586B2 (en) 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8007878B2 (en) 2005-08-03 2011-08-30 Fujifilm Corporation Antireflection film, polarizing plate, and image display device
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7378002B2 (en) 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7371649B2 (en) 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US20070080056A1 (en) 2005-10-07 2007-04-12 German John R Method and apparatus for cylindrical magnetron sputtering using multiple electron drift paths
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7842355B2 (en) 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7518108B2 (en) 2005-11-10 2009-04-14 Wisconsin Alumni Research Foundation Electrospray ionization ion source with tunable charge reduction
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
WO2007058093A1 (ja) 2005-11-21 2007-05-24 Konica Minolta Opto, Inc. 光学フィルムの処理方法、光学フィルムの処理装置及び光学フィルムの製造方法
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
US7440078B2 (en) 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070160822A1 (en) 2005-12-21 2007-07-12 Bristow Paul A Process for improving cycle time in making molded thermoplastic composite sheets
US7561252B2 (en) 2005-12-29 2009-07-14 Asml Holding N.V. Interferometric lithography system and method used to generate equal path lengths of interfering beams
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2007221058A (ja) 2006-02-20 2007-08-30 Toshiba Corp 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070254100A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
US20070254093A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN101479633A (zh) 2006-06-30 2009-07-08 应用材料股份有限公司 用于被动光学网络中光学信号解多工的方法及设备
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
JP2008181710A (ja) 2007-01-23 2008-08-07 Canon Inc プラズマ処理装置及び方法
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US20080179715A1 (en) * 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
TW200842950A (en) 2007-02-27 2008-11-01 Sixtron Advanced Materials Inc Method for forming a film on a substrate
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
US7763522B2 (en) * 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US8582079B2 (en) 2007-08-14 2013-11-12 Applied Materials, Inc. Using phase difference of interference lithography for resolution enhancement
US8896809B2 (en) 2007-08-15 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090117491A1 (en) 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US20100002210A1 (en) 2007-08-31 2010-01-07 Applied Materials, Inc. Integrated interference-assisted lithography
US20090111056A1 (en) 2007-08-31 2009-04-30 Applied Materials, Inc. Resolution enhancement techniques combining four beam interference-assisted lithography with other photolithography techniques
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
KR101404669B1 (ko) 2007-09-27 2014-06-09 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20110076420A1 (en) 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8057649B2 (en) 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8349156B2 (en) 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
US20100078320A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US20100078315A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
TW201129713A (en) 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107430992A (zh) * 2015-03-17 2017-12-01 应用材料公司 用于膜沉积的脉冲化等离子体
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
CN107430992B (zh) * 2015-03-17 2022-07-01 应用材料公司 用于膜沉积的脉冲化等离子体
TWI734907B (zh) * 2017-04-07 2021-08-01 美商應用材料股份有限公司 使用反應性退火的間隙填充
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法

Also Published As

Publication number Publication date
US20140213070A1 (en) 2014-07-31
KR20150109379A (ko) 2015-10-01
US9018108B2 (en) 2015-04-28
WO2014116376A1 (en) 2014-07-31
JP2016510507A (ja) 2016-04-07

Similar Documents

Publication Publication Date Title
TW201437416A (zh) 低收縮介電薄膜
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
CN111910175B (zh) 用于重整非晶碳聚合物膜的方法
US10465294B2 (en) Oxide and metal removal
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
TW201432085A (zh) 使用高密度電漿之金屬處理
KR102503141B1 (ko) 펄스화된 질화물 캡슐화
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
JP2014509449A (ja) Hdp−cvdによるポリシリコン膜
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
TWI706438B (zh) 以uv輔助方式將材料注入多孔膜
US9472392B2 (en) Step coverage dielectric
KR102599830B1 (ko) 결함 평탄화
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
TWI801917B (zh) 沉積低k介電膜的系統及方法
US11621162B2 (en) Systems and methods for forming UV-cured low-κ dielectric films
US20230094012A1 (en) Rf pulsing assisted low-k film deposition with high mechanical strength
KR20220145895A (ko) 저-k 유전체 막들을 증착하기 위한 시스템들 및 방법들