JP2014509449A - Hdp−cvdによるポリシリコン膜 - Google Patents
Hdp−cvdによるポリシリコン膜 Download PDFInfo
- Publication number
- JP2014509449A JP2014509449A JP2013550474A JP2013550474A JP2014509449A JP 2014509449 A JP2014509449 A JP 2014509449A JP 2013550474 A JP2013550474 A JP 2013550474A JP 2013550474 A JP2013550474 A JP 2013550474A JP 2014509449 A JP2014509449 A JP 2014509449A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- polysilicon layer
- source
- processing region
- substrate processing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910021420 polycrystalline silicon Inorganic materials 0.000 title claims abstract description 77
- 229920005591 polysilicon Polymers 0.000 title claims abstract description 73
- 239000000758 substrate Substances 0.000 claims abstract description 113
- 238000000034 method Methods 0.000 claims abstract description 69
- 238000012545 processing Methods 0.000 claims abstract description 47
- 239000002019 doping agent Substances 0.000 claims abstract description 16
- 230000004913 activation Effects 0.000 claims abstract description 5
- 239000007789 gas Substances 0.000 claims description 99
- 238000000151 deposition Methods 0.000 claims description 57
- 230000008569 process Effects 0.000 claims description 29
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 12
- 239000001257 hydrogen Substances 0.000 claims description 11
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000010703 silicon Substances 0.000 claims description 11
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 9
- 238000005137 deposition process Methods 0.000 claims description 9
- 229910000077 silane Inorganic materials 0.000 claims description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 7
- 239000001301 oxygen Substances 0.000 claims description 7
- 229910052760 oxygen Inorganic materials 0.000 claims description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- 235000011194 food seasoning agent Nutrition 0.000 claims description 6
- 230000015572 biosynthetic process Effects 0.000 claims description 5
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 4
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 3
- 229910052786 argon Inorganic materials 0.000 claims description 3
- 239000001307 helium Substances 0.000 claims description 3
- 229910052734 helium Inorganic materials 0.000 claims description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 3
- 229910052698 phosphorus Inorganic materials 0.000 claims description 3
- 239000011574 phosphorus Substances 0.000 claims description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 2
- 229910052796 boron Inorganic materials 0.000 claims description 2
- 229910001882 dioxygen Inorganic materials 0.000 claims description 2
- 229910052754 neon Inorganic materials 0.000 claims description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 2
- 230000008021 deposition Effects 0.000 abstract description 47
- 238000010420 art technique Methods 0.000 abstract description 3
- 239000012686 silicon precursor Substances 0.000 abstract description 3
- 238000004140 cleaning Methods 0.000 description 13
- 238000004544 sputter deposition Methods 0.000 description 13
- 150000002500 ions Chemical class 0.000 description 9
- 239000000463 material Substances 0.000 description 8
- 239000002243 precursor Substances 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- 239000007800 oxidant agent Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 230000007423 decrease Effects 0.000 description 3
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 241000894007 species Species 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 208000037998 chronic venous disease Diseases 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- 150000001638 boron Chemical class 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052574 oxide ceramic Inorganic materials 0.000 description 1
- 239000011224 oxide ceramic Substances 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000001953 recrystallisation Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
- C23C16/0245—Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02595—Microstructure polycrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
ポリシリコン層を形成する方法が記載される。これらの方法は、堆積基板を収容する基板処理領域内でシリコン前駆体から高密度プラズマを形成することを含む。これらの記載の方法は、従来技術の技法に比べて低い基板温度(たとえば、500℃未満)で多結晶膜を生じさせる。バイアスプラズマ出力調整を利用できることで、形成されたポリシリコン層の共形性の調整をさらに可能にする。高密度プラズマ内にドーパントが含まれるとき、ドーパントは、別個の活性化ステップを必要としないように、ポリシリコン層内へ組み込むことができる。
【選択図】図1
【選択図】図1
Description
関連出願の相互参照
本出願は、2011年4月19日出願の「POLYSILICON FILMS BY HDP−CVD」という名称の米国特許出願第13/089,966号のPCT出願であり、2011年1月24日出願の「DEPOSITION OF POLYSILICON FILM BY HDP−CVD」という名称の米国仮特許出願第61/435,487号に関連し、同出願の利益を主張する。両出願は、あらゆる目的で全体として参照により本明細書に組み込まれている。
本出願は、2011年4月19日出願の「POLYSILICON FILMS BY HDP−CVD」という名称の米国特許出願第13/089,966号のPCT出願であり、2011年1月24日出願の「DEPOSITION OF POLYSILICON FILM BY HDP−CVD」という名称の米国仮特許出願第61/435,487号に関連し、同出願の利益を主張する。両出願は、あらゆる目的で全体として参照により本明細書に組み込まれている。
半導体デバイスの形状寸法は、数十年前の導入以来、劇的に低減してきた。現在の半導体製造機器は、45nm、32nm、および28nmの特徴寸法を有するデバイスを日常的に生産しており、さらに小さい形状寸法を有するデバイスを作るために、新しい機器が開発および実施されている。特徴寸法が低減する結果、デバイス上の構造的な特徴の空間寸法が低減している。デバイス上の間隙およびトレンチの幅は、この間隙を材料で充填するのが困難になるほど間隙の深さと幅のアスペクト比が大きくなるところまで狭くなっている。
多結晶シリコン(ポリシリコンと短縮されることが多い)とは、超小型回路および太陽電池セルの生産において複数の用途がある材料である。ポリシリコンは、最も一般的には、熱化学気相堆積(たとえば、LP−CVD)によって堆積される。ポリシリコンはまた、プラズマCVD(すなわち、PE−CVD)を使用して堆積され、ならびにアモルファスシリコンの再結晶化を通じて形成されてきた。多くの適用分野では、ポリシリコンはドープされ、ゲートまたは電極として使用される。他の適用分野では、ポリシリコンはトランジスタ自体の一部分(複数可)として使用され、その場合、ポリシリコンをドープすることができ、または真性のままとすることができる。多種多様な適用分野には、ポリシリコンを共形ならびに非共形に堆積させるための柔軟な方法が必要である。
ポリシリコン層の堆積の共形性を変動させるために柔軟性を提供する新しい堆積方法が必要とされる。またこれらの新しい方法では、ますます厳しくなる熱量範囲内にとどまるように、低い基板温度での堆積を可能にしなければならない。
ポリシリコン層を形成する方法が記載される。これらの方法は、堆積基板を収容する基板処理領域内でシリコン前駆体から高密度プラズマを形成することを含む。これらの記載の方法は、従来技術の技法に比べて低い基板温度(たとえば、500℃未満)で多結晶膜を生じさせる。バイアスプラズマ出力調整を利用できることで、形成されたポリシリコン層の共形性の調整をさらに可能にする。高密度プラズマ内にドーパントが含まれるとき、ドーパントは、別個の活性化ステップを必要としないように、ポリシリコン層内へ組み込むことができる。
本発明の実施形態は、基板処理チャンバの基板処理領域内でパターン付き基板のトレンチ内にポリシリコン層を堆積させる方法を含む。これらの方法は、パターン付き基板を基板処理領域内へ移動させることを含む。これらの方法は、約20ミリトル以下の基板処理領域内の平均圧力を維持し、500℃以下のパターン付き基板の平均温度を維持しながら、ケイ素源を含む堆積プロセスガスから基板処理領域内で高密度プラズマを形成することによって、パターン付き基板上でポリシリコン層を成長させることをさらに含む。これらの方法は、基板処理領域からパターン付き基板を取り出すことをさらに含む。
追加の実施形態および特徴について、一部は以下の説明で述べるが、一部は本明細書の説明から当業者には明らかになり、または本発明の実施から学習することができる。本発明のこれらの特徴および利点は、本明細書に記載する道具、組合せ、および方法を用いて実現および達成することができる。
ポリシリコン層を形成する方法が記載される。これらの方法は、堆積基板を収容する基板処理領域内でシリコン前駆体から高密度プラズマを形成することを含む。これらの記載の方法は、従来技術の技法に比べて低い基板温度(たとえば、500℃未満)で多結晶膜を生じさせる。バイアスプラズマ出力調整を利用できることで、形成されたポリシリコン層の共形性の調整をさらに可能にする。高密度プラズマ内にドーパントが含まれるとき、ドーパントは、別個の活性化ステップを必要としないように、ポリシリコン層内へ組み込むことができる。
パターン付き基板上にポリシリコンを堆積させることは、バイアス電力が印加されるか否かにかかわらず、高密度プラズマ技法を使用することで可能になることが判明した。特にパターン付き基板上に露出された酸化ケイ素を有するシステム内には、高密度プラズマ膜に一般的に伴う応力が含まれることが分かった。共形の間隙充填堆積方式とボトムアップ式の間隙充填堆積方式はどちらも、主としてバイアス電力の有無およびポリシリコン膜の成長速度に依存することが判明した。共形の間隙充填方式とボトムアップ式の間隙充填方式のどちらにおいても、ポリシリコンを堆積させる前のパターン付き基板の水素によるプラズマ処理は、ポリシリコン層の成長を促進させることが分かった。高密度プラズマ化学気相堆積(HDP−CVD)技法は、間隙充填を強化し、ならびに低い基板温度での堆積を可能にするために使用することができる。
ポリシリコンの共形の堆積は、HDP−CVDを使用するとより低い温度(たとえば、350℃未満)で発生することが分かった。本発明の実施形態では、低い堆積速度(たとえば、500Å/分未満)および/または高い圧力(たとえば、10〜30ミリトル)でも、互いの約50%以内の側壁(水平方向)および上部(垂直方向)の成長速度を有する共形のポリシリコン膜を生じさせることが分かった。HDP−CVDはまた、パターン付き基板上の間隙およびトレンチを充填するのに有用なボトムアップ式のポリシリコン堆積を可能にすることができる。露出されたパターン付き基板の水素による前処理は、柱状の構造および/または粒状の構造の両方を有する高密度のポリシリコン膜を生じさせるのに役立つことが分かった。これは、露出された基板を洗浄し、かつ/または露出された表面を水素で化学的に停止させることから行うことができる。
本明細書では、高密度プラズマ処理とは、約1011イオン/cm3以上のイオン密度を有するプラズマを用いるプラズマCVD処理である。高密度プラズマはまた、約10−4以上のイオン化留分(イオン/中性の比)を有することができる。通常、HDP−CVD処理は、同時堆積およびスパッタリング構成要素を含む。本発明で実施されるいくつかのHDP−CVD処理は、一般的に間隙充填のために最適化される従来のHDP−CVD処理とは異なる。いくつかのステップおよび実施形態では、共形の多結晶シリコン膜は、実質上低減された(総プラズマ出力の10%未満)基板バイアス電力で実現され、したがって、かなりのバイアス電力を用いるHDP−CVD処理よりスパッタリングが少なくなる。従来のHDP処理パラメータからのこの逸脱にもかかわらず、スパッタリングおよび堆積速度を伴うスカラ式の特性付けは有用であり、以下に定義する。
高密度プラズマの堆積特性とスパッタリング特性を組み合わせた相対レベルは、ガス状混合物を提供するために使用されるガス流量、プラズマを維持するために印加されるソース電力レベル、基板に印加されるバイアス電力などの要因に依存することができる。これらの要因の組合せは、次のように定義される「堆積対スパッタ比」によってうまく特性付けることができる。
堆積対スパッタ比は、堆積の増大とともに増大し、スパッタリングの増大とともに低減する。堆積対スパッタ比の定義では、「正味堆積速度」とは、堆積およびスパッタリングが同時に行われているときに測定される堆積速度を指す。「包括的スパッタ速度」とは、処理レシピが堆積ガスなしで(たとえば、窒素および流動物を残す)実行されるときに測定されるスパッタ速度である。残りのガスの流量は、通常の処理中に処理チャンバ内に存在する圧力を達成するように、ガス間の固定の比を維持したまま増大される。
当業者には知られているように、他の機能上同等の尺度を使用して、HDP処理の相対的な堆積およびスパッタリングの寄与を定量化することもできる。一般的な代替の比は、「エッチング対堆積比」である。
エッチング対堆積比は、スパッタリングの増大とともに増大し、堆積の増大とともに低減する。エッチング対堆積比の定義では、「正味堆積速度」とはこの場合も、堆積およびスパッタリングが同時に行われているときに測定される堆積速度を指す。しかし、「ソースのみ堆積速度」とは、処理レシピがスパッタリングなしで実行されるときに測定される堆積速度を指す。本明細書では、本発明の実施形態について、堆積対スパッタ比の点から説明する。堆積対スパッタ比とエッチング対堆積比は、厳密には逆数ではないが、反比例の関係であり、これらの比の変換は、当業者には理解されるであろう。
典型的なHDP−CVD処理は、トレンチの形状寸法の間隙充填を目的とする。間隙充填処理では、基板バイアスRF電力を使用してイオンを基板の方へ加速させ、それによって接近軌道の範囲を狭くする。こうして狭くすることとスパッタリング作用を組み合わせることで、成長しているビアの上隅部が集まってボイドを形成および維持する前に、間隙を充填することができる。そのような間隙充填適用分野における堆積対スパッタ比(D:S)は、たとえば約3:1〜約10:1の範囲とすることができ、いくつかの一般的でない適用分野では、たとえば約25:1の堆積対スパッタ比を有することができる。本発明の実施形態によって成長させた酸化ケイ素膜は、基板バイアス電力をほとんどまたはまったく使用することなく、HDP−CVD処理で生じさせることができる。これらの条件下の包括的スパッタリング速度は遅くすることができ、異なる実施形態では、堆積対スパッタ比は通常、約50:1〜約100:1を上回ると予期することができる。
本発明をよりよく理解および評価するために、図1を次に参照されたい。図1は、本発明の実施形態による間隙充填ポリシリコン膜を成長させる選択されたステップを示す流れ図である。間隙充填ポリシリコン形成処理は、トレンチを有するパターン付き基板を基板処理領域内へ移動させたときに始まる(動作101)。基板処理領域内へ水素(H2)を導入して高密度プラズマを形成し(動作102)、ポリシリコンを堆積させる前にパターン付き基板の表面を前処理する。次に、基板処理領域へシランを流し入れて高密度プラズマを形成し(動作106)、パターン付き基板上にポリシリコンを堆積させる。
動作108で、高密度プラズマと基板との間にプラズマバイアスを印加して、イオンを基板の方へ加速させる。その結果、間隙充填ポリシリコンがトレンチ内にボトムアップ形式で形成される。基板バイアス電力は、ポリシリコン間隙充填層の成長中に堆積対スパッタ比を制御するように調整することができる。例示的な堆積対スパッタ比は、堆積中に約2:1〜約6:1の範囲とすることができる。堆積中にかなりのスパッタリングを発生させることで、堆積させたバルク間隙充填層内にかなりのボイドが形成される可能性を低減させる。
図2は、本発明の実施形態による共形のポリシリコン膜を成長させる選択されたステップを示す流れ図である。共形のポリシリコン形成処理は、基板(パターン付きまたはパターンなし)を基板処理領域内へ移動させたときに始まる(動作202)。基板処理領域内へ水素(H2)を導入して高密度プラズマを形成し(動作204)、ポリシリコンを堆積させる前にパターン付き基板の表面を前処理する。次に、基板処理領域へシランを流し入れて、バイアスなしの(または低濃度にバイアスされた)高密度プラズマを形成し(動作206)、パターン付き基板上にポリシリコンを堆積させる。高密度プラズマと基板との間には、イオンを基板の方へ加速させるためのプラズマバイアスをほとんどまたはまったく印加しない。その結果、共形のポリシリコンが基板上に形成される。
本明細書の方法によって間隙充填または共形のポリシリコンを形成することで、処理を比較的低い基板温度で行うことができる。典型的な熱的ポリシリコン堆積処理は、650℃以上の基板温度で実施することができるが、本発明の実施形態では、HDPポリシリコンの形成中に使用される基板温度は、約500℃以下、約450℃以下、または約400℃以下とすることができる。基板の温度は、様々な方法で制御することができる。図1〜2では、水素プラズマを使用して、基板を堆積温度まで加熱することができる。プラズマがこれらの範囲を超えて基板温度を上昇させる状況では、裏側を流れるヘリウムによって基板の裏面を冷却することができる。ポリシリコンを約300℃未満で成長させることで、ポリシリコンとアモルファスシリコンとの混合物を成長させることが分かった。
高密度プラズマを使用して形成されるポリシリコン層は、圧縮応力を保持することができる。下にある二酸化ケイ素材料(実施形態では、下にある基板の一部分上に存在する)は、間隙充填ポリシリコン層に関連する応力の一部を吸収することができる。
シランは、ポリシリコンを形成するのに有用な唯一のケイ素源ではない。ジシランおよびより高次のシランもまた、隣接するケイ素原子間に1つまたは複数の2重結合を有するシランの場合と同様に、これらの膜を形成することができるはずである。本発明の実施形態では、形成している膜内にハロゲンが組み込まれるのを回避するために、ポリシリコンを形成するために使用されるシランはハロゲンを含まない。通常、これらのケイ素源は、単独で使用することができ、または互いに任意の組合せで組み合わせることができ、これを集合的に堆積プロセスガスと呼ぶ。一部またはすべての水素(H2)に対する代替物も利用可能である。アンモニア(NH3)は、堆積前の処理動作に対する有用な水素源(H)であることが分かった。ヒドラジン(N2H4)ならびに他の窒素および水素含有化合物もまた、前処理プラズマへの投入として働くことが予期される。通常、これらの水素源は、単独で使用することができ、または互いに任意の組合せで組み合わせることができ、これを集合的に前処理プロセスガスと呼ぶ。
本明細書に参照するプロセスガスはいずれも、高密度プラズマの安定化または基板全体におけるポリシリコン堆積の均一性の改善を助けることができる不活性ガスと組み合わせることができる。本発明の実施形態では、これらのプロセスガスにアルゴン、ネオン、および/またはヘリウムが加えられ、流動ガスと呼ばれる。流動ガスは、プラズマ密度を変更する(たとえば、増大させる)ために、1つまたは複数のステップ中に導入することができる。プラズマ密度を増大させることで、プラズマ内でのイオン化および解離の確率を増大させるのに役立つことができる。
本発明の実施形態では、いくつかのポリシリコン膜内にドーパントを組み込むために、堆積プロセスガス内にドーパント源を含むこともできる。高密度プラズマの性質により、ドーパントは、ポリシリコン膜内でよりしっかりと結合することができ、それにより、実施形態では別個の熱的ドーパント活性化ステップの必要がなくなる。形成しているポリシリコン層内に活性化されたホウ素(B)のドーピング中心を作るために、堆積プロセスガスにホウ素含有前駆体を加えることができる(たとえば、TEB、TMB、BH3、B2H6、より高次のボラン)。別法として、実施形態では、堆積プロセスガスにリン含有前駆体(たとえば、PH3)を加えることによって、ポリシリコン層内に活性化されたリン(P)のドーピング中心を含むこともできる。
本発明の実施形態では、ドーパント源はまた、第1の原子構成要素(ケイ素)と等電子の原子価である炭素などのドーパントを導入することができる。炭素は、ドーパント源内に炭化水素を含むことによって導入することができる。適した炭化水素には、CH4、C2H6、C2H4、C2H2、C3H8、C3H6などが含まれる。本発明の実施形態では、炭素がドープされたポリシリコン膜内の炭素の濃度は、10%または20%を超えて高くすることができる。
例示的な基板処理システム
本発明者らは、カリフォルニア州サンタクララのAPPLIED MATERIALS,INC.によって製造されたULTIMA(商標)というシステムを用いて、本発明の実施形態を実施した。これに関する概略的な説明は、本発明の譲受人に譲渡された、1996年7月15日出願のFred C.Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong、およびAshok Sinhaによる米国特許第6,170,428号、「SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP−CVD REACTOR」に提供されている。同特許の開示全体は、参照により本明細書に組み込まれている。このシステムの概要を、以下の図3Aおよび図3Bに関連して提供する。図3Aは、一実施形態におけるそのようなHDP−CVDシステム310の構造を概略的に示す。システム310は、チャンバ313、真空システム370、ソースプラズマシステム380A、基板バイアスプラズマシステム380B、ガス供給システム333、および遠隔プラズマ洗浄システム350を含む。
本発明者らは、カリフォルニア州サンタクララのAPPLIED MATERIALS,INC.によって製造されたULTIMA(商標)というシステムを用いて、本発明の実施形態を実施した。これに関する概略的な説明は、本発明の譲受人に譲渡された、1996年7月15日出願のFred C.Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong、およびAshok Sinhaによる米国特許第6,170,428号、「SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP−CVD REACTOR」に提供されている。同特許の開示全体は、参照により本明細書に組み込まれている。このシステムの概要を、以下の図3Aおよび図3Bに関連して提供する。図3Aは、一実施形態におけるそのようなHDP−CVDシステム310の構造を概略的に示す。システム310は、チャンバ313、真空システム370、ソースプラズマシステム380A、基板バイアスプラズマシステム380B、ガス供給システム333、および遠隔プラズマ洗浄システム350を含む。
チャンバ313の上部部分は、酸化アルミニウムまたは窒化アルミニウムなどのセラミック誘電体材料から作られたドーム314を含む。ドーム314は、プラズマ処理領域316の上部境界を画定する。プラズマ処理領域316は、底部で基板317の上面および基板支持部材318によって結合される。
ドーム314には加熱板323および冷却板324が載っており、熱的に結合されている。加熱板323および冷却板324により、ドーム温度を約100℃〜200℃の範囲にわたって約+10℃の範囲内で制御することができる。これにより、様々な処理に対してドーム温度を最適化することができる。たとえば、洗浄またはエッチング処理の場合は、堆積処理の場合より高い温度でドームを維持することが望ましいであろう。また、ドーム温度の正確な制御により、チャンバ内の剥片または粒子数を低減させ、堆積された層と基板との間の接着を改善する。
チャンバ313の下部部分は、チャンバと真空システムをつなぎ合わせる本体部材322を含む。本体部材322上には基板支持部材318の基礎部分321が取り付けられ、本体部材322とともに連続する内面を形成する。基板は、チャンバ313の側面内の挿入/除去開口(図示せず)を通じて、ロボットブレード(図示せず)によって、チャンバ313内へ、またチャンバ313外へ移動される。リフトピン(図示せず)をモータ(同じく図示せず)の制御下で持ち上げて下ろし、基板を上部ローディング位置357のロボットブレードから下部処理位置356へ動かす。基板は、基板支持部材318の基板受容部分319内に配置される。基板受容部分319は、基板処理中に基板を基板支持部材318に固定する静電チャック320を含む。好ましい実施形態では、基板支持部材318は、酸化アルミニウムまたはアルミニウムのセラミック材料から作られる。
真空システム370はスロットル本体325を含み、スロットル本体325は、2枚刃のスロットルバルブ326を収納し、ゲートバルブ327およびターボ分子ポンプ328に取り付けられる。スロットル本体325は、ガス流に対して最小の障害しか与えず、対称形のポンピングを可能にすることに留意されたい。ゲートバルブ327は、ポンプ328をスロットル本体325から分離することができ、スロットルバルブ326が完全に開いているときには、排気流の容量を制限することによって、チャンバ圧力を制御することもできる。スロットルバルブ、ゲートバルブ、およびターボ分子ポンプの構成により、チャンバ圧力を最高約1ミリトル〜約2トルで正確に安定して制御することができる。
ソースプラズマシステム380Aは、ドーム314上に取り付けられた上部コイル329および側面コイル330を含む。対称形の接地シールド(図示せず)により、コイル間の電気的結合を低減させる。上部コイル329は、上部ソースRF(SRF)ジェネレータ331Aによって電力供給され、側面コイル330は、側面SRFジェネレータ331Bによって電力供給され、各コイルに対して独立した電力レベルおよび動作周波数を可能にする。このデュアルコイルシステムにより、チャンバ313内で径方向のイオン密度を制御することができ、それによってプラズマの均一性を改善することができる。側面コイル330および上部コイル329は通常、誘導によって駆動され、補完的な電極を必要としない。特有の実施形態では、上部ソースRFジェネレータ331Aは、公称では2MHzで最高5,000ワットのRF電力を提供し、側面ソースRFジェネレータ331Bは、公称では2MHzで最高7,500ワットのRF電力を提供する。上部および側面RFジェネレータの動作周波数は、プラズマ生成効率を改善するために、公称動作周波数から(たとえば、それぞれ1.7〜1.9MHzおよび1.9〜2.1MHzに)ずらすことができる。
基板バイアスプラズマシステム380Bは、バイアスRF(「BRF」)ジェネレータ331Cおよびバイアス整合ネットワーク332Cを含む。バイアスプラズマシステム380Bは、基板部分317と本体部材322を容量結合させ、これらは補完的な電極として作用する。バイアスプラズマシステム380Bは、ソースプラズマシステム380Aによって作られるプラズマ種(たとえば、イオン)を基板の表面へ輸送するのを促進する働きをする。特有の実施形態では、基板バイアスRFジェネレータは、約13.56MHzの周波数で最高10,000ワットのRF電力を提供する。
RFジェネレータ331Aおよび331Bは、デジタル制御式の合成器を含む。当業者には理解されるように、各ジェネレータはRF制御回路(図示せず)を含み、RF制御回路は、チャンバおよびコイルからジェネレータへ後方反射される電力を測定し、最低の反射電力を得るように動作周波数を調整する。RFジェネレータは通常、50オームの特性インピーダンスを有する負荷になるように設計される。ジェネレータとは異なる特性インピーダンスを有する負荷から、RF電力を反射させることができる。これにより、負荷へ伝達される電力を低減させることができる。さらに、負荷からジェネレータへ後方反射される電力は、ジェネレータに負荷をかけ過ぎて損傷することがある。他の要因の中でも、プラズマのインピーダンスは、プラズマイオン密度に応じて、5オーム未満から900オームを超える範囲とすることができ、反射電力は周波数に応じるため、反射電力に従ってジェネレータ周波数を調整することで、RFジェネレータからプラズマへ伝達される電力を増大させ、ジェネレータを保護する。反射電力を低減させて効率を改善する別の方法は、整合ネットワークを用いる。
整合ネットワーク332Aおよび332Bは、ジェネレータ331Aおよび331Bの出力インピーダンスとそれぞれのコイル329および330を整合させる。RF制御回路は、整合ネットワーク内でキャパシタの値を変化させ、負荷が変化するにつれてジェネレータと負荷を整合させることによって、両方の整合ネットワークを同調させることができる。RF制御回路は、負荷からジェネレータへ後方反射される電力が特定の限界を超過したとき、整合ネットワークを同調させることができる。一定の整合を提供し、RF制御回路が整合ネットワークを同調させることを実質上無効にする1つの方法は、予期の反射電力値を上回るように反射電力の限界を設定することである。これにより、最近の条件で整合ネットワークを一定に保持することによって、いくつかの条件下でプラズマを安定させるのに役立つことができる。
他の方策も、プラズマを安定させるのに役立つことができる。たとえば、RF制御回路を使用して、負荷(プラズマ)へ供給される電力を判定することができ、供給される電力を層の堆積中に実質上一定に保つように、ジェネレータ出力電力を増大または低減させることができる。
ガス供給システム333は、ガス供給ライン338(一部のみを示す)を用いて、いくつかのガス源334A〜334Eから、基板を処理するチャンバへガスを提供する。当業者には理解されるように、ガス源334A〜334Eに使用される実際のガス源およびチャンバ313への供給ライン338の実際の接続は、チャンバ313内で実行される堆積および洗浄処理に応じて変動する。ガスは、ガスリング337および/または上部ノズル345を通じてチャンバ313内へ導入される。図3Bは、ガスリング337のさらなる詳細を示す、チャンバ313の簡略化された部分横断面図である。
一実施形態では、第1のガス源334Aおよび第2のガス源334B、ならびに第1のガス流コントローラ335A’および第2のガス流コントローラ335B’が、ガス供給ライン338(一部のみを示す)を用いて、ガスリング337内のリングプレナム336へガスを提供する。ガスリング337は、基板全体に均一のガス流を提供する複数のソースガスノズル339(例示の目的で1つのみを示す)を有する。ノズルの長さおよびノズルの角度は、個々のチャンバ内の特定の処理に対する均一性プロファイルおよびガス利用効率の調節を可能にするように変化させることができる。好ましい実施形態では、ガスリング337は、酸化アルミニウムセラミックから作られた12個のソースガスノズルを有する。
ガスリング337はまた、複数の酸化剤ガスノズル340(1つのみを示す)を有し、酸化剤ガスノズル340は、一実施形態ではソースガスノズル339と共平面であり、ソースガスノズル339より短く、一実施形態では本体プレナム341からガスを受け取る。いくつかの実施形態では、ガスをチャンバ313内へ噴射する前にソースガスと酸化剤ガスを混合しないことが望ましい。他の実施形態では、本体プレナム341とガスリングプレナム336との間に開孔(図示せず)を提供することによって、ガスをチャンバ313内へ噴射する前に、酸化剤ガスとソースガスを混合することができる。一実施形態では、第3のガス源334C、第4のガス源334D、および第5のガス源334D’、ならびに第3のガス流コントローラ335Cおよび第4のガス流コントローラ335D’が、ガス供給ライン338を用いて、本体プレナムへガスを提供する。343Bなどの追加のバルブ(他のバルブは図示せず)で、流れコントローラからチャンバへのガスを遮断することができる。本発明の特定の実施形態を実施する際には、ガス源334AはシランSiH4源を含み、ガス源334Bは分子状窒素N2源を含み、ガス源334CはTSA源を含み、ガス源334DはアルゴンAr源を含み、ガス源334D’はジシランSi2H6源を含む。
可燃性、毒性、または腐食性のガスが使用される実施形態では、堆積後にガス供給ライン内に残ったガスを取り除くことが望ましいであろう。これはたとえば、バルブ343Bなどの3方向バルブを使用して、チャンバ313を供給ライン338Aから分離し、供給ライン338Aを真空フォアライン(foreline)344へ通気することによって、実現することができる。図3Aに示すように、他のガス供給ライン上に、343Aおよび343Cなどの他の類似のバルブを組み込むこともできる。そのような3方向バルブは、通気されないガス供給ラインの体積(3方向バルブとチャンバとの間)を最小にするように、チャンバ313にできるだけ近接して配置することができる。さらに、流体質量コントローラ(「MFC」)とチャンバとの間またはガス源とMFCとの間に、2方向(開閉)バルブ(図示せず)を配置することもできる。
図3Aを再び参照すると、チャンバ313はまた、上部ノズル345および上部通気口346を有する。上部ノズル345および上部通気口346により、上部および側面のガス流を独立して制御することができ、それにより膜の均一性を改善し、膜の堆積およびドーピングパラメータの微調整を可能にする。上部通気口346は、上部ノズル345の周りの環状の開口である。一実施形態では、第1のガス源334Aが、ソースガスノズル339および上部ノズル345に供給する。ソースノズルMFC335A’が、ソースガスノズル339へ供給されるガスの量を制御し、上部ノズルMFC335Aが、上部ガスノズル345へ供給されるガスの量を制御する。同様に、2つのMFC335Bおよび335B’を使用して、ガス源334Bなどの単一の酸素源から上部通気口346および酸化剤ガスノズル340の両方への酸素の流れを制御することができる。いくつかの実施形態では、酸素は、いかなる側面ノズルからもチャンバへ供給されない。上部ノズル345および上部通気口346へ供給されるガスは、ガスをチャンバ313内へ流すまで、別個のまま保つことができ、またはチャンバ313内へ流れる前に、上部プレナム348内でこれらのガスを混合することができる。同じガスの別個のガス源を使用して、チャンバの様々な部分に供給することができる。
チャンバ構成要素から堆積残留物を周期的に洗浄するように、遠隔マイクロ波生成式プラズマ洗浄システム350が設けられる。この洗浄システムは、リアクタ空胴353内の洗浄ガス源334E(たとえば、分子状フッ素、三フッ化窒素、他の過フッ化炭化水素、または均等物)からプラズマを生じさせる遠隔マイクロ波ジェネレータ351を含む。このプラズマに起因する反応性種は、アプリケータチューブ355を用いて、洗浄ガス送出ポート354を通じてチャンバ313へ運ばれる。洗浄プラズマを収容するために使用される材料(たとえば、空胴353およびアプリケータチューブ355)は、プラズマによる侵食に対して耐性をもっていなければならない。望ましいプラズマ種の濃度は、リアクタ空胴353からの距離とともに減るため、リアクタ空胴353と送出ポート354との間の距離はできるだけ短く保たれるべきである。遠隔空胴内に洗浄プラズマを生成することで、効率的なマイクロ波ジェネレータを使用することができ、その場で形成されるプラズマ内に存在しうるグロー放電の温度、放射、または衝撃にチャンバ構成要素をさらさない。したがって、インシトゥプラズマ洗浄処理で必要とされるように、静電チャック320などの比較的損傷を受けやすい構成要素を擬似ウエハで覆う必要はなく、または他の方法で保護する必要もない。図3Aでは、プラズマ洗浄システム350がチャンバ313より上に配置されているところを示すが、別法として他の位置を使用することもできる。
上部ノズル近傍にバッフル361を設けて、上部ノズルを通じて供給されるソースガスの流れをチャンバ内へ誘導し、遠隔で生成されるプラズマの流れを誘導することができる。上部ノズル345を通じて提供されるソースガスは、中心の通路362を通ってチャンバ内へ誘導されるが、遠隔で生成され、洗浄ガス送出ポート354を通じて提供されるプラズマ種は、バッフル361によってチャンバの側壁の方へ誘導される。
基板処理領域の内部をシーズニングすることで、多くの高密度プラズマ堆積処理が改善されることが分かった。ポリシリコンの形成も例外ではない。シーズニングは、基板処理領域内へ堆積基板が導入される前にチャンバ内部上に酸化ケイ素を堆積させることを伴う。実施形態では、基板処理領域の内部をシーズニングすることは、酸素源およびケイ素源を含むシーズニングプロセスガスから基板処理領域内で高密度プラズマを形成することを含む。酸素源は2原子酸素(O2)とすることができ、ケイ素源はシラン(SiH4)とすることができるが、他の前駆体でも十分である。
二酸化ケイ素ライナ層を含む場合と含まない場合に、間隙内に堆積される多結晶シリコン膜内の応力の量を測定するために、比較試験が行われる。カリフォルニア州サンタクララのApplied Materials,Inc.製のUltimaというHDP処理チャンバ内に配置された直径300mmの基板ウエハ上に形成される間隙内に、バルク間隙充填ポリシリコン層が堆積される。基板は、HDP−CVD堆積中に350℃で維持され、印加される総ソースプラズマRF電力は、バイアス電力を除いて10.6ワット/cm2(7500ワット)とすることができる。ライニング層は、約5.0ワット/cm2(3500ワット)の基板バイアス電力で成長される。バルク間隙充填層の多結晶シリコンの成長中、3.5〜10.0ワット/cm2(直径300mmのウエハ上で2500〜7000ワット)の範囲内のバイアス電力が基板に印加された。ライニング層の厚さは、それぞれ約200Åおよび500Åとすることができる。バルク間隙充填層の厚さは、約2.0μmとすることができる。
本発明の精神から逸脱することなく、異なる処理チャンバおよび異なる処理条件に対して処理パラメータが変動しうること、ならびに異なる前駆体を使用できることが、当業者には理解されるであろう。適当なケイ素含有前駆体は、シランに加えて、トリシリルアミン(TSA、(SiH3)3N)およびジシラン(Si2H6)を含むことができる。他の変形形態も、当業者には明らかであろう。これらの均等物および代替物も、本発明の範囲内に含まれるものとする。したがって、本発明の範囲は、記載の実施形態に限定されるものではなく、以下の特許請求の範囲によって定義されるものである。
「トレンチ」という用語を本明細書全体にわたって使用したが、エッチングされた幾何形状が大きな水平のアスペクト比を有することを示唆するものではない。紙面の上から見ると、トレンチは、円形、楕円形、多角形、方形、または様々な他の形状に見えることがある。「ビア」という用語は、低アスペクト比のトレンチを指すために使用され、垂直方向の電気的接続を形成するために金属で充填されていても、されていなくてもよい。本明細書では、共形の層とは、表面と同じ形状で表面上に位置する概ね均一の材料層を指し、すなわち、層の表面と層に覆われる表面は、概ね平行である。堆積された材料は100%共形でない可能性が高く、したがって「概ね」という用語は許容できる公差を考慮に入れていることが、当業者には理解されるであろう。
いくつかの実施形態について説明したが、本発明の精神から逸脱することなく、様々な修正形態、代替構造、および均等物を使用できることが、当業者には理解されるであろう。さらに、本発明を不要に曖昧にするのを避けるため、複数のよく知られている処理および要素については説明しなかった。したがって、上記の説明は、本発明の範囲を限定すると見なされるべきではない。
値の範囲が提供される場合、その範囲の上限と下限の間に介在するそれぞれの値は、文脈上別途明示しない限り下限の単位の10分の1まで、明確に開示されることが理解される。記載の範囲内の任意の記載の値または介在する値と、その記載の範囲内の任意の他の記載の値または介在する値との間のより小さいそれぞれの範囲が包含される。これらのより小さい範囲の上限および下限は、独立して範囲内に含まれても、除外されてもよく、また、より小さい範囲内に限度のいずれかを含む範囲、どちらも含まない範囲、またはどちらも含む範囲はそれぞれ、記載の範囲内の任意の明確に除外された限界に応じて、本発明の範囲内に包含される。記載の範囲が限界の一方または両方を含む場合、これらの含まれる限度のいずれかまたは両方を除外する範囲も含まれる。
本明細書および添付の特許請求の範囲では、単数形の「a」、「an」、および「the」は、文脈上別途明示しない限り、複数の指示対象を含む。したがって、たとえば「プロセス(a process)」への言及は、複数のそのようなプロセスを含み、「前駆体(the precursor)」への言及は、当業者には知られている1つまたは複数の前駆体およびその均等物への言及を含み、以下同様である。
また、本明細書および以下の特許請求の範囲で使用する「含む(comprise)」、「含む(comprising)」、「含む(include)」、「含む(including)」、および「含む(includes)」は、記載の特徴、全体、構成要素、またはステップの存在を指定するものであるが、1つまたは複数の他の特徴、全体、構成要素、ステップ、動作、または群の存在または追加を排除しない。
Claims (17)
- 基板処理チャンバの基板処理領域内でパターン付き基板のトレンチ内にポリシリコン層を堆積させる方法であって、前記方法は、
前記パターン付き基板を前記基板処理領域内へ移動させることと、
約20ミリトル以下の前記基板処理領域内の平均圧力を維持し、500℃以下のパターン付き基板の平均温度を維持しながら、ケイ素源を含む堆積プロセスガスから前記基板処理領域内で高密度プラズマを形成することによって、前記パターン付き基板上で前記ポリシリコン層を成長させることと、
前記基板処理領域から前記パターン付き基板を取り出すこととを含む方法。 - 前記パターン付き基板上で前記ポリシリコン層を成長させる前に、水素源を含む前処理プロセスガスから前記基板処理領域内の高密度プラズマ内で前記パターン付き基板を前処理することをさらに含む、請求項1に記載の方法。
- 前記ポリシリコン層が本質的に共形になるように、前記ポリシリコン層を成長させる間に前記パターン付き基板と前記高密度プラズマとの間に本質的にバイアスが印加されない、請求項1に記載の方法。
- 前記ポリシリコン層の成長速度が約500Å/分未満である、請求項3に記載の方法。
- 前記トレンチの壁上で測定される前記ポリシリコン層の水平方向の成長速度が、前記トレンチの開口の周りの表面上の垂直方向の成長速度の約50%〜100%である、請求項3に記載の方法。
- 前記トレンチがポリシリコンで充填されるように、前記ポリシリコン層を成長させる間に前記パターン付き基板と前記高密度プラズマとの間にプラズマバイアス電力が印加される、請求項1に記載の方法。
- 前記ケイ素源がシランである、請求項1に記載の方法。
- 前記プロセスガスが、2つの層のうちの少なくとも1つの成長中に第4の流量で流されるアルゴン、ネオン、およびヘリウムから選択される流動ガスをさらに含む、請求項1に記載の方法。
- 前記パターン付き基板の平均温度が約400℃以下である、請求項1に記載の方法。
- 前記プロセスガスが、成長中に前記ポリシリコン層へドーパントを提供するドーピング源をさらに含み、前記ドーパントが、前記ポリシリコン層の形成後にすでに活性化されており、別個のドーパント活性化動作が必要ない、請求項1に記載の方法。
- 前記プロセスガスが、リン源またはホウ素源をさらに含む、請求項1に記載の方法。
- 前記プロセスガスが、第4のガス流量で流されるPH3を含む、請求項1に記載の方法。
- 前記ポリシリコン層の成長中の堆積対スパッタ比が約2:1〜6:1である、請求項1に記載の方法。
- 前記パターン付き基板を前記基板処理領域内へ移動させる動作の前に、前記基板処理領域の内部が酸化ケイ素でシーズニングされる、請求項1に記載の方法。
- 前記基板処理領域の前記内部をシーズニングすることが、酸素源およびケイ素源を含むシーズニングプロセスガスから前記基板処理領域内で高密度プラズマを形成することを含む、請求項14に記載の方法。
- 前記酸素源が2原子酸素(O2)であり、前記ケイ素源がシラン(SiH4)である、請求項15に記載の方法。
- 前記プロセスガスが炭素源をさらに含み、前記ポリシリコン層が、炭素がドープされたポリシリコン層である、請求項1に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161435487P | 2011-01-24 | 2011-01-24 | |
US61/435,487 | 2011-01-24 | ||
US13/089,966 | 2011-04-19 | ||
US13/089,966 US8450191B2 (en) | 2011-01-24 | 2011-04-19 | Polysilicon films by HDP-CVD |
PCT/US2011/066601 WO2012102809A2 (en) | 2011-01-24 | 2011-12-21 | Polysilicon films by hdp-cvd |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2014509449A true JP2014509449A (ja) | 2014-04-17 |
Family
ID=46544474
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013550474A Pending JP2014509449A (ja) | 2011-01-24 | 2011-12-21 | Hdp−cvdによるポリシリコン膜 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8450191B2 (ja) |
JP (1) | JP2014509449A (ja) |
KR (1) | KR20130130035A (ja) |
CN (1) | CN103329250A (ja) |
TW (1) | TW201233840A (ja) |
WO (1) | WO2012102809A2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20160061665A (ko) * | 2014-11-24 | 2016-06-01 | 주식회사 원익아이피에스 | 폴리실리콘막의 디펙트 저감 방법 |
Families Citing this family (336)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20090120368A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Rotating temperature controlled substrate pedestal for film uniformity |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8511281B2 (en) * | 2009-07-10 | 2013-08-20 | Tula Technology, Inc. | Skip fire engine control |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
CN102687252A (zh) | 2009-12-30 | 2012-09-19 | 应用材料公司 | 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
US8647992B2 (en) | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US20120270384A1 (en) * | 2011-04-22 | 2012-10-25 | Applied Materials, Inc. | Apparatus for deposition of materials on a substrate |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10325800B2 (en) * | 2014-08-26 | 2019-06-18 | Applied Materials, Inc. | High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN105990121B (zh) * | 2015-02-02 | 2019-03-29 | 中芯国际集成电路制造(上海)有限公司 | 掺杂多晶硅层的形成方法以及半导体器件的形成方法 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9570578B2 (en) * | 2015-02-11 | 2017-02-14 | United Microelectronics Corp. | Gate and gate forming process |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9673091B2 (en) | 2015-06-25 | 2017-06-06 | Globalfoundries Inc. | Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
JP7166759B2 (ja) | 2015-12-04 | 2022-11-08 | アプライド マテリアルズ インコーポレイテッド | Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
KR20170129515A (ko) * | 2016-05-17 | 2017-11-27 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11313034B2 (en) * | 2016-11-18 | 2022-04-26 | Applied Materials, Inc. | Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102271768B1 (ko) | 2017-04-07 | 2021-06-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 반응성 어닐링을 사용하는 갭충전 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
CN109216154A (zh) * | 2017-07-03 | 2019-01-15 | 上海新昇半导体科技有限公司 | 一种半导体器件及其制造方法、电子装置 |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) * | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (342)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4147571A (en) | 1977-07-11 | 1979-04-03 | Hewlett-Packard Company | Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system |
US4200666A (en) | 1978-08-02 | 1980-04-29 | Texas Instruments Incorporated | Single component monomer for silicon nitride deposition |
FR2598520B1 (fr) | 1986-01-21 | 1994-01-28 | Seiko Epson Corp | Pellicule protectrice minerale |
US4946593A (en) | 1987-03-31 | 1990-08-07 | Acushnet Company | Rubber composition for use with potable water |
US4910043A (en) | 1987-07-16 | 1990-03-20 | Texas Instruments Incorporated | Processing apparatus and method |
US4816098A (en) | 1987-07-16 | 1989-03-28 | Texas Instruments Incorporated | Apparatus for transferring workpieces |
US4818326A (en) | 1987-07-16 | 1989-04-04 | Texas Instruments Incorporated | Processing apparatus |
US4931354A (en) | 1987-11-02 | 1990-06-05 | Murata Manufacturing Co., Ltd. | Multilayer printed circuit board |
JPH03257182A (ja) | 1990-03-07 | 1991-11-15 | Hitachi Ltd | 表面加工装置 |
US5016332A (en) | 1990-04-13 | 1991-05-21 | Branson International Plasma Corporation | Plasma reactor and process with wafer temperature control |
US5620525A (en) | 1990-07-16 | 1997-04-15 | Novellus Systems, Inc. | Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate |
US5578532A (en) | 1990-07-16 | 1996-11-26 | Novellus Systems, Inc. | Wafer surface protection in a gas deposition process |
US5843233A (en) | 1990-07-16 | 1998-12-01 | Novellus Systems, Inc. | Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus |
KR930009549B1 (ko) | 1990-11-28 | 1993-10-06 | 현대전자산업 주식회사 | 고저항용 다결정 실리콘의 저항치 유지방법 |
US5436172A (en) | 1991-05-20 | 1995-07-25 | Texas Instruments Incorporated | Real-time multi-zone semiconductor wafer temperature and process uniformity control system |
US5426076A (en) | 1991-07-16 | 1995-06-20 | Intel Corporation | Dielectric deposition and cleaning process for improved gap filling and device planarization |
US5279784A (en) | 1992-05-05 | 1994-01-18 | Bandag Licensing Corporation | Method of fabrication of composite tire thread |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5393708A (en) | 1992-10-08 | 1995-02-28 | Industrial Technology Research Institute | Inter-metal-dielectric planarization process |
JP2684942B2 (ja) | 1992-11-30 | 1997-12-03 | 日本電気株式会社 | 化学気相成長法と化学気相成長装置および多層配線の製造方法 |
US5377139A (en) | 1992-12-11 | 1994-12-27 | Motorola, Inc. | Process forming an integrated circuit |
US5434109A (en) | 1993-04-27 | 1995-07-18 | International Business Machines Corporation | Oxidation of silicon nitride in semiconductor devices |
US5587014A (en) | 1993-12-22 | 1996-12-24 | Sumitomo Chemical Company, Limited | Method for manufacturing group III-V compound semiconductor crystals |
US5679152A (en) | 1994-01-27 | 1997-10-21 | Advanced Technology Materials, Inc. | Method of making a single crystals Ga*N article |
US5547703A (en) | 1994-04-11 | 1996-08-20 | Dow Corning Corporation | Method of forming si-o containing coatings |
US5468687A (en) | 1994-07-27 | 1995-11-21 | International Business Machines Corporation | Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation) |
US5576071A (en) | 1994-11-08 | 1996-11-19 | Micron Technology, Inc. | Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds |
JPH08148559A (ja) | 1994-11-15 | 1996-06-07 | Fujitsu Ltd | 絶縁膜を有する半導体装置の製造方法 |
US5530293A (en) | 1994-11-28 | 1996-06-25 | International Business Machines Corporation | Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5786263A (en) | 1995-04-04 | 1998-07-28 | Motorola, Inc. | Method for forming a trench isolation structure in an integrated circuit |
JPH09237785A (ja) | 1995-12-28 | 1997-09-09 | Toshiba Corp | 半導体装置およびその製造方法 |
JP2871580B2 (ja) | 1996-03-29 | 1999-03-17 | 日本電気株式会社 | 半導体装置の製造方法 |
US6070551A (en) | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US5827783A (en) | 1996-08-23 | 1998-10-27 | Mosel Vitelic, Inc. | Stacked capacitor having improved charge storage capacity |
US5935340A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Method and apparatus for gettering fluorine from chamber material surfaces |
US5873781A (en) | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
US5811325A (en) * | 1996-12-31 | 1998-09-22 | Industrial Technology Research Institute | Method of making a polysilicon carbon source/drain heterojunction thin-film transistor |
FR2759362B1 (fr) | 1997-02-10 | 1999-03-12 | Saint Gobain Vitrage | Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention |
US6090723A (en) | 1997-02-10 | 2000-07-18 | Micron Technology, Inc. | Conditioning of dielectric materials |
TW388100B (en) | 1997-02-18 | 2000-04-21 | Hitachi Ulsi Eng Corp | Semiconductor deivce and process for producing the same |
US5937308A (en) | 1997-03-26 | 1999-08-10 | Advanced Micro Devices, Inc. | Semiconductor trench isolation structure formed substantially within a single chamber |
US6090442A (en) | 1997-04-14 | 2000-07-18 | University Technology Corporation | Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry |
US6551665B1 (en) | 1997-04-17 | 2003-04-22 | Micron Technology, Inc. | Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6207587B1 (en) | 1997-06-24 | 2001-03-27 | Micron Technology, Inc. | Method for forming a dielectric |
TW416100B (en) | 1997-07-02 | 2000-12-21 | Applied Materials Inc | Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system |
US6114219A (en) | 1997-09-15 | 2000-09-05 | Advanced Micro Devices, Inc. | Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material |
US6024044A (en) | 1997-10-09 | 2000-02-15 | Applied Komatsu Technology, Inc. | Dual frequency excitation of plasma for film deposition |
US6624064B1 (en) | 1997-10-10 | 2003-09-23 | Applied Materials, Inc. | Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application |
US6087243A (en) | 1997-10-21 | 2000-07-11 | Advanced Micro Devices, Inc. | Method of forming trench isolation with high integrity, ultra thin gate oxide |
US6017791A (en) | 1997-11-10 | 2000-01-25 | Taiwan Semiconductor Manufacturing Company | Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer |
JP3141827B2 (ja) * | 1997-11-20 | 2001-03-07 | 日本電気株式会社 | 半導体装置の製造方法 |
US6009830A (en) | 1997-11-21 | 2000-01-04 | Applied Materials Inc. | Independent gas feeds in a plasma reactor |
KR100253079B1 (ko) | 1997-12-01 | 2000-04-15 | 윤종용 | 반도체 장치의 트렌치 격리 형성 방법 |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6156394A (en) | 1998-04-17 | 2000-12-05 | Optical Coating Laboratory, Inc. | Polymeric optical substrate method of treatment |
US6068884A (en) | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6165834A (en) | 1998-05-07 | 2000-12-26 | Micron Technology, Inc. | Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell |
US6509283B1 (en) | 1998-05-13 | 2003-01-21 | National Semiconductor Corporation | Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon |
US6146970A (en) | 1998-05-26 | 2000-11-14 | Motorola Inc. | Capped shallow trench isolation and method of formation |
US6187682B1 (en) | 1998-05-26 | 2001-02-13 | Motorola Inc. | Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material |
US6667553B2 (en) | 1998-05-29 | 2003-12-23 | Dow Corning Corporation | H:SiOC coated substrates |
US6461970B1 (en) | 1998-06-10 | 2002-10-08 | Micron Technology, Inc. | Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby |
US6302964B1 (en) | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6014979A (en) | 1998-06-22 | 2000-01-18 | Applied Materials, Inc. | Localizing cleaning plasma for semiconductor processing |
US6406677B1 (en) | 1998-07-22 | 2002-06-18 | Eltron Research, Inc. | Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements |
US6410149B1 (en) | 1998-08-27 | 2002-06-25 | Alliedsignal Inc. | Silane-based nanoporous silica thin films and precursors for making same |
US6800571B2 (en) | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6197658B1 (en) | 1998-10-30 | 2001-03-06 | Taiwan Semiconductor Manufacturing Company | Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity |
US6245690B1 (en) | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6121130A (en) | 1998-11-16 | 2000-09-19 | Chartered Semiconductor Manufacturing Ltd. | Laser curing of spin-on dielectric thin films |
US6583063B1 (en) | 1998-12-03 | 2003-06-24 | Applied Materials, Inc. | Plasma etching of silicon using fluorinated gas mixtures |
TW445570B (en) | 1998-12-11 | 2001-07-11 | United Microelectronics Corp | Manufacturing method for shallow trench isolation |
US6469283B1 (en) | 1999-03-04 | 2002-10-22 | Applied Materials, Inc. | Method and apparatus for reducing thermal gradients within a substrate support |
US6290774B1 (en) | 1999-05-07 | 2001-09-18 | Cbl Technology, Inc. | Sequential hydride vapor phase epitaxy |
US7091605B2 (en) | 2001-09-21 | 2006-08-15 | Eastman Kodak Company | Highly moisture-sensitive electronic device element and method for fabrication |
US6180490B1 (en) | 1999-05-25 | 2001-01-30 | Chartered Semiconductor Manufacturing Ltd. | Method of filling shallow trenches |
US6204201B1 (en) | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6524931B1 (en) | 1999-07-20 | 2003-02-25 | Motorola, Inc. | Method for forming a trench isolation structure in an integrated circuit |
US6383954B1 (en) | 1999-07-27 | 2002-05-07 | Applied Materials, Inc. | Process gas distribution for forming stable fluorine-doped silicate glass and other films |
US6602806B1 (en) | 1999-08-17 | 2003-08-05 | Applied Materials, Inc. | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film |
EP1095958B1 (en) | 1999-10-25 | 2006-02-08 | Dow Corning Corporation | Soluble silicone resin compositions |
US6682659B1 (en) | 1999-11-08 | 2004-01-27 | Taiwan Semiconductor Manufacturing Company | Method for forming corrosion inhibited conductor layer |
JP2001144325A (ja) | 1999-11-12 | 2001-05-25 | Sony Corp | 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法 |
FI118804B (fi) | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
US6440860B1 (en) | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6461980B1 (en) | 2000-01-28 | 2002-10-08 | Applied Materials, Inc. | Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber |
EP1124252A2 (en) | 2000-02-10 | 2001-08-16 | Applied Materials, Inc. | Apparatus and process for processing substrates |
EP1130633A1 (en) | 2000-02-29 | 2001-09-05 | STMicroelectronics S.r.l. | A method of depositing silicon oxynitride polimer layers |
US7419903B2 (en) | 2000-03-07 | 2008-09-02 | Asm International N.V. | Thin films |
US6558755B2 (en) | 2000-03-20 | 2003-05-06 | Dow Corning Corporation | Plasma curing process for porous silica thin film |
KR100498834B1 (ko) | 2000-04-04 | 2005-07-04 | 아사히 가세이 가부시키가이샤 | 절연 박막 제조용 코팅 조성물 |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6495479B1 (en) | 2000-05-05 | 2002-12-17 | Honeywell International, Inc. | Simplified method to produce nanoporous silicon-based films |
US6553932B2 (en) | 2000-05-12 | 2003-04-29 | Applied Materials, Inc. | Reduction of plasma edge effect on plasma enhanced CVD processes |
US6559026B1 (en) | 2000-05-25 | 2003-05-06 | Applied Materials, Inc | Trench fill with HDP-CVD process including coupled high power density plasma deposition |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
TW533489B (en) | 2000-06-30 | 2003-05-21 | Hitachi Ltd | Semiconductor device and production method thereof |
US6835278B2 (en) | 2000-07-07 | 2004-12-28 | Mattson Technology Inc. | Systems and methods for remote plasma clean |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6614181B1 (en) | 2000-08-23 | 2003-09-02 | Applied Materials, Inc. | UV radiation source for densification of CVD carbon-doped silicon oxide films |
US6566278B1 (en) | 2000-08-24 | 2003-05-20 | Applied Materials Inc. | Method for densification of CVD carbon-doped silicon oxide films through UV irradiation |
US6682969B1 (en) | 2000-08-31 | 2004-01-27 | Micron Technology, Inc. | Top electrode in a strongly oxidizing environment |
US6706634B1 (en) * | 2000-09-19 | 2004-03-16 | Infineon Technologies Ag | Control of separation between transfer gate and storage node in vertical DRAM |
JP4232330B2 (ja) | 2000-09-22 | 2009-03-04 | 東京エレクトロン株式会社 | 励起ガス形成装置、処理装置及び処理方法 |
JP3712356B2 (ja) | 2000-10-23 | 2005-11-02 | アプライド マテリアルズ インコーポレイテッド | 成膜方法および半導体装置の製造方法 |
US20020060322A1 (en) | 2000-11-20 | 2002-05-23 | Hiroshi Tanabe | Thin film transistor having high mobility and high on-current and method for manufacturing the same |
US6287962B1 (en) | 2000-11-30 | 2001-09-11 | Taiwan Semiconductor Manufacturing Company | Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing |
US6531413B2 (en) | 2000-12-05 | 2003-03-11 | United Microelectronics Corp. | Method for depositing an undoped silicate glass layer |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6930041B2 (en) | 2000-12-07 | 2005-08-16 | Micron Technology, Inc. | Photo-assisted method for semiconductor fabrication |
US6576564B2 (en) | 2000-12-07 | 2003-06-10 | Micron Technology, Inc. | Photo-assisted remote plasma apparatus and method |
US6538274B2 (en) | 2000-12-20 | 2003-03-25 | Micron Technology, Inc. | Reduction of damage in semiconductor container capacitors |
US20020081817A1 (en) | 2000-12-22 | 2002-06-27 | Jayendra Bhakta | Void reduction and increased throughput in trench fill processes |
US6660662B2 (en) | 2001-01-26 | 2003-12-09 | Applied Materials, Inc. | Method of reducing plasma charge damage for plasma processes |
US6599839B1 (en) | 2001-02-02 | 2003-07-29 | Advanced Micro Devices, Inc. | Plasma etch process for nonhomogenous film |
US6589868B2 (en) | 2001-02-08 | 2003-07-08 | Applied Materials, Inc. | Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
KR100364026B1 (ko) | 2001-02-22 | 2002-12-11 | 삼성전자 주식회사 | 층간 절연막 형성방법 |
US6447651B1 (en) | 2001-03-07 | 2002-09-10 | Applied Materials, Inc. | High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers |
KR100897771B1 (ko) | 2001-03-13 | 2009-05-15 | 도쿄엘렉트론가부시키가이샤 | 막형성방법 및 막형성장치 |
JP3990920B2 (ja) | 2001-03-13 | 2007-10-17 | 東京エレクトロン株式会社 | 膜形成方法及び膜形成装置 |
CN1302152C (zh) | 2001-03-19 | 2007-02-28 | 株式会社Ips | 化学气相沉积设备 |
JP2004526318A (ja) | 2001-03-23 | 2004-08-26 | ダウ・コーニング・コーポレイション | 水素化シリコンオキシカーバイド膜を生産するための方法 |
US6596576B2 (en) | 2001-04-10 | 2003-07-22 | Applied Materials, Inc. | Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4 |
FR2824062B1 (fr) | 2001-04-27 | 2004-10-15 | Atofina | Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire |
US6528332B2 (en) | 2001-04-27 | 2003-03-04 | Advanced Micro Devices, Inc. | Method and system for reducing polymer build up during plasma etch of an intermetal dielectric |
US6780499B2 (en) | 2001-05-03 | 2004-08-24 | International Business Machines Corporation | Ordered two-phase dielectric film, and semiconductor device containing the same |
US6596653B2 (en) | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6716770B2 (en) | 2001-05-23 | 2004-04-06 | Air Products And Chemicals, Inc. | Low dielectric constant material and method of processing by CVD |
US20020182893A1 (en) | 2001-06-05 | 2002-12-05 | International Business Machines Corporation | Oxidation of silicon nitride films in semiconductor devices |
JP2003017556A (ja) | 2001-06-29 | 2003-01-17 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
KR100421046B1 (ko) | 2001-07-13 | 2004-03-04 | 삼성전자주식회사 | 반도체 장치 및 그 제조방법 |
US6548416B2 (en) | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
EP1417474B1 (en) | 2001-07-25 | 2021-12-29 | The Trustees Of Princeton University | Nanochannel arrays and their preparation and use for high throughput macromolecular analysis |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
WO2003015129A2 (en) | 2001-08-06 | 2003-02-20 | Advanced Technology Material, Inc. | Low-k dielectric thin films and chemical vapor deposition method of making same |
US6531412B2 (en) | 2001-08-10 | 2003-03-11 | International Business Machines Corporation | Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications |
KR100428768B1 (ko) | 2001-08-29 | 2004-04-30 | 삼성전자주식회사 | 트렌치 소자 분리형 반도체 장치 및 그 형성 방법 |
US6756085B2 (en) | 2001-09-14 | 2004-06-29 | Axcelis Technologies, Inc. | Ultraviolet curing processes for advanced low-k materials |
US6872323B1 (en) | 2001-11-01 | 2005-03-29 | Novellus Systems, Inc. | In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor |
US6770521B2 (en) | 2001-11-30 | 2004-08-03 | Texas Instruments Incorporated | Method of making multiple work function gates by implanting metals with metallic alloying additives |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
JP3891267B2 (ja) | 2001-12-25 | 2007-03-14 | キヤノンアネルバ株式会社 | シリコン酸化膜作製方法 |
US20030124873A1 (en) | 2001-12-28 | 2003-07-03 | Guangcai Xing | Method of annealing an oxide film |
JP2003204063A (ja) | 2002-01-10 | 2003-07-18 | Toshiba Corp | 半導体装置及びその製造方法 |
US7175713B2 (en) | 2002-01-25 | 2007-02-13 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
JP3868324B2 (ja) | 2002-04-15 | 2007-01-17 | 三菱電機株式会社 | シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法 |
TW536775B (en) | 2002-04-18 | 2003-06-11 | Nanya Technology Corp | Manufacturing method of shallow trench isolation structure |
AU2003235305A1 (en) | 2002-04-19 | 2003-11-03 | Tokyo Electron Limited | Method of treating substrate and process for producing semiconductor device |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US6936551B2 (en) | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7307273B2 (en) | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
TWI283899B (en) | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US6900881B2 (en) | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
US6734082B2 (en) | 2002-08-06 | 2004-05-11 | Chartered Semiconductor Manufacturing Ltd. | Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape |
US6825097B2 (en) | 2002-08-07 | 2004-11-30 | International Business Machines Corporation | Triple oxide fill for trench isolation |
JP2004095889A (ja) | 2002-08-30 | 2004-03-25 | Fasl Japan Ltd | 半導体記憶装置及びその製造方法 |
KR100459724B1 (ko) | 2002-09-11 | 2004-12-03 | 삼성전자주식회사 | 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법 |
US7456116B2 (en) | 2002-09-19 | 2008-11-25 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US6828211B2 (en) | 2002-10-01 | 2004-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control |
US6833322B2 (en) | 2002-10-17 | 2004-12-21 | Applied Materials, Inc. | Apparatuses and methods for depositing an oxide film |
US7080528B2 (en) | 2002-10-23 | 2006-07-25 | Applied Materials, Inc. | Method of forming a phosphorus doped optical core using a PECVD process |
US6819886B2 (en) | 2002-10-23 | 2004-11-16 | Nex Press Solutions Llc | Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus |
JP4142941B2 (ja) | 2002-12-06 | 2008-09-03 | 株式会社東芝 | 半導体装置の製造方法 |
US6858532B2 (en) | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
US6900067B2 (en) | 2002-12-11 | 2005-05-31 | Lumileds Lighting U.S., Llc | Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers |
US7972663B2 (en) | 2002-12-20 | 2011-07-05 | Applied Materials, Inc. | Method and apparatus for forming a high quality low temperature silicon nitride layer |
US6923189B2 (en) | 2003-01-16 | 2005-08-02 | Applied Materials, Inc. | Cleaning of CVD chambers using remote source with cxfyoz based chemistry |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7723242B2 (en) | 2004-03-15 | 2010-05-25 | Sharp Laboratories Of America, Inc. | Enhanced thin-film oxidation process |
US7205248B2 (en) | 2003-02-04 | 2007-04-17 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
US6884685B2 (en) | 2003-02-14 | 2005-04-26 | Freescale Semiconductors, Inc. | Radical oxidation and/or nitridation during metal oxide layer deposition process |
US7084076B2 (en) | 2003-02-27 | 2006-08-01 | Samsung Electronics, Co., Ltd. | Method for forming silicon dioxide film using siloxane |
US7098149B2 (en) | 2003-03-04 | 2006-08-29 | Air Products And Chemicals, Inc. | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US7429540B2 (en) | 2003-03-07 | 2008-09-30 | Applied Materials, Inc. | Silicon oxynitride gate dielectric formation using multiple annealing steps |
US6867086B1 (en) | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
JP2004283065A (ja) | 2003-03-20 | 2004-10-14 | Ushio Inc | 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法 |
US7176144B1 (en) | 2003-03-31 | 2007-02-13 | Novellus Systems, Inc. | Plasma detemplating and silanol capping of porous dielectric films |
JP4140768B2 (ja) | 2003-04-24 | 2008-08-27 | 株式会社日立国際電気 | 半導体原料 |
JP3976703B2 (ja) | 2003-04-30 | 2007-09-19 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US20040231590A1 (en) | 2003-05-19 | 2004-11-25 | Ovshinsky Stanford R. | Deposition apparatus for the formation of polycrystalline materials on mobile substrates |
US6958112B2 (en) | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
JP2005033173A (ja) | 2003-06-16 | 2005-02-03 | Renesas Technology Corp | 半導体集積回路装置の製造方法 |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US7192891B2 (en) | 2003-08-01 | 2007-03-20 | Samsung Electronics, Co., Ltd. | Method for forming a silicon oxide layer using spin-on glass |
US6818517B1 (en) | 2003-08-29 | 2004-11-16 | Asm International N.V. | Methods of depositing two or more layers on a substrate in situ |
US7361991B2 (en) | 2003-09-19 | 2008-04-22 | International Business Machines Corporation | Closed air gap interconnect structure |
US20050121145A1 (en) | 2003-09-25 | 2005-06-09 | Du Bois Dale R. | Thermal processing system with cross flow injection system with rotatable injectors |
JP4285184B2 (ja) | 2003-10-14 | 2009-06-24 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
DE10350752A1 (de) | 2003-10-30 | 2005-06-09 | Infineon Technologies Ag | Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung |
US20050227017A1 (en) | 2003-10-31 | 2005-10-13 | Yoshihide Senzaki | Low temperature deposition of silicon nitride |
JP4273932B2 (ja) | 2003-11-07 | 2009-06-03 | 株式会社島津製作所 | 表面波励起プラズマcvd装置 |
WO2005069498A1 (en) | 2003-12-17 | 2005-07-28 | Cedraeus Inc. | Method for a random-based decision-making process |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
EP1717848A4 (en) | 2004-02-17 | 2010-03-24 | Toagosei Co Ltd | PROCESS FOR PRODUCING SILICONE OXIDE FILM |
US7067438B2 (en) | 2004-02-19 | 2006-06-27 | Micron Technology, Inc. | Atomic layer deposition method of forming an oxide comprising layer on a substrate |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
US7087497B2 (en) | 2004-03-04 | 2006-08-08 | Applied Materials | Low-thermal-budget gapfill process |
JP4451684B2 (ja) | 2004-03-17 | 2010-04-14 | キヤノンアネルバ株式会社 | 真空処理装置 |
US7524735B1 (en) | 2004-03-25 | 2009-04-28 | Novellus Systems, Inc | Flowable film dielectric gap fill process |
US20050221020A1 (en) | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
US7115508B2 (en) | 2004-04-02 | 2006-10-03 | Applied-Materials, Inc. | Oxide-like seasoning for dielectric low k films |
JP2005302848A (ja) | 2004-04-07 | 2005-10-27 | Toshiba Corp | 半導体製造装置および半導体製造方法 |
US7125758B2 (en) | 2004-04-20 | 2006-10-24 | Applied Materials, Inc. | Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors |
US7109114B2 (en) | 2004-05-07 | 2006-09-19 | Applied Materials, Inc. | HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100580584B1 (ko) | 2004-05-21 | 2006-05-16 | 삼성전자주식회사 | 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치 |
EP1751325A4 (en) | 2004-06-04 | 2009-05-13 | Applied Microstructures Inc | STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER |
US7297608B1 (en) | 2004-06-22 | 2007-11-20 | Novellus Systems, Inc. | Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7129187B2 (en) | 2004-07-14 | 2006-10-31 | Tokyo Electron Limited | Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films |
US7642171B2 (en) | 2004-08-04 | 2010-01-05 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US7294574B2 (en) | 2004-08-09 | 2007-11-13 | Applied Materials, Inc. | Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US20060046506A1 (en) | 2004-09-01 | 2006-03-02 | Tokyo Electron Limited | Soft de-chucking sequence |
KR100550351B1 (ko) | 2004-09-07 | 2006-02-08 | 삼성전자주식회사 | 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치 |
TW200619416A (en) | 2004-09-30 | 2006-06-16 | Aviza Tech Inc | Method and apparatus for low temperature dielectric deposition using monomolecular precursors |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7226869B2 (en) | 2004-10-29 | 2007-06-05 | Lam Research Corporation | Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing |
KR100782369B1 (ko) | 2004-11-11 | 2007-12-07 | 삼성전자주식회사 | 반도체 제조장치 |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US20060228903A1 (en) | 2005-03-30 | 2006-10-12 | Mcswiney Michael L | Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films |
US7972441B2 (en) | 2005-04-05 | 2011-07-05 | Applied Materials, Inc. | Thermal oxidation of silicon using ozone |
JP5091428B2 (ja) | 2005-06-14 | 2012-12-05 | 株式会社東芝 | 半導体装置の製造方法 |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP4860953B2 (ja) | 2005-07-08 | 2012-01-25 | 富士通株式会社 | シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法 |
WO2007008653A2 (en) | 2005-07-08 | 2007-01-18 | Aviza Technology, Inc. | Method for depositing silicon-containing films |
US20070031609A1 (en) | 2005-07-29 | 2007-02-08 | Ajay Kumar | Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7427570B2 (en) | 2005-09-01 | 2008-09-23 | Micron Technology, Inc. | Porous organosilicate layers, and vapor deposition systems and methods for preparing same |
US7544603B2 (en) | 2005-09-22 | 2009-06-09 | United Microelectronics Corp. | Method of fabricating silicon nitride layer and method of fabricating semiconductor device |
US7498270B2 (en) | 2005-09-30 | 2009-03-03 | Tokyo Electron Limited | Method of forming a silicon oxynitride film with tensile stress |
US7901743B2 (en) | 2005-09-30 | 2011-03-08 | Tokyo Electron Limited | Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system |
JP5154009B2 (ja) | 2005-10-21 | 2013-02-27 | 株式会社ジャパンディスプレイイースト | 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法 |
US7884032B2 (en) | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US20070099806A1 (en) | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
CN101448977B (zh) | 2005-11-04 | 2010-12-15 | 应用材料股份有限公司 | 用于等离子体增强的原子层沉积的设备和工艺 |
US7521377B2 (en) | 2006-01-11 | 2009-04-21 | International Business Machines Corporation | SiCOH film preparation using precursors with built-in porogen functionality |
JP5070702B2 (ja) | 2006-01-19 | 2012-11-14 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法及び製造装置 |
US7972954B2 (en) | 2006-01-24 | 2011-07-05 | Infineon Technologies Ag | Porous silicon dielectric |
US7435661B2 (en) | 2006-01-27 | 2008-10-14 | Atmel Corporation | Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation |
JP4984558B2 (ja) | 2006-02-08 | 2012-07-25 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4618178B2 (ja) | 2006-03-27 | 2011-01-26 | オムロン株式会社 | 端子およびその製造方法 |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
ATE437978T1 (de) | 2006-04-03 | 2009-08-15 | L Air Liquide Soc Anon A Direc | Verfahren zur abscheidung von siliciumnitridfilmen und/oder siliciumoxidnitridfilmen mittels cvd |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070289534A1 (en) | 2006-05-30 | 2007-12-20 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7902080B2 (en) | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080014759A1 (en) | 2006-07-12 | 2008-01-17 | Applied Materials, Inc. | Method for fabricating a gate dielectric layer utilized in a gate structure |
US20080038486A1 (en) | 2006-08-03 | 2008-02-14 | Helmuth Treichel | Radical Assisted Batch Film Deposition |
US8956457B2 (en) | 2006-09-08 | 2015-02-17 | Tokyo Electron Limited | Thermal processing system for curing dielectric films |
US7629273B2 (en) | 2006-09-19 | 2009-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for modulating stresses of a contact etch stop layer |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
US7737050B2 (en) | 2006-10-30 | 2010-06-15 | International Business Machines Corporation | Method of fabricating a nitrided silicon oxide gate dielectric layer |
US20080102223A1 (en) | 2006-11-01 | 2008-05-01 | Sigurd Wagner | Hybrid layers for use in coatings on electronic devices or other articles |
US7749574B2 (en) | 2006-11-14 | 2010-07-06 | Applied Materials, Inc. | Low temperature ALD SiO2 |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
JP5177617B2 (ja) | 2006-12-25 | 2013-04-03 | 独立行政法人産業技術総合研究所 | 酸化シリコン薄膜形成装置 |
US8017522B2 (en) | 2007-01-24 | 2011-09-13 | International Business Machines Corporation | Mechanically robust metal/low-κ interconnects |
US7572647B2 (en) | 2007-02-02 | 2009-08-11 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
KR100800495B1 (ko) | 2007-02-27 | 2008-02-04 | 삼성전자주식회사 | 반도체 장치의 제조방법 |
JP2008218684A (ja) | 2007-03-05 | 2008-09-18 | Sony Corp | 半導体装置の製造方法 |
US7964441B2 (en) | 2007-03-30 | 2011-06-21 | Tokyo Electron Limited | Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment |
US7781352B2 (en) | 2007-06-06 | 2010-08-24 | Asm Japan K.K. | Method for forming inorganic silazane-based dielectric film |
KR100866143B1 (ko) | 2007-08-03 | 2008-10-31 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
US7745352B2 (en) | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
KR20150036815A (ko) | 2007-09-18 | 2015-04-07 | 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 규소 함유 막의 형성 방법 |
US20090095714A1 (en) | 2007-10-12 | 2009-04-16 | Tokyo Electron Limited | Method and system for low pressure plasma processing |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7943531B2 (en) | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US8501637B2 (en) | 2007-12-21 | 2013-08-06 | Asm International N.V. | Silicon dioxide thin films by ALD |
US7659184B2 (en) * | 2008-02-25 | 2010-02-09 | Applied Materials, Inc. | Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking |
US7737052B2 (en) | 2008-03-05 | 2010-06-15 | International Business Machines Corporation | Advanced multilayer dielectric cap with improved mechanical and electrical properties |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
JP2009267366A (ja) | 2008-04-02 | 2009-11-12 | Nec Electronics Corp | 半導体記憶装置及びその製造方法 |
US20090277587A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090289284A1 (en) | 2008-05-23 | 2009-11-26 | Chartered Semiconductor Manufacturing, Ltd. | High shrinkage stress silicon nitride (SiN) layer for NFET improvement |
KR20090122860A (ko) * | 2008-05-26 | 2009-12-01 | 주성엔지니어링(주) | 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법 |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US20090325391A1 (en) | 2008-06-30 | 2009-12-31 | Asm International Nv | Ozone and teos process for silicon oxide deposition |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US20100081293A1 (en) | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US8765233B2 (en) | 2008-12-09 | 2014-07-01 | Asm Japan K.K. | Method for forming low-carbon CVD film for filling trenches |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US20110136347A1 (en) | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US20110159213A1 (en) | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
CN102687252A (zh) | 2009-12-30 | 2012-09-19 | 应用材料公司 | 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
US8647992B2 (en) | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
JP2011220127A (ja) | 2010-04-05 | 2011-11-04 | Denso Corp | 排気ガス循環装置 |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
US20120083133A1 (en) | 2010-10-05 | 2012-04-05 | Applied Materials, Inc. | Amine curing silicon-nitride-hydride films |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
JP5566845B2 (ja) | 2010-10-14 | 2014-08-06 | 株式会社東芝 | 半導体装置の製造方法 |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US8470187B2 (en) | 2010-11-05 | 2013-06-25 | Asm Japan K.K. | Method of depositing film with tailored comformality |
US20120177846A1 (en) | 2011-01-07 | 2012-07-12 | Applied Materials, Inc. | Radical steam cvd |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US20120238108A1 (en) | 2011-03-14 | 2012-09-20 | Applied Materials, Inc. | Two-stage ozone cure for dielectric films |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
-
2011
- 2011-04-19 US US13/089,966 patent/US8450191B2/en not_active Expired - Fee Related
- 2011-12-21 CN CN2011800657605A patent/CN103329250A/zh active Pending
- 2011-12-21 JP JP2013550474A patent/JP2014509449A/ja active Pending
- 2011-12-21 WO PCT/US2011/066601 patent/WO2012102809A2/en active Application Filing
- 2011-12-21 KR KR1020137022176A patent/KR20130130035A/ko not_active Application Discontinuation
-
2012
- 2012-01-02 TW TW101100086A patent/TW201233840A/zh unknown
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20160061665A (ko) * | 2014-11-24 | 2016-06-01 | 주식회사 원익아이피에스 | 폴리실리콘막의 디펙트 저감 방법 |
KR102026966B1 (ko) * | 2014-11-24 | 2019-11-04 | 주식회사 원익아이피에스 | 폴리실리콘막의 디펙트 저감 방법 |
Also Published As
Publication number | Publication date |
---|---|
TW201233840A (en) | 2012-08-16 |
CN103329250A (zh) | 2013-09-25 |
WO2012102809A2 (en) | 2012-08-02 |
KR20130130035A (ko) | 2013-11-29 |
US20120190178A1 (en) | 2012-07-26 |
WO2012102809A3 (en) | 2012-10-04 |
US8450191B2 (en) | 2013-05-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2014509449A (ja) | Hdp−cvdによるポリシリコン膜 | |
US20140186544A1 (en) | Metal processing using high density plasma | |
KR101289795B1 (ko) | 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들 | |
US7972968B2 (en) | High density plasma gapfill deposition-etch-deposition process etchant | |
US20130288485A1 (en) | Densification for flowable films | |
US20080142483A1 (en) | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills | |
JP5197256B2 (ja) | Hdpプロセスにおけるエッチングレートドリフトの削減 | |
US20060225648A1 (en) | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas | |
US7745350B2 (en) | Impurity control in HDP-CVD DEP/ETCH/DEP processes | |
WO2006074489A1 (en) | Low-frequency bias power in hdp-cvd processes | |
WO2007001878A2 (en) | Gapfill using deposition-etch sequence | |
US20030203637A1 (en) | Method for high aspect ratio HDP CVD gapfill | |
US8497211B2 (en) | Integrated process modulation for PSG gapfill | |
US20080299775A1 (en) | Gapfill extension of hdp-cvd integrated process modulation sio2 process | |
JP4808716B2 (ja) | 半導体処理におけるマイクロコンタミネーションの削減 |