DE69131570T2 - Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung - Google Patents

Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung

Info

Publication number
DE69131570T2
DE69131570T2 DE69131570T DE69131570T DE69131570T2 DE 69131570 T2 DE69131570 T2 DE 69131570T2 DE 69131570 T DE69131570 T DE 69131570T DE 69131570 T DE69131570 T DE 69131570T DE 69131570 T2 DE69131570 T2 DE 69131570T2
Authority
DE
Germany
Prior art keywords
thin film
substrate
film
amorphous silicon
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69131570T
Other languages
English (en)
Other versions
DE69131570D1 (de
Inventor
Thomas W. Littl
Mitsutoshi Miyasaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Application granted granted Critical
Publication of DE69131570D1 publication Critical patent/DE69131570D1/de
Publication of DE69131570T2 publication Critical patent/DE69131570T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/017Clean surfaces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/122Polycrystalline
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/15Silicon on sapphire SOS
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Description

  • Diese Erfindung betrifft ein Verfahren zur Herstellung eines Dünnfilmhalbleiterbauelements mittels Niedertemperaturverarbeitung, dessen Schritte bei einer Maximaltemperatur von kleiner oder gleich etwa 600ºC ausgeführt werden.
  • Im Zuge der Vergrößerung der Bildschirme von Flüssigkristallanzeigen und der Steigerung von deren Auflösung hat sich das Treiberverfahren für Flüssigkristallanzeigen in letzter Zeit vom einfachen Matrixverfahren zum Aktivmatrixverfahren geändert, und es können zunehmend größere Mengen an Information angezeigt werden. Das Aktivmatrixverfahren ermöglicht es, daß eine Flüssigkristallanzeige mehr als hunderttausend Bildelemente aufweist und einen Schalttransistor für jedes Bildelement besitzt. Als Substrate für derartige Flüssigkristallanzeigen werden durchsichtige isolierende Substrate verwendet, wie beispielsweise Schmelzquarzplatten, Glas oder andere, die es ermöglichen, Transmissions-Anzeigen zu erhalten.
  • Um die Vergrößerung des Anzeigebildschirms und dessen Preissenkung voranzutreiben, ist es jedoch wichtig, kostengünstiges gewöhnliches Glas als isolierendes Substrat zu verwenden. Unter diesen Umständen wurde ein Verfahren zur Herstellung von Dünnfilmtransistoren zum Betreiben einer Aktivmatrix-Flüssigkristallanzeige auf einem kostengünstigen Glassubstrat mit hoher Leistungsfähigkeit erforderlich, das diese Wirtschaftlichkeit beibehält.
  • Als Kanalhalbleiterschicht eines Dünnfilmtransistors wird gewöhnlich amorphes Silicium oder polykristallines Silicium verwendet, aber polykristallines Silicium, welches eine größere Betriebsgeschwindigkeit aufweist, ist für den Fall vorteilhafter, daß der integrierte Dünnfilmtransistor auch für die Treiberschaltung verwendet wird.
  • Bei der herkömmlichen Technik der Herstellung eines derartigen Dünnfilmtransistors wurde thermische Oxidation dazu verwendet, eine Gate-Isolierschicht zu bilden. D. h., um die Gate- Isolierschicht nach der Bildung einer Kanalsiliciumschicht zu bilden, wird ein Substrat in eine oxidierende Umgebungsatmosphäre eingeführt, die Sauerstoff (O&sub2;), Lachgas (N&sub2;O), Dampf (H&sub2;O) etc. enthält, um seine Temperatur auf 800 bis etwa 1100ºC zu erhöhen und die Kanalsiliciumschicht teilweise zu oxidieren. Andererseits wurden verschiedene Verfahren zur Herstellung von Dünnfilmhalbleiterbauelementen unter Verwendung von polykristallinem Silicium bei maximalen Verarbeitungstemperaturen unterhalb von etwa 600ºC ausprobiert, bei denen kostengünstiges gewöhnliches Glas verwendet werden kann. Ein Beispiel ist das Verfahren, bei dem eine Kanalhalbleiterschicht durch Niederdruck-Chemical-Vapor-Deposition (LPCVD) gebildet wird, wonach ein Gate-Isolierfilm mittels Elektronenzyklotronresonanz-Plasma-CVD (ECR-PECVD) gebildet und dann einer Hydrierung mittels beispielsweise Wasserstoffplasmastrahlung unterzogen wird. Ein weiteres Beispiel ist das Verfahren, bei dem ein amorpher Siliciumdünnfilm auf einer Kanalhalbleiterschicht niedergeschlagen wird und dann für etwa 24 Stunden bei 600ºC wärmebehandelt wird, wonach ein Gate-Isolierfilm mittels Atmosphärendruck-Chemical-Vapor- Deposition (APCVD) gebildet und einer Wasserstoffbehandlung unterzogen wird (Japanese J. Appl. Phys. 30L 84, üL91).
  • Bei den oben beschriebenen Verfahren gemäß dem Stand der Technik sind jedoch eine Reihe von Problemen bekannt geworden. Zunächst ist ein Problem bei der Bildung eines SiO&sub2; Films mittels thermischer Oxidation die Hitzebeständigkeit von Dünnfilmschichten und eines Substrats unterhalb des Oxidfilms, da die Bildung des Oxidfilms eine Wärmebehandlung bei einer hohen Temperatur oberhalb 800ºC beinhaltet. Beispielsweise kann bei der Herstellung von Schalttransistoren für eine Flüssigkristallanzeige mit großem Bildschirm nichts anderes als sehr teurer Schmelzquarz den hohen Temperaturen widerstehen. Auch bei dreidimensionalen LSI-Vorrichtungen kann diese thermische Oxidation praktisch nicht verwendet werden, weil die Transistoren in unteren Schichten durch die hohen Temperaturen zerstört werden.
  • Zum anderen ist ein Problem bei dem Verfahren, bei dem eine Kanalhalbleiterschicht durch LPCVD gebildet wird und ein Gate-Isolierfilm durch ECR-PECVD gebildet und dann einer Wasserstoffplasmabehandlung unterzogen wird, daß der resultierende Dünnfilmhalbleiter eine Beweglichkeit von nur 4 bis 5 cm²/V · s aufweist, die für Dünnfilmhalbleiterbauelemente bislang nicht ausreichend ist. Außerdem ätzt diese Hydrierungsbehandlung, die für die Verbesserung von Eigenschaften des Dünnfilmhalbleiterbauelements ausgeführt wird, Teile von dessen verschiedenen Dünnfilmen, mit dem nachteiligen Ergebnis, daß einige einer Anzahl der Dünnfilmhalbleiterbauelemente beschädigt werden. Ein Problem bei dem Verfahren, bei dem ein amorpher Siliciumdünnfilm auf einer Kanalhalbleiterschicht niedergeschlagen und einer Wärmebehandlung bei etwa 600ºC unterzogen wird, wonach ein Gate-Isolierfilm durch APCVD gebildet und einer Hydrierungsbehandlung durch Wasserstoffplasmastrahlung oder anderem unterzogen wird, besteht darin, daß das resultierende Dünnfilmhalbleiterbauelement einen Grenzschicht-Haftterm von etwa 10¹² aufweist und Eigenschaften eines Verarmungshalbleiterbauelements zeigt, was bislang für das Dünnfilmhalbleiterbauelement nicht ausreicht. Darüber hinaus ist das gleiche Problem wie das bei der Hydrierungsbehandlung bei dem vorigen Verfahren involvierte noch immer nicht gelöst, mit dem nachteiligen Ergebnis, daß Dünnfilmhalbleiterbauelemente nicht homogen und stabil auf einer großen Fläche hergestellt werden können.
  • Unter diesen Umständen wurde ein Dünnfilmhalbleiterbauelement erwartet, das eine hohe Beweglichkeit aufweist und andererseits eine saubere MOS-Grenzschicht und einen niedrigen Grenzschicht-Haftterm aufweist und keine Verarmung zeigt, und ein Verfahren, das derartige Dünnfilmhalbleiterbauelemente herstellen kann, welche diese Vorteile homogen und stabil auf einer großen Fläche besitzen, und das frei von der Hydrierungsbehandlung bei den Herstellungsschritten derartiger Dünnfilmhalbleiterbauelemente ist.
  • Das Dokument IEDM 89, Seiten 157 bis 160, spricht die Probleme an, die bei dem Niedertemperaturverfahren von Poly-Si-TFTs mit einer maximalen Verarbeitungstemperatur von etwa 600ºC auftreten. In diesem Dokument wird folgendes Verfahren vorgeschlagen: Die Oberfläche des Poly-Si-Films wird unmittelbar vor dem Niederschlagen des Gate-Isolators gereinigt, ohne daß sie nachfolgend der Atmosphäre ausgesetzt wird. ECR-(Elektronenzyklotronresonanz)-Plasma-CVD wird als einer der erfolgversprechenden Kandidaten zur Steuerung der MOS-Grenzschicht genannt. Bei dieser Technologie wird die Poly-Si-Oberfläche durch 02 Plasma gereinigt, gefolgt vom Niederschlagen des Isolators ohne Aufhebung des Vakuumzustands. Für das Kornwachstum des Poly-Si-Films bei niedriger Temperatur sind Laseranlaß- und Festphasenkornwachstumverfahren als Kandidaten benannt. Beim Festphasenkornwachstum wird der Poly-Si-Film bei etwa 600ºC für einige zehn Stunden angelassen.
  • Das Dokument EP-A-0 383 230 offenbart ein Verfahren, das die Bildung eines amorphen Si-Films auf einem Substrat mittels Plasma-CVD oder Niederdruck-Plasma-CVD auf einem isolierenden amorphen Material, das Mustern des Films durch Ätzen, das Rekristallisieren des Films mittels Wärmebehandlung und die Bildung eines Gate-Oxids mittels thermischer Oxidation, Sputtern oder ähnlichem umfaßt.
  • Eine Aufgabe dieser Erfindung besteht darin, ein Verfahren zur Herstellung eines Dünnfilmhalbleiterbauelements durch Niedertemperaturverarbeitung, dessen maximale Verarbeitungstemperatur unterhalb etwa 600ºC liegt, das gute Halbleitereigenschaften besitzt, und ein Verfahren zur Herstellung derartiger Dünnfilmhalbleiterbauelemente homogen stabil auf einer großen Fläche zu schaffen.
  • Diese Aufgabe wird mit einem Verfahren gemäß Anspruch 1 gelöst. Eine bevorzugte Ausführungsform der Erfindung ist Gegenstand des abhängigen Anspruchs.
  • Die vorliegende Erfindung geht aus der nachstehend angegebenen Beschreibung und den begleitenden Zeichnungen klarer hervor, die nur zur Erläuterung angegeben sind und nicht als die vorliegende Erfindung beschränkend anzusehen sind.
  • Fig. 1 ist eine schematische Ansicht einer Elektronenzyklotronresonanz-Plasma-CVD- Vorrichtung, die bei dem Beispiel dieser Erfindung verwendet wird;
  • Fig. 2A bis 2F zeigen Schnittansichten des Siliciumdünnfilmhalbleiterbauelements gemäß eines Beispiels dieser Erfindung in den jeweiligen Herstellungsschritten; und
  • Fig. 3 ist eine Ansicht, die eine Wirkung dieser Erfindung zeigt.
  • Beispiel
  • Die Fig. 2A bis 2F zeigen Schnittansichten der Schritte zur Herstellung eines Silicium-Dünnfilmhalbleiterbauelements, das einen MIS-Feldeffekttransistor bildet.
  • In dem Beispiel wurde ein 235 mm großes quadratisches Quarzglas als isolierendes Substrat 801 verwendet. Die Art und die Größe des Substrats 801 sind beliebig, so lange das Substrat 801 ein Substrat oder ein Substratmaterial ist, das 600ºC aushält. Beispielsweise kann eine auf einem Silicium-Wafer gebildete dreidimensionale LSI-Anordnung als Substrat verwendet werden. Ein Substrat-SiO&sub2;-Film 802 wurde durch Atmosphärendruck-Chemical-Vapor-Deposition (APCVD) auf der oberen Oberfläche des Quarzglases 801 niedergeschlagen, das einer organischen Reinigung und einer Säurereinigung unterzogen worden ist. Dieser SiO&sub2; Film wurde unter Verwendung von 120 SCCM Silan, 840 SCCM Sauerstoff und 140 SLM Stickstoff bei 300ºC Substrattemperatur niedergeschlagen. Die Niederschlagsgeschwindigkeit betrug 3,9 Å/s, und die Niederschlagszeit betrug 8 Minuten 33 Sekunden. Dann wurde ein Siliciumdünnfilm 803 mit einem zugesetzten Dotierstoff als Donatoren oder Akzeptoren durch Niederdruck-Chemical-Vapor-Deposition (LPCVD) niedergeschlagen (Fig. 2A). In dem Beispiel wurde dieser Siliciumdünnfilm 803 mit einer Dicke von 1500 Å niedergeschlagen, wobei Phosphor als Dotierstoff und 0,03 SCCM Phosphin (PH&sub3;) sowie 200 SCCM Silan (SiH&sub4;) als Rohstoffgas bei einer Niederschlagstemperatur von 600ºC verwendet wurden. Die Niederschlagsgeschwindigkeit betrug 30 Å/min. und der Schichtwiderstand unmittelbar nach dem Niederschlag betrug 1951 W/Quadrat. Dann wurde ein Fotolack auf dem Siliciumdünnfilm 803 gebildet, und der Siliciumdünnfilm 803 wurde durch ein Mischplasma aus Kohlenstofftetrafluorid (PH&sub3;), Sauerstoff (O&sub2;), Stickstoff (N) etc. gemustert, und eine Source- sowie eine Drain-Zone 804 wurden gebildet. Dann wurden Verschmutzungen und Naturoxidfilme auf den Oberflächen der Zonen 804 entfernt, wonach sofort ein amorpher Siliciumdünnfilm 803 mittels LPCVD niedergeschlagen wurde (Fig. 2B). In dem Beispiel war bei der Niederdruck-CVD-Vorrichtung die Reaktionskammer aus Quarzglas hergestellt. Um die Außenseite der Reaktionskammer herum war ein Heizer mit drei separaten Zonen angeordnet. Die drei Zonen waren so eingestellt, daß ein notwendiger Einwirkabschnitt in der Nähe der Mitte der Reaktionskammer gebildet werden konnte. Das Substrat wurde im Bereich des Einwirkabschnitts horizontal angeordnet, um den amorphen Siliciumdünnfilm 805 niederzuschlagen. Für das Niederschlagen des amorphen Siliciumdünnfilms 805 wurden 100 SCCM Silan (Si&sub2;H&sub8;) als Rohstoffgas) und 100 SCCM Helium als Verdünnungsgas verwendet. Die Niederschlagstemperatur betrug 450ºC. In dem Beispiel erfolgte die Absaugung des bei der Bildung des amorphen Siliciumdünnfilms 805 verwendeten Niederdruck-CVD-Ofens mittels einer mechanischen Pumpe und einer direkt mit dieser verbundenen Rotationspumpe. Es war ein Absperrventil zwischen der mechanischen Pumpe und dem Reaktionsofen vorgesehen. Dieses Absperrventil wurde geeignet geöffnet und geschlossen, so daß der Reaktionskammerinnendruck im erforderlichen Maß eingestellt und gehalten werden konnte. In dem Beispiel wurde der Reaktionskammerinnendruck während des Niederschlagens des amorphen Siliciumdünnfilms 805 bei 306 mTorr gehalten. Der amorphe Siliciumdünnfilm 805 wurde bei einer Niederschlagsgeschwindigkeit von 18,07 Å/min mit einer Dicke von 307 Å niedergeschlagen. Ein Fotolack wurde auf dem so niedergeschlagenen amorphen Siliciumdünnfilm 805 gebildet. Dann wurde der Fotolack durch ein Mischplasma aus Kohlenstofftetrafluorid (PH3), Sauerstoff (O&sub2;), Stickstoff (N), etc. gemustert. Derjenige Teil des amorphen Siliciumdünnfilms 805 an der Stelle, an der eine Kanalzone zu bilden war, wurde nicht entfernt.
  • Anschließend wurde dieses Substrat mit kochender 60%-iger Salpetersäure gereinigt. Dann wurde das Substrat 20 Sekunden in eine wäßrige Lösung von 1,67%-iger Fluorwasserstoffsäure eingetaucht, um die verbleibenden Naturoxidfilme an den Stellen zu entfernen, an denen eine Source- sowie eine Drain-Zone 804 sowie eine Kanalzone zu bilden waren. Als der saubere Siliciumfilm freigelegt war, wurde sofort ein Sauerstoffplasma 807 mittels einer Elektronzyklotronresonanz-Plasma-CVD-Vorrichtung (ECR-PECVD-Vorrichtung) auf den freiliegenden Siliciumfilm gestrahlt (Fig. 2C). Die in dem Beispiel verwendete ECR-PECVD-Vorrichtung ist schematisch in Fig. 1 gezeigt. Das Sauerstoffplasma wurde durch Führen von 2,45-GHz-Mikrowellen zu einer Reaktionskammer 202 durch einen Wellenleiter 201 und Zufuhr von 100 SCCM Sauerstoff aus dem Gaszufuhrrohr 203 gebildet. Der Reaktionskammerinnendruck betrug 1,84 mTorr, und die Ausgangsleistung der Mikrowellen betrug 2500 W. Eine Außenspule 204 war um die Außenseite der Reaktionskammer herum zum Anlegen eines Magnetfeldes von 875 Gauß an das Sauerstoffplasma vorgesehen, so daß die Elektronen im Plasma die ECR-Bedingung erfüllten. Das Substrat 205 wurde senkrecht zum Plasma angeordnet, und die Substrattemperatur wurde durch den Heizer 206 bei 300ºC gehalten. Bei diesen Bedingungen wurde das Sauerstoffplasma 807 für 8 Minuten 20 Sekunden aufgestrahlt, um denjenigen Teil des amorphen Siliciumdünnfilms 808 an der Stelle zu oxidieren, in der die Kanalzone zu bilden war, und ein SiO&sub2;-Film 808, der ein Teil eines Gate-Isolierfilms werden sollte, wurde gebildet. Unterhalb des Teils, der ein Teil der Gate- Isolierschicht werden sollte, wurde derjenige Teil des amorphen Siliciumdünnfilms 809 übriggelassen, der die Kanalzone werden sollte (Fig. 2E).
  • Danach wurde mit aufrecht erhaltenem Vakuum ein SiO&sub2;-Film 810 niedergeschlagen, der zur Gate-Isolierschicht werden sollte. Dieser SiO&sub2;-Film 810 wurde unter Verwendung von 60 SCCM Silan und 100 SCCM Sauerstoff bei einer Mikrowellenausgangsleistung von 2250, einer Substrattemperatur von 300ºC und für 18,7 Sekunden niedergeschlagen. Der Reaktionskammerdruck betrug 2,62 mTorr. Die auf diese Weise gebildeten Mehrschichtenfilme wurden mittels Mehrwellenlängen-Dispersionsellipsometrie (Mehrwellenlängen-Spektroellipsometrie, MOSS-ES 4 G von Soaplar) gemessen. Der durch die Oxidation des amorphen Siliciumfilms 808 gebildete SiO&sub2;-Film wies 120 Å auf. Der SiO&sub2;-Film 810 wies 1500 Å auf. Bei der Wellenlänge von 632,8 nm betrug der Brechungsindex des SiO&sub2;-Films 808 und des SiO&sub2;-Films 810 1,42 bzw. 1,40.
  • Das auf diese Weise hergestellte Substrat wurde in einen 600ºC-Elektroofen gebracht und für 48 Stunden wärmebehandelt. Während dieser Zeit wurde der Elektroofen mit 99,999% oder noch reinerem Stickstoffgas mit 20 l/min versorgt, um eine inerte Umgebungsatmosphäre aufrecht zu erhalten. Diese Wärmebehandlung bei 600ºC in der inerten Umgebungsatmosphäre kristallisierte das amorphe Silicium, das auf dem Teil verblieben war, der zur Kanalzone werden sollte, die in einen Siliciumdünnfilm 811 überführt werden sollte, die die Kanalzone bildet (Fig. 2E). Danach wurde dieses Substrat wieder in die ECR-PECVD gebracht, um ein Wasserstoffplasma auf das wärmebehandelte Substrat zu strahlen. Das Wasserstoffplasma wurde unter Verwendung von 100 SCCM Wasserstoff bei einer Substrattemperatur von 300ºC und einer Mikrowellenausgangsleistung von 2000 W gebildet. In diesem Zustand betrug der Reaktionskammerinnendruck 1,97 mTorr, und die Wasserstoffplasmabestrahlung wurde für 45 Minuten durchgeführt.
  • Dann wurde Chrom mit 1500 Å durch Sputtern niedergeschlagen. Eine Gate-Elektrode 812 wurde durch Musterung gebildet. Zu diesem Zeitpunkt betrug der Schichtwiderstand 1,36 W/Quadrat. Ein Kontaktloch wurde in dem Gate-Isolierfilm gebildet, und eine Source- sowie eine Drain-Elektrode 813 wurden durch Sputtern oder andere Techniken gebildet, und ein Transistor wurde durch Musterung fertiggestellt (Fig. 2F). In dem Beispiel wurde als Material der Source- und der Drain-Elektrode Aluminium mit einer Dicke von 8000 Å verwendet. Der Schichtwiderstand dieses Aluminiums betrug 42 mW/Quadrat.
  • Ein Beispiel der Eigenschaften des so hergestellten Dünnfilmtransistors (TFT) ist in Fig. 3 bei 9-a gezeigt. Hier bezeichnet Ids (Ordinate) einen Source-Drain-Strom. Die Messung wurde bei Vds = 4 V und bei 25ºC ausgeführt. Der Transistor wies eine Kanallänge von L = 10 um und eine Breite von W = 100 um auf. Als der Transistor bei Vds = 4 V und Vgs 10 V eingeschaltet wurde, betrug sein Durchlaßstrom Ids = 34,5 uA. Das resultierende Dünnfilmhalbleiterbauelement wies derart gute Transistoreigenschaften auf. Die Feldeffektbeweglichkeit dieses Transistors betrug auf der Basis des Sättigungsstrombereichs 12,52 cm²/V · s. Die Transistoreigenschaften eines gemäß dem Stand der Technik hergestellten Dünnfilmhalbleiterbauelements sind zum Vergleich bei 9-b gezeigt. Dieses gemäß dem Stand der Technik hergestellte Dünnfilmhalbleiterbauelement wurde durch das gleiche Verfahren wie bei dem Beispiel hergestellt, mit der Ausnahme, daß der Kanalsiliciumdünnfilm des ersteren bei 600ºC mittels Niederdruck-CVD niedergeschlagen und kein Sauerstoffplasma aufgestrahlt wurde. Die Vorrichtung zum Niederschlagen des Kanalsiliciumdünnfilms mittels Niederdruck-CVD war die gleiche wie die in dem Beispiel zum Niederschlagen des amorphen Siliciumdünnfilms verwendete. Der Kanalsiliciumdünnfilm wurde mit einer Dicke von 1252 Å unter Verwendung von 24 SCCM Monosilan als Rohstoffgas bei einem Reaktionsofeninnendruck von 13,8 mTorr und einer Niederschlagsgeschwindigkeit von 19,00 Å/min niedergeschlagen. Der Durchlaßstrom des gemäß dem Stand der Technik hergestellten TFT betrug Ids = 4,6 uA, und die Feldeffektbeweglichkeit betrug 4,40 cm²/V · s. Zum weiteren Vergleich wurde ein Dünnfilmhalbleiterelement mittels des gleichen Verfahrens wie bei dem Beispiel hergestellt, mit der Ausnahme, daß der Kanalsiliciumdünnfilm, welcher bei 600ºC mittels Niederdruck-CVD niedergeschlagen wurde, wie es beim oben beschriebenen Stand der Technik erfolgte, vor dem Niederschlagen des Gate-Isolierfilms einer Sauerstoffplasmabestrahlung unterzogen wurde. Die TFT-Eigenschaften dieses Dünnfilmhalbleiterbauelements wurden gemessen. Die TFT-Eigenschaften änderten sich aufgrund der Sauerstoffplasmabestrahlung nicht wesentlich, und die Vgs-Ids-Kurve dieses TFT, welcher der Sauerstoffplasmabestrahlung unterzogen wurde, stimmte mit derjenigen von 9-b überein. Der Durchlaßstrom dieses TFT betrug Ids = 4,7 uA, und dessen Feldeffektbeweglichkeit betrug 4,44 cm²/V · s. Die Sauerstoffplasmabestrahlung ist nicht besonders wirksam bei dem Stand der Technik, bei dem der Kanalsiliciumdünnfilm bei 600ºC mittels Niederdruck-CVD niedergeschlagen wird. Die TFT-Eigenschaften eines gemäß einem anderen Stand der Technik hergestellten Dünnfilmhalbleiterbauelements sind bei 9-c gezeigt. Dieses Dünnfilmhalbleiterbauelement wurde mittels des gleichen Verfahrens wie bei dem Beispiel hergestellt, mit der Ausnahme, daß die Sauerstoffplasmabestrahlung nicht ausgeführt wurde. D. h., ein amorpher Siliciumdünnfilm wurde als Kanalsiliciumschicht niedergeschlagen und dann einer Wärmebehandlung bei 600ºC unterzogen. Die Sauerstoffplasmabestrahlung wurde jedoch nicht vor der Bildung der Gate-Isolierschicht ausgeführt. Der gemäß diesem Stand der Technik hergestellte TFT wies eine Einsenkung bei -10 V auf und besaß schlechte Anstiegseigenschaften. Der Durchlaßstrom dieses Dünnfilmhalbleiterbauelements betrug 12,1 uA bei Vds = 4 V und Vgs = 10 V. Die Feldeffektbeweglichkeit betrug 9,94 cm²/V · s.
  • Aus diesen Ergebnissen ist ersichtlich, daß die Transistoreigenschaften des Dünnfilmhalbleiterbauelements nur dann drastisch verbessert wird, wenn der amorphe Siliciumdünnfilm, der für die Kanalzone bestimmt ist, mit einem Sauerstoffplasma bestrahlt und dann wärmebehandelt wird, um den Kanalsiliciumdünnfilm zu kristallisieren. Dies ist so, weil die Oxidation der Oberfläche des amorphen Siliciumfilms mittels des Sauerstoffplasmas saubere MIS-Grenzschichten erzeugt und die Kristallisation ausgeführt wird. Somit ist ersichtlich, daß das Beispiel dieser Erfindung wesentlich bessere Halbleitereigenschaften als jene gemäß dem Stand der Technik hergestellten aufweist.

Claims (2)

1. Verfahren zur Herstellung eines Dünnfilmhalbleiterbauelements durch Niedertemperaturverarbeitung, dessen Schritte bei einer Maximaltemperatur von kleiner oder gleich etwa 600ºC ausgeführt werden, umfassend:
einen ersten Schritt der Bildung eines amorphen Siliciumfilms auf einer isolierenden Oberfläche eines Substrats,
einen zweiten Schritt der Entfernung natürlicher Oxidfilme durch Eintauchen des Substrats in eine wäßrige Reinigungslösung, die Fluorwasserstoffsäure enthält,
einen dritten Schritt des Strahlens von Sauerstoffplasma auf den amorphen Siliciumfilm, um einen ersten Gate-Isolierfilm zu bilden,
einen vierten Schritt der Bildung eines zweiten Gate-Isolierfilms mittels des CVD- Verfahrens auf dem ersten Gate-Isolierfilm im Anschluß an den dritten Schritt,
einen fünften Schritt der Wärmebehandlung des so hergestellten Substrats bei 600ºC in einer inerten Umgebungsatmosphäre, um den amorphen Siliciumfilm zu kristallisieren, und
einen sechsten Schritt der Bildung einer Gate-Elektrode auf dem zweiten Isolierfilm, wobei der erste bis sechste Schritt in dieser Reihenfolge ausgeführt werden.
2. Verfahren nach Anspruch 1, bei dem der erste Schritt zur Bildung eines Siliciumfilms für eine Kanalzone eines Dünnfilmtransistors dient.
DE69131570T 1990-11-16 1991-11-15 Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung Expired - Lifetime DE69131570T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP31047790 1990-11-16
JP7640691 1991-04-09
JP23509891 1991-09-13

Publications (2)

Publication Number Publication Date
DE69131570D1 DE69131570D1 (de) 1999-10-07
DE69131570T2 true DE69131570T2 (de) 2000-02-17

Family

ID=27302149

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69131570T Expired - Lifetime DE69131570T2 (de) 1990-11-16 1991-11-15 Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung

Country Status (5)

Country Link
US (5) US5372958A (de)
EP (1) EP0486047B1 (de)
KR (1) KR100283350B1 (de)
DE (1) DE69131570T2 (de)
SG (1) SG63578A1 (de)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69131570T2 (de) * 1990-11-16 2000-02-17 Seiko Epson Corp., Tokio/Tokyo Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
KR950013784B1 (ko) * 1990-11-20 1995-11-16 가부시키가이샤 한도오따이 에네루기 겐큐쇼 반도체 전계효과 트랜지스터 및 그 제조방법과 박막트랜지스터
US7115902B1 (en) 1990-11-20 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US7098479B1 (en) 1990-12-25 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
EP0499979A3 (en) * 1991-02-16 1993-06-09 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device
JP2794678B2 (ja) * 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JP2717237B2 (ja) 1991-05-16 1998-02-18 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JPH05249478A (ja) * 1991-12-25 1993-09-28 Toshiba Corp 液晶表示装置
US5576222A (en) * 1992-01-27 1996-11-19 Tdk Corp. Method of making a semiconductor image sensor device
KR950005484B1 (ko) * 1992-09-29 1995-05-24 현대전자산업주식회사 플라즈마 산화 처리를 이용한 폴리실리콘 박막트랜지스터 제조방법
KR100250020B1 (ko) * 1993-03-02 2000-03-15 가네꼬 히사시 반도체 소자용 다결정 실리콘 박막 형성 방법(method of forming polycrystalline silicon thin films for semiconductor devices)
FR2702882B1 (fr) * 1993-03-16 1995-07-28 Thomson Lcd Procédé de fabrication de transistors à couches minces étagés directs.
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP2677167B2 (ja) * 1993-07-08 1997-11-17 日本電気株式会社 駆動回路内蔵型液晶表示装置の製造方法
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
JPH0766424A (ja) * 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
TW297142B (de) 1993-09-20 1997-02-01 Handotai Energy Kenkyusho Kk
CN1052566C (zh) 1993-11-05 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US6897100B2 (en) 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
JP2646977B2 (ja) * 1993-11-29 1997-08-27 日本電気株式会社 順スタガ型薄膜トランジスタの製造方法
US7081938B1 (en) 1993-12-03 2006-07-25 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
JP3254072B2 (ja) * 1994-02-15 2002-02-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5620906A (en) 1994-02-28 1997-04-15 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device by introducing hydrogen ions
FR2719416B1 (fr) * 1994-04-29 1996-07-05 Thomson Lcd Procédé de passivation des flancs d'un composant semiconducteur à couches minces.
CN1052116C (zh) * 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US6706572B1 (en) 1994-08-31 2004-03-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor using a high pressure oxidation step
KR0147019B1 (ko) * 1994-12-21 1998-09-15 김광호 박막트랜지스터 액정 디스플레이 소자의 패드부 및 박막트랜지스터 액정디스플레이 소자의 제조방법
US5726720A (en) * 1995-03-06 1998-03-10 Canon Kabushiki Kaisha Liquid crystal display apparatus in which an insulating layer between the source and substrate is thicker than the insulating layer between the drain and substrate
US5641974A (en) 1995-06-06 1997-06-24 Ois Optical Imaging Systems, Inc. LCD with bus lines overlapped by pixel electrodes and photo-imageable insulating layer therebetween
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5985740A (en) 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3645378B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US5888858A (en) 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6180439B1 (en) * 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US7056381B1 (en) * 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6121163A (en) 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
KR100192593B1 (ko) * 1996-02-21 1999-07-01 윤종용 폴리 실리콘 박막 트랜지스터의 제조방법
US6100562A (en) 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6013583A (en) * 1996-06-25 2000-01-11 International Business Machines Corporation Low temperature BPSG deposition process
US6188452B1 (en) 1996-07-09 2001-02-13 Lg Electronics, Inc Active matrix liquid crystal display and method of manufacturing same
FR2751131B1 (fr) * 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
US5658808A (en) * 1996-08-14 1997-08-19 Industrial Technology Research Institute Method of fabricating polycrystalline silicon thin-film transistor having symmetrical lateral resistors
US5759618A (en) * 1997-02-27 1998-06-02 Diamond Seal, Inc. Glass coating cmposition and method of application
US6083272A (en) * 1997-06-13 2000-07-04 Advanced Micro Devices, Inc. Method of adjusting currents on a semiconductor device having transistors of varying density
US5989963A (en) * 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
GB9726511D0 (en) * 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
JP3713380B2 (ja) * 1998-03-04 2005-11-09 株式会社東芝 薄膜の形成方法および装置
JP4223092B2 (ja) 1998-05-19 2009-02-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000058839A (ja) * 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6662631B2 (en) * 1998-08-28 2003-12-16 Interuniversitair Microelektronica Centrum Method and apparatus for characterization of porous films
US6077750A (en) * 1998-10-27 2000-06-20 Lg Semicon Co., Ltd. Method for forming epitaxial Co self-align silicide for semiconductor device
US6297115B1 (en) 1998-11-06 2001-10-02 Advanced Micro Devices, Inc. Cmos processs with low thermal budget
US6180476B1 (en) * 1998-11-06 2001-01-30 Advanced Micro Devices, Inc. Dual amorphization implant process for ultra-shallow drain and source extensions
US6225173B1 (en) 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6200869B1 (en) 1998-11-06 2001-03-13 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit with ultra-shallow source/drain extensions
US6265291B1 (en) 1999-01-04 2001-07-24 Advanced Micro Devices, Inc. Circuit fabrication method which optimizes source/drain contact resistance
US6245649B1 (en) 1999-02-17 2001-06-12 Advanced Micro Devices, Inc. Method for forming a retrograde impurity profile
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6291278B1 (en) 1999-05-03 2001-09-18 Advanced Micro Devices, Inc. Method of forming transistors with self aligned damascene gate contact
US6492249B2 (en) 1999-05-03 2002-12-10 Advanced Micro Devices, Inc. High-K gate dielectric process with process with self aligned damascene contact to damascene gate and a low-k inter level dielectric
US6271132B1 (en) 1999-05-03 2001-08-07 Advanced Micro Devices, Inc. Self-aligned source and drain extensions fabricated in a damascene contact and gate process
US6194748B1 (en) 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
US6265293B1 (en) 1999-08-27 2001-07-24 Advanced Micro Devices, Inc. CMOS transistors fabricated in optimized RTA scheme
US6403433B1 (en) 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US6465315B1 (en) 2000-01-03 2002-10-15 Advanced Micro Devices, Inc. MOS transistor with local channel compensation implant
US7019363B1 (en) 2000-01-04 2006-03-28 Advanced Micro Devices, Inc. MOS transistor with asymmetrical source/drain extensions
JP2001217428A (ja) * 2000-01-25 2001-08-10 Samsung Electronics Co Ltd 低温多結晶シリコン形薄膜トランジスタ−及びその製造方法
US6333244B1 (en) 2000-01-26 2001-12-25 Advanced Micro Devices, Inc. CMOS fabrication process with differential rapid thermal anneal scheme
US6372589B1 (en) 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
US6420218B1 (en) 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6746901B2 (en) * 2000-05-12 2004-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating thereof
US6368947B1 (en) 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6361874B1 (en) 2000-06-20 2002-03-26 Advanced Micro Devices, Inc. Dual amorphization process optimized to reduce gate line over-melt
US6399450B1 (en) 2000-07-05 2002-06-04 Advanced Micro Devices, Inc. Low thermal budget process for manufacturing MOS transistors having elevated source and drain regions
US6630386B1 (en) 2000-07-18 2003-10-07 Advanced Micro Devices, Inc CMOS manufacturing process with self-amorphized source/drain junctions and extensions
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US6472282B1 (en) 2000-08-15 2002-10-29 Advanced Micro Devices, Inc. Self-amorphized regions for transistors
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6756277B1 (en) 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6495437B1 (en) 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP3901460B2 (ja) * 2001-02-19 2007-04-04 株式会社日立製作所 薄膜トランジスタの製造方法
US6420776B1 (en) 2001-03-01 2002-07-16 Amkor Technology, Inc. Structure including electronic components singulated using laser cutting
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6833313B2 (en) * 2001-04-13 2004-12-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device by implanting rare gas ions
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
JP4627964B2 (ja) * 2002-10-24 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4004448B2 (ja) * 2003-09-24 2007-11-07 富士通株式会社 半導体装置およびその製造方法
US7312125B1 (en) 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060275975A1 (en) * 2005-06-01 2006-12-07 Matt Yeh Nitridated gate dielectric layer
WO2006134218A1 (en) * 2005-06-15 2006-12-21 Braggone Oy Optical device structure
WO2007044514A2 (en) * 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
WO2007075369A1 (en) 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
KR20080070327A (ko) * 2007-01-26 2008-07-30 삼성전자주식회사 박막 트랜지스터, 이를 포함하는 유기 발광 표시 장치 및그 제조 방법
US20090227061A1 (en) * 2008-03-05 2009-09-10 Nicholas Bateman Establishing a high phosphorus concentration in solar cells
US20090224250A1 (en) * 2008-03-10 2009-09-10 Hidayat Kisdarjono Top Gate Thin Film Transistor with Enhanced Off Current Suppression
US8896065B2 (en) * 2008-04-14 2014-11-25 Sharp Laboratories Of America, Inc. Top gate thin film transistor with independent field control for off-current suppression
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
CN102265405B (zh) 2008-12-24 2015-09-23 3M创新有限公司 金属氧化物半导体薄膜晶体管中的稳定性增强
US8912080B2 (en) 2011-01-12 2014-12-16 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of the semiconductor device
WO2013039126A1 (en) 2011-09-16 2013-03-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8952379B2 (en) 2011-09-16 2015-02-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9082663B2 (en) 2011-09-16 2015-07-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP5788355B2 (ja) * 2012-03-29 2015-09-30 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
KR20130136063A (ko) 2012-06-04 2013-12-12 삼성디스플레이 주식회사 박막 트랜지스터, 이를 포함하는 박막 트랜지스터 표시판 및 그 제조 방법
TWI518430B (zh) * 2013-12-02 2016-01-21 群創光電股份有限公司 顯示面板及應用其之顯示裝置
CN103730384A (zh) * 2013-12-13 2014-04-16 深圳市华星光电技术有限公司 一种tft电性量测方法及装置
CN103852951B (zh) * 2014-02-19 2016-11-16 南京大学 利用纳米硅和二氧化硅界面态来提高非线性光学性能的方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4156619A (en) * 1975-06-11 1979-05-29 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for cleaning semi-conductor discs
EP0154670B1 (de) * 1978-06-14 1991-05-08 Fujitsu Limited Verfahren zur Herstellung einer Halbleiteranordnung mit einer Isolierschicht
JPS5685869A (en) * 1979-12-17 1981-07-13 Nec Corp Manufacture of semiconductor device
FR2527385B1 (fr) * 1982-04-13 1987-05-22 Suwa Seikosha Kk Transistor a couche mince et panneau d'affichage a cristaux liquides utilisant ce type de transistor
US4411734A (en) * 1982-12-09 1983-10-25 Rca Corporation Etching of tantalum silicide/doped polysilicon structures
JPH0682839B2 (ja) * 1984-08-21 1994-10-19 セイコー電子工業株式会社 表示用パネルの製造方法
JPH0680826B2 (ja) * 1985-08-20 1994-10-12 富士通株式会社 薄膜トランジスタの製造方法
US4804640A (en) * 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4675978A (en) * 1985-09-09 1987-06-30 Rca Corporation Method for fabricating a radiation hardened oxide having two portions
US4634473A (en) * 1985-09-09 1987-01-06 Rca Corporation Method for fabricating a radiation hardened oxide having structural damage
JPS6266629A (ja) * 1985-09-19 1987-03-26 Fujitsu Ltd 薄膜形成方法
JPS6292329A (ja) * 1985-10-17 1987-04-27 Sharp Corp 絶縁膜形成方法
JPS631071A (ja) * 1986-06-20 1988-01-06 Hitachi Ltd 薄膜半導体装置
JPS6331110A (ja) * 1986-07-25 1988-02-09 Fujitsu Ltd 半導体装置の製造方法
JPS6358875A (ja) * 1986-08-29 1988-03-14 Hitachi Ltd 薄膜トランジスタ素子
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
KR900007686B1 (ko) * 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
JP2503001B2 (ja) * 1987-02-19 1996-06-05 富士通株式会社 薄膜トランジスタの製造方法
JPS63232337A (ja) * 1987-03-20 1988-09-28 Hitachi Ltd ドライクリ−ニング方法
JPS63273323A (ja) * 1987-05-01 1988-11-10 Nippon Telegr & Teleph Corp <Ntt> 膜形成装置
JPS63316479A (ja) * 1987-06-19 1988-12-23 Hitachi Ltd 薄膜トランジスタおよびその製造方法
JPS6435958A (en) * 1987-07-30 1989-02-07 Ricoh Kk Thin film transistor
JPS6484229A (en) * 1987-09-28 1989-03-29 Nippon Soken Thin film transistor
JP2589327B2 (ja) * 1987-11-14 1997-03-12 株式会社リコー 薄膜トランジスタの製造方法
JP2677808B2 (ja) * 1987-12-12 1997-11-17 工業技術院長 電界効果型トランジスタ
US4882649A (en) * 1988-03-29 1989-11-21 Texas Instruments Incorporated Nitride/oxide/nitride capacitor dielectric
JPH0228322A (ja) * 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
NL8801379A (nl) * 1988-05-30 1989-12-18 Imec Inter Uni Micro Electr Werkwijze voor het vervaardigen van een dunne-filmtransistor en een dergelijke dunne-filmtransistor.
GB8813349D0 (en) * 1988-06-06 1988-07-13 Gen Electric Co Plc Amplifiers
JPH0246776A (ja) * 1988-08-09 1990-02-16 Toshiba Corp 半導体装置
JPH0282577A (ja) * 1988-09-19 1990-03-23 Seiko Epson Corp 薄膜トランジスタの製造方法
JP3055782B2 (ja) * 1988-09-19 2000-06-26 セイコーエプソン株式会社 薄膜トランジスタの製造方
JP2841381B2 (ja) * 1988-09-19 1998-12-24 セイコーエプソン株式会社 薄膜トランジスタの製造方法
CA1313563C (en) * 1988-10-26 1993-02-09 Makoto Sasaki Thin film transistor panel
US4997746A (en) * 1988-11-22 1991-03-05 Greco Nancy A Method of forming conductive lines and studs
DE69033736T2 (de) * 1989-02-14 2001-10-25 Seiko Epson Corp., Tokio/Tokyo Verfahren zum Herstellen einer Halbleitervorrichtung
US5231297A (en) * 1989-07-14 1993-07-27 Sanyo Electric Co., Ltd. Thin film transistor
JPH03104209A (ja) * 1989-09-19 1991-05-01 Seiko Epson Corp 半導体装置の製造方法
US5190792A (en) * 1989-09-27 1993-03-02 International Business Machines Corporation High-throughput, low-temperature process for depositing oxides
JP2602336B2 (ja) * 1989-11-29 1997-04-23 株式会社日立製作所 プラズマ処理装置
GB2238683A (en) * 1989-11-29 1991-06-05 Philips Electronic Associated A thin film transistor circuit
US5231296A (en) * 1989-12-19 1993-07-27 Texas Instruments Incorporated Thin film transistor structure with insulating mask
JPH0637317A (ja) * 1990-04-11 1994-02-10 General Motors Corp <Gm> 薄膜トランジスタおよびその製造方法
JP2796175B2 (ja) * 1990-06-05 1998-09-10 松下電器産業株式会社 薄膜トランジスターの製造方法
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JPH04102375A (ja) * 1990-08-22 1992-04-03 Ricoh Co Ltd 薄膜トランジスタ
KR920005267A (ko) * 1990-08-24 1992-03-28 야마무라 가쓰미 반도체장치의 제조방법
US5064775A (en) * 1990-09-04 1991-11-12 Industrial Technology Research Institute Method of fabricating an improved polycrystalline silicon thin film transistor
DE69131570T2 (de) * 1990-11-16 2000-02-17 Seiko Epson Corp., Tokio/Tokyo Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung
JP2508948B2 (ja) * 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
EP0553791A1 (de) * 1992-01-31 1993-08-04 Nec Corporation Kondensatorelektrode für DRAM und Verfahren zu ihrer Herstellung
JPH05250244A (ja) * 1992-03-04 1993-09-28 Nec Corp データベースシステム
KR0161887B1 (ko) * 1995-12-26 1999-02-18 문정환 용기를 갖는 습식에치 장치의 에치 종말점 측정방법

Also Published As

Publication number Publication date
US5811323A (en) 1998-09-22
SG63578A1 (en) 1999-03-30
EP0486047A2 (de) 1992-05-20
US5591989A (en) 1997-01-07
US5372958A (en) 1994-12-13
US5637512A (en) 1997-06-10
KR100283350B1 (ko) 2001-02-15
EP0486047A3 (en) 1996-04-10
US5504019A (en) 1996-04-02
DE69131570D1 (de) 1999-10-07
EP0486047B1 (de) 1999-09-01

Similar Documents

Publication Publication Date Title
DE69131570T2 (de) Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung
DE69133416T2 (de) Verfahren zum Kristallisieren eines Nicht-Einkristall Halbleiters mittels Heizen
DE69522370T2 (de) SiGe-Dünnfilm-Halbleiteranordnung mit SiGe Schichtstruktur und Verfahren zur Herstellung
DE69127395T2 (de) Verfahren zum Herstellen eines Dünnfilm-Transistors mit polykristallinem Halbleiter
DE3541587C2 (de) Verfahren zur Herstellung eines dünnen Halbleiterfilms
DE69212383T2 (de) Dünnfilmtransistor und Verfahren zu seiner Herstellung
DE69030775T2 (de) Herstelllungsverfahren einer Halbleitervorrichtung
DE3688758T2 (de) Dünnfilmtransistor auf isolierendem Substrat.
DE3855765T2 (de) Dünnschicht-Siliciumhalbleiteranordnung und Verfahren zu ihrer Herstellung
DE69435045T2 (de) Halbleiter-Anordnung und Herstellungsverfahren dafür
DE69531654T2 (de) Verfahren zur herstellung eines dünnschicht-halbleiter-transistors
DE69428387T2 (de) Herstellungsverfahren für eine kristallisierte Halbleiterschicht
DE68923311T2 (de) Verfahren zur Herstellung eines Feld-Effekt-Transistors.
DE69226666T2 (de) Verfahren zur Herstellung eines Mehrfachgate-Dünnfilmtransistors
DE68912482T2 (de) Dünnfilm-Transistoren, ihre Verfahren zur Herstellung und Anzeigeeinrichtung, die mit solchen Transistoren hergestellt sind.
DE68926980T2 (de) Verfahren zur Herstellung eines Dünnfilmtransistors
DE3317535A1 (de) Duennfilmtransistor
DE69006434T2 (de) Herstellungsverfahren einer Halbleiteranordnung.
DE69320572T2 (de) Dünnfilm-Halbleiteranordnung und Verfahren zur ihrer Herstellung
DE10228518B4 (de) Verfahren zum Kristallisieren von Polysilicium, Verfahren zum Herstellen eines Dünnschichttransistors unter Verwendung desselben sowie Verfahren zum Herstellen eines zugehörigen Flüssigkristalldisplays
DE69520538T2 (de) Verfahren zur Herstellung einer dünnen polykristallinen Halbleiterschicht
DE69127656T2 (de) Verfahren zum Herstellen von Dünnfilmtransistoren
EP0066730B1 (de) Gateisolations-Schichtstruktur, Verfahren zu ihrer Herstellung und ihre Verwendung
DE3112186A1 (de) Verfahren und vorrichtung zur herstellung von einkristall-siliziumfilmen
DE69229314T2 (de) Halbleiteranordnung und Verfahren zur Herstellung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition