JP2009539266A - 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス - Google Patents

二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス Download PDF

Info

Publication number
JP2009539266A
JP2009539266A JP2009513423A JP2009513423A JP2009539266A JP 2009539266 A JP2009539266 A JP 2009539266A JP 2009513423 A JP2009513423 A JP 2009513423A JP 2009513423 A JP2009513423 A JP 2009513423A JP 2009539266 A JP2009539266 A JP 2009539266A
Authority
JP
Japan
Prior art keywords
layer
precursor
silicon oxide
annealing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009513423A
Other languages
English (en)
Other versions
JP5225268B2 (ja
Inventor
シャオリン チェン,
スリニヴァス, ディー. ネマーニ,
シャンカー ヴェンカタラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/753,968 external-priority patent/US7902080B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009539266A publication Critical patent/JP2009539266A/ja
Application granted granted Critical
Publication of JP5225268B2 publication Critical patent/JP5225268B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

基板上のギャップを酸化シリコンで充填する方法を記載する。前記方法には、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに導入するステップと、前駆物質を反応させて、基板上のギャップ内に第一酸化シリコン層を形成するステップと、該第一酸化シリコン層をエッチングして層内の炭素含量を減少させるステップと、が含まれるのがよい。前記方法には、第一層上に第二酸化シリコン層を形成するステップと;第二層をエッチングして第二層内の炭素含量を減少させるステップとが含まれるのがよい。ギャップを充填した後に酸化シリコン層をアニールする。
【選択図】 図1

Description

関連出願の相互参照
[0001]本出願は、2006年5月30日に出願の米国仮出願第60/803,481号の利益を主張する。この出願は、また、2006年5月30日に出願の“CHEMICALVAPOR DEPOSITIONOF HIGHQUALITY FLOW-LIKE SILICON DIOXIDEUSING ASILICON CONTAININGPRECOURSOR AND ATOMIC OXYGEN”と称するIngleらによる米国仮出願第60/803,493号に関連する。この出願は、また、2006年5月30日に出願の“AMETHOD FORDEPOSITING AND CURING LOW-K FILMSFOR GAPFILLAND CONFORMALFILM APPLICATIONS”と称するNemaniらによる米国仮出願第60/803,489号に関連する。更に、この出願は、2006年5月30日出願の“PROCESSCHAMBER FORDIELECTRIC GAPFILL”と称するLubomirskyによる米国仮出願第60/803,499号に関連する。優先権米国仮特許出願及び関連出願の全体の内容は、すべてに対して本明細書に援用されている。
発明の背景
[0002]集積回路のデバイス密度が増加し続けるにつれて、デバイス構造間のサイズと距離は減少し続ける。構造のギャップと構造間のトレンチにおけるより狭い幅は、これらの形成において高さと幅の比(即ち、アスペクト比)を増大させる。言い換えれば、集積回路素子の小型化の継続は、これらの素子内や素子間でそれらの縦の高さよりも速く横幅を縮小している。
[0003]アスペクト比を常に増大させつつデバイス構造を作るための能力によって、より多くの構造(例えば、トランジスタ、キャパシタ、ダイオード等)が半導体チップ基板の同一の表面領域に充填することを許容したが、製造の問題も生じてきた。これらの問題の一つは、充填プロセスの間、ボイド又はシームをつくらずにこれらの構造におけるギャップとトレンチを完全に充填することが難しいことである。酸化シリコンのような誘電材料でギャップとトレンチを充填することは、隣接のデバイス構造を互いに電気的に絶縁するために必要である。ギャップが空のままであった場合には、電気的雑音と、適切に(又は少しでも)作動させるデバイスの電流漏れがあまりに多かった。
[0004]ギャップの幅がより広い(アスペクト比がより小さい)場合、ギャップは誘電材料の急速な堆積物で充填することが比較的容易である。堆積物質は、ギャップの側面と底面を覆い、隙間又はトレンチが十分に充填されるまで、下から上に充填し続ける。しかしながら、アスペクト比が増大するにつれて、閉塞開始が充填容積内のボイド又はシームを持つことなく、深く狭いトレンチを充填することは更に難しくなった。
[0005]誘電体層内のボイドとシームによって、半導体デバイス製造の間や完成したデバイスの両方において問題が生じる。ボイドとシームは誘電体層内に不規則に形成され、予測できないサイズ、形状、位置、個体群密度を持つ。このことにより、一様なエッチング、研磨、アニール等の予測できない一貫性のない堆積後の層の処理が生じる。完成したデバイスにおけるボイドとシームは、デバイス構造におけるギャップとトレンチの誘電特性を変化させる。このことにより、デバイス素子内及び素子間のクロストーク、電荷漏れ、ショートによる一様でない劣悪なデバイス性能が生じ得る。
[0006]高アスペクト比構造上に誘電材料を堆積する間のボイドとシームの形成を最少限にするための技術が開発されてきた。これらには、トレンチの側壁と底面に対してより等角のままであるように誘電材料の堆積速度を緩慢にすることが含まれる。より等角な堆積は、堆積された物質がトレンチの最上部又は中間部で蓄積し、最終的にはボイドの最上部を密封する程度を低下させ得る。しかしながら、残念なことに、堆積速度を緩慢にすることは、堆積時間の増加を意味し、処理効率と生産率を減少させる。
[0007]ボイド形成を制御する他の技術は、堆積した誘電材料の流動性を増加させることである。より流動性を有する物質は、ボイド又はシームをより速く充填し、充填容積内で永久的な欠陥になること防止する。酸化シリコン誘電材料の流動性の増加は、用いられる前駆物質の混合に水蒸気又は過酸化物(例えば、H)を添加して酸化物層を形成することを伴う。水蒸気は、堆積された層により多くのSi-OH結合を生成し、膜に流動性の増加を与える。しかしながら、残念なことに、酸化シリコン堆積の間の水分レベルの増加は、その密度(即ち、高ウエットエッチング速度比(WERR))や誘電特性(即ち、高k値)を含む、堆積された層の特性に不利な影響を及ぼし得る。
[0008]従って、ボイドのない、シームのない誘電体膜をギャップ、トレンチ、高アスペクト比を有する他のデバイス構造へ堆積させ得る誘電堆積システム及びプロセスが依然として求められている。高堆積速度及び完成した充填の品質に不利に影響しない流動特性で誘電材料を堆積させ得るシステム及びプロセスも依然として求められている。誘電膜堆積のこれらの及び他の態様は本発明によって説明される。
発明の簡単な概要
[0009]本発明の実施形態は、基板上にギャップを酸化シリコンで充填する方法を含む。方法には、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに導入するステップと、前駆物質を反応させて、基板上のギャップ内に第一酸化シリコン層を形成するステップと、第一酸化シリコン層をエッチングして、層内の炭素含量を減少させるステップと、が含まれるのがよい。方法には、また、第一層上に第二酸化シリコン層を形成するステップと、第二層をエッチングして、層内の炭素含量を減少させるステップと、が含まれるのがよい。ギャップが充填された後に酸化シリコン層をアニールするのがよい。
[0010]本発明の実施形態には、また、基板上に多層酸化シリコン層を形成する方法が含まれる。方法には、基板上に複数の酸化シリコン層を形成するステップであって、各酸化シリコン層の厚さが約100オングストローム〜約200オングストロームである、前記ステップが含まれるのがよい。層は、(i)有機シリコン前駆物質と原子酸素前駆物質を反応チャンバに導入し、(ii)前駆物質を反応させて、基板上に層を形成し、(iii)層をエッチングして層内の不純物を減少させることにより形成することができる。その後、複数の層をアニールすることができる。
[0011]本発明の実施形態には、なお更に、ウエハ基板上にマルチサイクルの酸化シリコンボトムアップギャップ充填を行うシステムが含まれる。システムには、ギャップ含有基板が保持される堆積チャンバと、堆積チャンバに結合した遠隔プラズマ生成システムであって、プラズマ生成システムを用いて原子酸素前駆物質を生成する、前記遠隔プラズマ生成システムとが含まれるのがよい。システムには、また、有機シラン前駆物質を堆積チャンバに供給するために用いられる有機シリコン前駆物質源と、原子酸素前駆物質とシリコン前駆物質を堆積チャンバに流すために用いられる前駆物質処理システムとが含まれるのがよい。前駆物質処理システムは、堆積チャンバに入る前に原子酸素とシリコン前駆物質を混合しないようにする。システムには、なお更に、マルチサイクルギャップ充填の各サイクル中に堆積される個々の酸化シリコン層をエッチングするエッチングシステムが含まれる。
[0012]追加の実施形態及び特徴は、以下の説明に一部示され、一部は、明細書の試験の際に当業者に明らかになるか又は本発明の実施によって知ることもできる。本発明の特徴と利点は、明細書に記載された手段、組合わせ、方法によって可能になり且つ得ることができる。
[0013]本発明の本質及び利点の理解は、更に、明細書と図面の残りの部分によって可能になり、ここで、同様の符号は同様の要素を示すために幾つかの図面全体に用いられる。場合によっては、サブラベルが符号と関連づけられ、複数の同様の要素の一つを示すためのハイフンに続く。存在するサブラベルに対して明記せずに符号に説明がなされている場合は、このような複数の同様の要素すべてを示すように意図されている。
図1は、本発明の実施形態によるマルチサイクル酸化シリコン層堆積の概要を示すフローチャートである。 図2は、本発明の実施形態による酸化シリコン膜の製造方法を示すフローチャートである。 図3は、本発明の実施形態による多層酸化シリコン膜を製造する方法における二段階エッチングステップを強調するフローチャートである。 図4は、本発明の実施形態による多層酸化シリコン膜の製造方法を示す他のフローチャートである。 図5Aは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。 図5Aは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。 図5Bは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。 図5Cは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。 図5Dは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。 図5Eは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。 図6Aは、本発明の実施形態による酸化シリコン層を形成するために用いることができる基板処理システムを示す縦断面図である。 図6Bは、本発明の実施形態に従って基板処理システムのシステムモニタ/コントローラ要素の簡易図である。
発明の詳細な説明
[0021]ウエハ基板のギャップ内と表面上の酸化シリコンの多層、マルチサイクル堆積のためのシステム及び方法が記載される。各酸化物層は、エッチングプロセスが膜の品質と誘電特性に不利な影響を与え得る有機基やヒドロキシル基のような不純物を解離し除去するのに十分薄い(例えば、約50オングストローム〜約300オングストローム)。複数の酸化物層が堆積されエッチングされた場合、層を高品質、低k酸化シリコン膜に形成するためにアニールを行うことができる。
[0022]酸化シリコン層は、非常に反応性の原子酸素とOMCATSのような有機シリコン前駆物質の反応から形成することができる。原子酸素は最初に堆積が行われるチャンバの外部で生成され、チャンバ内で混合されるまで有機シリコン前駆物質から分離されたままになる。得られた酸化シリコンは、炭素を多く含み非常に流動性であり、狭いギャップやトレンチの底に容易に流れる堆積膜になる。エッチングプロセスが、堆積された膜内のより大きい炭素基やヒドロキシル基の少なくとも一部を除去した後、次に続く酸化物堆積は第一層の上を流れ、次の酸化物層の中にエッチングされることがある。例えば、ギャップ又はトレンチが複数の酸化シリコン層によって下から上に充填されるまでサイクルが数回繰り返されることがある。このマルチサイクルプロセスは、ボトムアップギャップ充填とも言われてきた。本発明の方法、製品、及びシステムについての詳細を、更にここで述べる。
例示的酸化物層形成プロセス
[0023]図1は、発明の実施形態に従って基板上に酸化物層を形成する方法100におけるステップを含むフローチャートを示す図である。方法100には、堆積チャンバ102にギャップ含有基板を準備するステップが含まれる。基板は、高さと幅の高アスペクト比が約5:1以上、7:1以上、10:1以上、13:1以上、15:1以上等のギャップ、トレンチ等を含む構造がその上に形成されていてもよい。
[0024]複数の酸化シリコン層は、次に、基板104のギャップ内(と他の表面上)に形成される。酸化シリコンは、反応チャンバ内の酸素含有前駆物質と有機シリコン含有前駆物質の反応によって堆積させることができる。酸素含有前駆物質には、堆積チャンバの外部で遠隔生成された原子酸素が含まれてもよい。原子酸素は、分子酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)、炭素-酸素化合物(例えば、CO、CO等)だけでなく、他の酸素含有前駆物質や前駆物質の組合わせのような前駆物質の解離によって生成することができる。
[0025]原子酸素を生成する前駆物質の解離は、他の方法の中でも、熱解離、紫外光解離、及び/又はプラズマ解離によって行われ得る。プラズマ解離には、遠隔プラズマ生成チャンバ内でヘリウム、アルゴン等からプラズマを衝突させるステップと、プラズマに酸素前駆物質を導入して原子酸素前駆物質を生成させるステップが含まれるのがよい。
[0026]原子酸素は、まず、チャンバ内の有機シリコン前駆物質に導入することができる。有機シリコン前駆物質には、直接Si-C結合を有する化合物及び/又はSi-O-C結合を有する化合物が含まれてもよい。オルガノシランシリコン前駆物質の例としては、特に、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルジメチルジメトキシジシラン、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランが挙げられる。
[0027]有機シリコン前駆物質は、堆積チャンバへの導入前又はその間にキャリヤガスと混合されてもよい。キャリヤガスは、基板上に酸化物膜の形成を過度に妨害しない不活性ガスであってもよい。キャリヤガスの例としては、他のガスの中でも特にヘリウム、ネオン、アルゴン、水素(H)が挙げられる。
[0028]方法100の実施形態において、原子酸素と有機シリコン前駆物質は、堆積チャンバに導入される前に混合されない。前駆物質は、反応チャンバの周りに分配された空間的に分離された別のものを通ってチャンバに入ってもよい。例えば、原子酸素前駆物質は、チャンバの最上部で基板の真上に位置する注入口(又は複数の注入口)から入るのがよい。注入口から、基板の堆積面に垂直方向に酸素前駆物質のフローが送られる。一方では、シリコン前駆物質は、堆積チャンバの側面の周りの一つ以上の注入口から入るのがよい。注入口から、シリコン前駆物質のフローは堆積面とほぼ平行の方向に送られるのがよい。
[0029]実施形態は、更に、マルチポートシャワヘッドの別のポートを通って原子酸素とシリコン前駆物質を送るステップが含まれる。例えば、基板の上に位置するシャワヘッドには、前駆物質が堆積チャンバに入る開口のパターンが含まれ得る。開口の第一サブセットは原子酸素前駆物質によって供給することができ、開口の第二サブセットはシリコン前駆物質によって供給される。異なるセットの開口を通って進行する前駆物質は、堆積チャンバ内に出るまで、互いに流体的に分離され得る。前駆物質処理装置の種類と設計についての詳細は、更に、本出願と同日に出願されたPROCESSCHAMBER FORDIELECTRIC GAPFILLと称するLubomirskyよる代理人整理番号A11162/T72710を持つ共同譲渡された米国仮出願に記載され、この全開示内容はすべてのために本明細書に援用されている。
[0030]原子酸素とシリコン前駆物質が堆積チャンバ内で反応するにつれて、基板の堆積面上に酸化シリコン層を形成する。最初の酸化物層は、優れた流動性を持ち、堆積面に存在する構造内のギャップの底に急速に移動することができる。
[0031]各酸化物層が堆積された後、各エッチングステップは、不純物を除去するために層上で行うことができる。これには、より大きい有機基をより小さい炭素含有分子に解離するステップと、Si-OH結合の少なくとも一部を解離して水と酸化シリコンを形成するステップとが含まれてもよい。
[0032]複数の酸化シリコン層の堆積とエッチングに続いて、水分を更に排出し、層が密度の高い高品質の酸化物膜になるようにアニールを行うことができる。実施形態には、酸化シリコン層の個々の層すべてが堆積されエッチングされ後にアニールを行うステップが含まれる。実施形態には、更に層の一つ以上が行われた後、しかしすべての層の最後のアニールの前に、中間アニールが含まれてもよい。例えば、中間アニールは、すべての2、3、4、5等の層が堆積された後に行われ、その後すべての層の最終アニールが行われるのがよい。
[0033]図2を参照すると、本発明の実施形態による多層酸化シリコン層の製造方法200を示すフローチャートが示されている。方法200には、基板202を含有する堆積チャンバに前駆物質を導入するステップが含まれるのがよい。上述したように、前駆物質は、原子酸素前駆物質と有機シリコン前駆物質が含まれてもよい。原子酸素は、例えば、約900〜1800sccmで流れるアルゴンガスと、例えば、約600〜約1200sccmで流れる分子酸素(O)との双方のガス流に4000〜6000ワット(例えば、5500ワット)のRF電力を供給する遠隔高密度プラズマ発生装置において生成されるのがよい。
[0034]有機シリコン前駆物質は、有機シリコン化合物(ガス又は液体)とヘリウム又は分子水素(H)のようなキャリヤガスと混合によって堆積チャンバに導入することができる。例えば、ヘリウムをオクタメチルシクロテトラシロキサン(OMCTS)のような室温で液体の有機シリコン前駆物質に約600〜約2400sccmの流量で吹込み、約800〜約1600mgmの流量でチャンバにOMCTSのフローを得ることができる。
[0035]前駆物質がチャンバ内で互いに反応して、基板204上に第一酸化物層を形成する。酸化物層堆積の間のチャンバ内の全圧は、例えば、約0.5トール〜約6トールであるのがよい。より高い全圧(例えば、1.3トール)は、より流動状の品質を持つ酸化物膜を堆積することができ、より低い圧力(例えば、0.5トール)は、より共形の酸化物層を堆積することができる。原子酸素が非常に反応性であることから、反応チャンバ内の堆積温度は比較的低くてもよい(例えば、約100℃以下)。酸化物堆積速度は、約125オングストローム/分〜約2μm/分(例えば、約500オングストローム/分〜約300オングストローム/分;約1500オングストローム/分等)の範囲であるのがよい。
[0036]第一酸化物層が形成された後、前駆物質のチャンバへの流れは停止してもよく、第一酸化物層がエッチングされてもよい206。エッチングステップは、層内の不純物を解離し除去し、また、層を平坦化するために用いることができる。以下の図3の説明で述べるように、エッチングプロセスには、単一エッチングステップ、又は複数のエッチングステップが含まれてもよい。
[0037]第一層のエッチング後、前駆物質が堆積チャンバ208に再導入され、反応して、基板210上に第二酸化物層を形成する。第二酸化物層は、第一層と同じ反応条件で形成されてもよく、又は異なる条件(例えば、チャンバ圧、温度、有機シリコン前駆物質等)下で形成されてもよい。
[0038]第二層が形成された後、不純物レベルを減少させ更に/又は層を平坦化するためにエッチング212することができる。第二層は、第一層のエッチングに用いられたのと同じプロセスを用いてエッチングされてもよく、又は異なるプロセス(例えば、異なるエッチングステップの数、異なるエッチング前駆物質、異なる電力レベル等)を用いてエッチングされてもよい。
[0039]第二酸化シリコン層(とあらゆる追加の酸化物層)の形成とエッチング後、酸化物層をアニールして214、均一で高品質の酸化シリコンギャップ充填を形成することができる。最後のギャップ充填の誘電率(即ち、k値)は、4.0未満(例えば、3.5未満、3.0未満等)で、ウエットエッチング速度比(WERR)は2:1未満(例えば、約1.8:1〜1.4:1)であるのがよい。ギャップ充填は、充填容積全体に均一であるのがよく、いずれにせよボイドやシームをほとんど含有しない。
[0040]図3は、本発明の実施形態による多層酸化シリコン膜の製造方法300における二段階エッチングステップを強調するフローチャートを示す。方法300には、基板を反応チャンバ302に準備するステップと、反応チャンバ304に前駆物質(例えば、酸素とシリコン前駆物質)を導入するステップが含まれる。前駆物質は、その後、反応して、基板306上に酸化シリコン層を形成し、その後、二段階エッチングを受ける。
[0041]二段階エッチングは、酸化物層308上で第一エッチングを行うことにより開始する。この第一エッチングには、より低い密度のプラズマを用いて、より大きい有機分子を解離するとともに層における炭素の少なくとも一部を除去することが含まれてもよい。このより低い密度のプラズマエッチングには、RPSシステムを用いて、酸化物層をエッチングするAr/Oプラズマを生成することが含まれてもよい。エッチング条件には、例えば、約5500ワットの電力で1600sccmのOと400sccmのアルゴンからのプラズマを衝突させることや約760ミリトールの圧力で堆積チャンバに導入することが含まれるのがよい。このプラズマエッチングは、より大きい炭素基を解離し、酸化物層から炭素不純物を除去することができる。
[0042]第一エッチングの後、酸化物層の第二エッチングは、より高いプラズマ密度で行われ310、層内のヒドロキシル基の少なくとも一部を除去する。このより高い密度のプラズマエッチングには、より高いRF電界(例えば、6000ワット)で分子酸素(例えば600sccm)流の解離から形成されたプラズマに層をさらすことが含まれてもよい。酸素プラズマは、例えば、8ミリトールの圧力で堆積チャンバに導入することができ、酸化物層内の-OH基と反応して、二酸化シリコンと水を形成することができる。
[0043]堆積サイクルとエッチングサイクルは、繰り返すことができ、次の酸化物層312が前の層の最上部に形成される。その後、堆積されエッチングされた酸化物層を、所定の層数及び/又は膜厚に達するまで蓄積され、複数の層がアニール314される。アニールは、単一ステップ、又は複数のステップで行われてもよい。単一ステップアニールは、例えば、ほぼ乾燥した雰囲気(例えば、乾燥窒素、ヘリウム、アルゴン等)中で約300℃〜約1000℃(例えば、約600℃〜約900℃)に複数の層を加熱することによって行われるのがよい。アニールは、堆積された層から水分を除去し、更にSi-OHを酸化シリコンに変換する。
[0044]マルチステップアニールには、層が最初に、例えば、蒸気の存在下で約700℃まで層を加熱するようにウエットアニール段階を受ける二段階アニールが含まれてもよい。これに続いて、層がほとんど水分を含まない(例えば、乾燥N)雰囲気中でより高い温度(例えば、約900℃)に加熱される、乾燥アニール段階が行われるのがよい。第一ウエットアニールは、Si-C結合をSi-OH結合で更に加水分解するのを援助することができ、ドライアニールは、Si-OHを酸化シリコン結合に変換させ、水分を層から排出させる。
[0045]ウエット及びドライ熱アニールに加えて、他のアニール技術(単独で又は組み合わせて)を用いて、複数の酸化物層をアニールすることができる。これらには、特に、蒸気アニール、プラズマアニール、紫外光アニール、電子ビームアニール、及び/又はマイクロ波アニールが含まれる。
[0046]ここで図4を参照すると、本発明の実施形態による多層酸化シリコン膜の製造方法400を示す他のフローチャートが示されている。方法400は、堆積チャンバ402に基板を準備するステップと、チャンバ404に前駆物質(例えば、原子酸素と有機シリコン前駆物質)を導入するステップとを含む。前駆物質が反応して、基板406上に酸化シリコン層を形成し、その後、酸化物層をエッチング408することができる。
[0047]この点で、堆積された酸化物層の累積した厚さが設定点に達したかを決定するために検査をすることができる410。全酸化物膜の設定厚さレベルに達した場合には、堆積及びエッチングサイクルが終了してもよく、膜をアニールすることができる412。しかしながら、厚さレベルが満たされなかった場合には、他の酸化物堆積及びエッチングサイクルを行って、少なくとも一つ以上層を酸化物膜に加えることができる。
[0048]酸化物膜が所定の厚さに達したかの決定は、堆積されエッチングされた層の厚さの測定によって行われても、又は所望の膜厚を達成するのに必要な層の数の計算によって行われてもよい。例えば、それぞれの堆積されエッチングされた層が100オングストローム厚で、所望の膜厚が1.2μmである場合には、12の堆積及びエッチングサイクルが膜を形成するために行わなければならない。それぞれの堆積された層の厚さは、酸化物堆積速度に影響するパラメータ、例えば、特に、反応前駆物質の種類と流量、堆積チャンバの全圧、温度を制御することによって設定することができる。上述したように、酸化物層の典型的な堆積速度は、約500オングストローム/分〜約3000オングストローム/分(例えば、約1500オングストローム/分)である。
[0049]図5A-図5Fは、マルチサイクル堆積エッチング酸化物層形成プロセスの実施形態を用いた多層酸化シリコン膜で漸進的に充填されるギャップ構造を持つ基板を示す図である。図5Aは、ギャップ504が形成された基板502を示す図である。図5A-図5Fに示されるギャップ504が酸化物充填層の進行をより明らかに示すために、比較的低いアスペクト比で描かれていることは理解される。本ギャップ充填法の実施形態には、アスペクト比が5:1、6:1、7:1、8:1、9:1、10:1、11:1、12:1、13:1、14:1、15:1以上のギャップへのボイドやシームのない堆積が含まれるのがよい。
[0050]図5Bは、ギャップ504に堆積された第一酸化物層506aを示す図である。層を形成した酸化シリコンは、良好な流動性を持ち、膜がギャップ504の底に急速に移動することを可能にする。従って、ギャップ504の底に堆積された酸化物の厚さは、ギャップの側壁に沿って酸化物の厚さより大きくなることがある。
[0051]図5C及び図5Dは、ギャップ504内に前に堆積されエッチングされた層上に堆積された追加の酸化物層506b、506c等を示す図である。これらの追加の層は、所望の酸化物膜の厚さレベルが達するまで(例えば、ギャップ504の最上部)、ギャップ504においてボトムアップで形成することができる。
[0052]一旦最後の複数の酸化物層が堆積されエッチングされると、アニールを行って、図5Eに示されるように層を均一な膜508に形成することができる。膜は、例えば、プラズマエッチング又はCMPによって平坦化されて、ギャップ504の最上部の上に形成された堆積物質を除去することができる。図5Fは、いずれにせよボイド又はシームがほとんどない、高い膜質と誘電特性を持つ残存する酸化シリコンギャップ充填510を示す図である。
例示的基板処理システム
[0053]本発明の実施形態を実施することができる堆積システムは、他の種類のシステムの中でも、高密度プラズマ化学気相堆積(HDP-CVD)システム、プラズマ増強型化学気相堆積(PECVD)システム、大気圧未満化学気相堆積(SACVD)システム、熱化学気相堆積システムが含まれ得る。本発明の実施形態を実施することができるCVDシステムの具体例としては、カリフォルニア州サンタクララのアプライドマテリアルズから入手できるCENTURAULTIMATMHDP-CVDチャンバ/システム、PRODUCERTMPECVDチャンバ/システムが含まれる。
[0054]本発明の実施形態を使うために変更し得る適切な一基板処理システムが共同譲渡された米国特許第6,387,207号、同第6,830,624号に示され記載され、これらの開示内容はすべてに対して本明細書に援用されている。図6Aは、チャンバ壁15aとチャンバリッドアセンブリ15bが含まれる真空チャンバ又は処理チャンバ15を持つCVDシステム10の縦断面図である。
[0055]CVDシステム10は、プロセスチャンバ15内の中央にある加熱されたペデスタル12上にある基板(図示せず)へプロセスガスを分散させるためのガス分配マニホールド11を含有する。ガス分配マニホールド11は、容量性プラズマを形成するための電極として使用するために導電材料から形成され得る。処理の間、基板(例えば、半導体ウエハ)は、ペデスタル12の平坦な(又はわずかに凸状)面12aに位置する。ペデスタル12は、マニホールド11に密接に隣接する、下の装填/解放位置(図6Aに示されている)と上の処理位置(図6Aでは破線14で示されている)の間を制御可能に移動し得る。センタボード(図示せず)には、ウエハの位置に情報を与えるセンサが含まれる。
[0056]堆積とキャリヤガスは、従来の平坦な円形ガス分配フェースプレート13aの貫通した孔13bを通ってチャンバ15に導入される。より詳細には、堆積プロセスガスは、注入マニホールド11を通って、従来の貫通したブロッカープレート42を通って、その後、ガス分配フェースプレート13a内の孔13bを通ってチャンバに流れる。
[0057]マニホールド11に達する前に、堆積ガスとキャリヤガスは、ガスソース7からガス供給ライン8を通って混合システム9に流入され、そこで混合され、その後、マニホールド11に送られる。一般に、各プロセスガスのための供給ラインには、(i)自動又は手動でチャンバへのプロセスガスフローを遮断するために使用し得る安全遮断バルブ(図示せず)と、(ii)供給ラインを通ってガスフローを測定するマスフローコントローラ(図示せず)が含まれる。有毒なガスがプロセスに用いられる場合、幾つかの安全遮断バルブは従来の構造の各ガス供給ライン上に位置する。
[0058]CVDシステム10で行われる堆積プロセスは、熱プロセス又はプラズマ増強型プロセスのいずれかであり得る。プラズマ増強型プロセスにおいて、RF電源44は、ガス分配プレート13aとペデスタル12の間に電力を加えて、プロセスガス混合物を励起して、フェースプレート13aとペデスタル12の間の円筒領域内にプラズマを形成する。(この領域は、本明細書では“反応領域”と呼ぶ)。プラズマの構成要素は、ペデスタル12に支持された半導体ウエハの表面上に所望の膜を堆積させるために反応する。RF電源44は、典型的には、13.56MHzの高RF周波数(RF1)と360KHzの低RF周波数(RF2)で電力を供給して、真空チャンバ15に導入された反応種の分解を促進するために供給される混合周波数RF電源である。熱プロセスにおいて、RF電源44は用いられず、プロセスガス混合物が熱的に反応して、反応のための熱エネルギーを供給するために抵抗加熱されるペデスタル12上に支持された半導体ウエハの表面上に所望の膜を堆積させる。
[0059]プラズマ増強型堆積プロセスの間、プラズマは、排気通路23と遮断バルブ24を取り囲んでいるチャンバ本体15aの壁を含むプロセスチャンバ10全体を加熱する。熱堆積プロセスのときに又は熱堆積プロセスの間にプラズマが出ない場合、熱い液体をプロセスチャンバ15の壁15aを通って循環させて、チャンバをの高温に維持する。チャンバ壁15aの残りの通路は、図示されていない。チャンバ壁15aを加熱するために用いられる流体には、典型的な流体タイプ、即ち、水ベースのエチレングリコール又は油ベースの熱伝達流体が含まれる。この加熱(“熱交換”による加熱と呼ばれる)は、有益には望まない反応生成物の凝縮を減少又は除去し且つプロセスガスの揮発性生成物と冷却真空通路の壁に凝縮するとともにガスフローのない期間処理チャンバに移動する場合にはプロセスを汚染する他の汚染物の除去を改善する。
[0060]反応副生成物を含む層内に堆積されないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバ15から排気される。詳しくは、ガスは、反応領域を取り囲む環状のスロット形オリフィス16を通って環状排気プレナム17へ排気される。環状スロット16とプレナム17は、チャンバの円筒形側壁15aの最上部(壁上の上部誘電体ライニング19を含む)と円形チャンバリッド20の底面の間の隙間によって画成される。スロットオリフィス16とプレナム17の360度の円形対称性と均一性は、ウエハ上に均一な膜を堆積するのにウエハの上のプロセスガスの均一なフローを達成するのに重要である。
[0061]排気プレナム17から、ガスは、排気プレナム17の横の拡張領域21の下に、のぞきポート(図示せず)を通り過ぎて、下向きに伸びたガス通路23を通って、真空遮断バルブ24(本体は下のチャンバ壁15aに組込まれている)を通り過ぎて、フォアライン(図示せず)を通って外部の真空ポンプ(図示せず)に接続する排出口25へ流れる。
[0062]ペデスタル12のウエハ支持プラッターは、2全回転を平行な同心円の形でするように構成された組込み単一ループ組込みヒータ素子を用いて抵抗加熱される。ヒータ素子の外部が支持プラッターの周囲に隣接して続き、内部は小さな半径を有する同心円の通路に続いている。ヒータ素子の配線は、ペデスタル12のステムを通り抜ける。
[0063]典型的には、幾つかの又は全てのチャンバライニングと、ガス注入マニホールドフェースプレートと、種々の他のリアクタハードウエアは、アルミニウム、陽極酸化アルミニウム又はセラミックのような物質からできている。このようなCVD装置の例は、Zhaoらに発行された“CVDProcessing Chamber”と称する共同譲渡された米国特許第5,558,717号に記載され、この開示内容は本明細書に全体で援用されている。
[0064]ウエハがチャンバ10の側面の挿入/取出し開口部26を通ってロボットブレード(図示せず)によってチャンバ15の本体の中と外に搬送されるように、リフト機構とモータ32(図6A)がヒータペデスタルアセンブリ12とそのウエハリフトピン12bを上下させる。モータ32は、ペデスタル12を処理位置14と下のウエハ装填位置の間で上下させる。モータ、バルブ又は供給ライン8に接続したコントローラ、ガス分配システム、スロットルバルブ、RF電源44、チャンバと基板加熱システムは、一部だけが図示されているコントロールライン36の上のコントローラシステムによって全て制御される。コントローラ34は、コントローラ34の制御下に適切なモータによって移動されるスロットバルブとサセプタのような移動式メカニカルアセンブリの位置を決定するための光学センサからのフィードバックに頼っている。
[0065]例示的実施形態において、システムコントローラには、ハードディスクドライブ(メモリ38)、フロッピーディスクドライブ、プロセッサ37が含まれる。プロセッサは、単一ボードコンピュータ(SBC)、アナログ及びデジタル入力/出力ボード、インタフェースボード、ステッパモータコントローラボードを含有する。CVDシステム10の種々のパーツは、ボード、カードゲージ、コネクタ寸法と種類を規定するVersaModular European(VME)規格にあてはまる。VME基準は、16ビットデータバスと24ビットアドレスバスを持つバス構造も規定する。
[0066]システムコントローラ34は、CVDマシンの活性の全てを制御する。システムコントローラは、メモリー38のようなコンピュータ読取可能媒体内に記憶されたコンピュータプログラムであるシステム制御ソフトウエアを実行する。好ましくは、メモリ38は、ハードディスクドライブであるが、メモリー38は、他の種類のメモリでもあり得る。コンピュータプログラムとしては、タイミング、ガスの混合物、チャンバ圧、チャンバ温度、RF電力レベル、サセプタ位置、具体的なプロセスの他のパラメータを決定する使用説明書のセットが含まれる。例えば、フロッピーディスク、又は他の適切なドライブを含む他のメモリデバイスに保存された他のコンピュタプログラムもコントローラ34を操作するために用いることができる。
[0067]基板上に膜を堆積する方法又はチャンバ15を洗浄する方法は、コントローラ34によって実行されるコンピュータプログラムプロダクトを用いて実施され得る。コンピュータプログラムコードは、あらゆる慣用のコンピュータ読取可能なプログラミング言語:例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン他によって書かれ得る。適切なプログラムコードは、慣用のテキストエディタを用いて単一ファイル又は複数のファイルに入力され、コンピュータのメモリシステムのようなコンピュータ使用可能媒体に記憶又は具体化される。入力されたコードテキストが、高いレベルの言語である場合には、コードはコンパイルされ、得られたコンパイラコードは、その後、プレコンパイルMicrosoftWindows(登録商標)ライブラリルチーンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザーはオブジェクトコードを起動し、コンピュータシステムがメモリ内のコードをロードさせる。その後、CPUは、プログラムにおいて識別されたタスクを行うためにコードを読み込み実行する。
[0068]ユーザーとコントローラ34の間のインターフェースは、一つ以上のチャンバが含まれ得る基板処理システムにおけるシステムモニタとCVDシステム10の簡易図である図6Bに示される、CRTモニター50aとライトペン50bを経由する。好ましい実施形態において、二つのモニタ50aが用いられ、一方はオペレータのクリーンルームの壁に、もう一方は使用技術者のために壁の後に取り付けられている。モニタ50aは、同一の情報を同時に表示するが、唯一のライトペン50bだけが可能である。ライトペン50bの先端の光センサは、CRTディスプレイによって放出された光を検出する。具体的なスクリーン又は機能を選択するために、オペレータはディスプレイスクリーンの指定された領域にタッチし、ペン50bのボタンを押す。タッチした領域は、強調された色に変わるか又は新しいメニュー又はスクリーンが表示され、ライトペンとディスプレイスクリーンとの間のコミュニケーションが確認される。キーボード、マウス、又は他のポインティングデバイス又はコミュニケーションデバイスのような他のデバイスは、ユーザーがコントローラ34と連通することを可能にするためにライトペン50bの代わりに又はそれに加えて用いることができる。
[0069]図6Aは、ガス分配フェースプレート13aとガス分配マニホールド11を含むプロセスチャンバ15のリッドアセンブリ15bに取り付けられた遠隔プラズマ発生装置60を示す図である。図6Aに最もよく見られるように、取付アダプタ64によって、リッドアセンブリ15b上に遠隔プラズマ発生装置60が取り付けられている。アダプタ64は、典型的には金属から製造される。混合デバイス70は、ガス分配マニホールド11(図6A)の上流側に結合されている。混合デバイス70には、混合プロセスガスのための混合ブロックのスロット74の内側に配置された混合挿入物72が含まれる。セラミックイソレータ66は、取付アダプタ64と混合デバイス70(図6A)の間に配置されている。セラミックイソレータ66は、Al(純度99%)、テフロン(登録商標)等のセラミック材料から製造され得る。取り付けられた場合、混合デバイス70とセラミックイソレータは、リッドアセンブリ15bの一部を形成し得る。イソレータ66は、金属アダプタ64を混合デバイス70とガス分配マニホールド11から絶縁して、下で更に詳述されるリッドアセンブリ15b内に形成する第二プラズマの電位を最小限にする。三方バルブ77は、直接か又は遠隔プラズマ発生装置60を通ってプロセスチャンバ15へのプロセスガスのフローを制御する。
[0070]遠隔プラズマ発生装置60は、リッドアセンブリ15bに都合よく取り付けられ、コスト的変更も時間を要する変更もなく既存のチャンバに簡単に後から取り付けられる小型内蔵ユニットであることが望ましい。適切な一ユニットは、マサチューセッツ州ウォバーンのAppliedScience andTechnology,Inc.から入手できるASTRON(登録商標)発生装置である。ASTRON(登録商標)発生装置は、プロセスガスを解離するために低電場トロイダルプラズマを用いる。一例において、プラズマは、プロセスチャンバ15内で膜堆積物を洗浄するために用いられる遊離フッ素を生成するためにNFのようなフッ素含有ガスとアルゴンのようなキャリヤガスを含むプロセスガスを解離する。
[0071]幾つかの実施形態を記載してきたが、種々の変更、代替構成、等価物が本発明の精神から逸脱することなく用いることができることは当業者に認識される。更に、本発明を不必要にあいまいにすることを避けるために多くのよく知られるプロセスと要素は記載しなかった。従って、上記説明は、本発明の範囲を制限するものとするべきではない。
[0072]ある範囲の値が示される場合、それぞれの介在値は、特に明らかに影響されない限り、その範囲の上限と下限の間で下限の単位の1/10まで詳しく開示されることは理解される。記載されたあらゆる値又は記載された範囲の介在値と記載された他のあらゆる値又は記載されたその範囲の介在値の間のそれぞれのより小さい範囲が包含される。これらのより小さい範囲の上限と下限は独立して範囲に含むか又は除外することができ、記載された範囲の詳しく除外されたあらゆる限度を条件として、いずれか、いずれでもないか又は両方の限度が含まれるそれぞれの範囲も本発明の範囲に包含される。記載された範囲が一方又は両方の限度を含む場合、それらの含まれた限度のいずれか又は両方を除外する範囲も含まれる。
[0073]本明細書に用いられ、また、添えられた特許請求の範囲において、特に明らかに影響されない限り、単数形は、複数の対象を包含する。従って、例えば、“プロセス”について述べることは、複数のこのようなプロセスにもあてはまり、“前駆物質”について述べることは、一つ以上の前駆物質及び当業者に既知のその等価物等について述べることを包含する。
[0074]また、本明細書と以下の特許請求の範囲に用いられる語“備える”、“含む”は記載された特徴、整数、成分、又はステップの存在を特定するものであるが、一つ以上の他の特徴、整数、成分、ステップ、作用又は基の存在又は追加を除外しない。
10…CVDシステム、11…ガス分配マニホールド、12…ペデスタル、12b…ウエハリフトピン、13a…フェースプレート、14…処理位置、15…プロセスチャンバ、15a…チャンバ壁、15b…チャンバリッドアセンブリ、16…環状スロット形オリフィス、17…プレナム、19…誘電体ライニング、20…円形チャンバリッド、21…横の拡張部分、23…排気通路、24…遮断バルブ、25…排出口、26…挿入/取出し開口部、32…モータ、50a…モニタ、50b…ペン、60…遠隔プラズマ発生装置、64…取付アダプタ、66…イソレータ、70…混合デバイス、72…混合挿入部。

Claims (36)

  1. 基板上のギャップを酸化シリコンで充填する方法であって:
    堆積チャンバに有機シリコン前駆物質と酸素前駆物質を導入するステップと、
    該前駆物質を反応させて、該基板上の該ギャップに第一酸化シリコン層を形成するステップと;
    該第一酸化シリコン層をエッチングして、該層内の炭素含量を減少させるステップと;
    該第一層上に第二酸化シリコン層を形成し、該第二層をエッチングして、該層内の炭素含量を減少させるステップと;
    該ギャップを充填した後、該酸化シリコン層をアニールするステップと;
    を含む、前記方法。
  2. 該酸素前駆物質が、該堆積チャンバの外部で生成される原子酸素を含む、請求項1に記載の方法。
  3. 該原子酸素が、
    アルゴンを含むガス混合物からプラズマを生成し;
    該プラズマに酸素前駆物質を導入し、ここで、該酸素前駆物質が解離して該原子酸素を形成する;
    ことにより形成される、請求項2に記載の方法。
  4. 該酸素前駆物質が、分子酸素、オゾン、及び二酸化窒素からなる群より選ばれる、請求項3に記載の方法。
  5. 該原子酸素が、
    酸素前駆物質を光解離チャンバに導入すること;
    該酸素前駆物質を紫外光にさらし、ここで、該紫外光が該酸素前駆物質を解離して原子酸素を形成すること;
    によって形成される、請求項2に記載の方法。
  6. 該有機シリコン前駆物質と該原子酸素が、該堆積チャンバに導入されるまで混合されない、請求項2に記載の方法。
  7. 該有機シリコン前駆物質が、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、またはフェニルシランを含む、請求項1に記載の方法。
  8. 該第一酸化シリコン層と該第二酸化シリコン層の厚さがそれぞれ約100オングストローム〜約200オングストロームである、請求項1に記載の方法。
  9. 該第一酸化シリコン層と該第二酸化シリコン層をエッチングするステップが:
    該層を第一密度を有する第一プラズマにさらす工程であって、該第一プラズマが該層内でより大きい炭素分子を解離する、前記工程と;
    該層を該第一密度より高い第二密度を持つ第二プラズマにさらす工程であって、該第二プラズマが該層内で酸化シリコン結合を解離する、前記工程と;
    を含む、請求項1に記載の方法。
  10. 該酸化シリコン層をアニールするステップが、乾燥非反応性ガス中で約800℃以上の温度でアニールする工程を含む、請求項1に記載の方法。
  11. 該非反応性ガスが窒素(N)であり、該温度が900℃である、請求項10に記載の方法。
  12. 該方法が該第一層と該第二層上に追加の酸化シリコン層を形成するステップを含み、各追加の酸化シリコン層の厚さが約50オングストローム〜約500オングストロームである、請求項1に記載の方法。
  13. 該追加の酸化シリコン層が、該第一酸化シリコン層と該第二酸化シリコン層と同一方法でエッチングされる、請求項12に記載の方法。
  14. 該酸化シリコン層の全厚さが約500オングストローム〜約10000オングストロームである、請求項12に記載の方法。
  15. アニールされた該酸化シリコン層のウェットエッチング速度比(WERR)が約2:1以下である、請求項1に記載の方法。
  16. アニールされた該酸化シリコン層のウェットエッチング速度比(WERR)が約1.8:1〜約1.4:1である、請求項1に記載の方法。
  17. アニールされた該酸化シリコン層のk値が、約4.0以下である、請求項1に記載の方法。
  18. 該方法が、該前駆物質を該堆積チャンバに導入する前に該基板を高密度プラズマで前処理するステップを含む、請求項1に記載の方法。
  19. 該ギャップの高さと幅のアスペクト比が、約5:1以上である、請求項1に記載の方法。
  20. 該ギャップの高さと幅のアスペクト比が、約13:1以上である、請求項1に記載の方法。
  21. 基板上に多層酸化シリコン膜を形成する方法であって:
    該基板上に複数の酸化シリコン層を形成するステップであって、各酸化シリコン層の厚さが約100オングストローム〜約200オングストロームであり、各層が:
    (i)有機シリコン前駆物質と原子酸素前駆物質を反応チャンバに導入すること;
    (ii)該前駆物質を反応させて、該基板上に該層を形成すること;
    (iii)該層をエッチングして、該層内の不純物を減少させること;
    により形成される、前記ステップと;
    該複数の層をアニールするステップと;
    を含む、前記方法。
  22. 該原子酸素前駆物質が、該堆積チャンバの外部で生成され、該有機シリコン前駆物質と該原子酸素前駆物質が該反応チャンバに導入されるまで混合されない、請求項21に記載の方法。
  23. 該層をエッチングするステップが、
    第一密度を持つ第一プラズマに該層をさらす工程であって、該第一プラズマが該層内のより大きい炭素分子を解離する、前記工程と;
    第一密度より大きい第二密度を持つ第二プラズマに該層をさらす工程であって、該第二プラズマが該層内の水酸化シリコン結合を解離する、前記工程と;
    を含む、請求項21に記載の方法。
  24. 該複数の層をアニールするステップが、熱アニール、スチームアニール、プラズマアニール、紫外光アニール、eビームアニール、又はマイクロ波アニールを含む、請求項21に記載の方法。
  25. 該複数の層をアニールするステップが、
    該基板をスチームの存在下に第一アニール温度で加熱する工程と;
    該基板を乾燥窒素中で第二アニール温度で加熱する工程と;
    を含む、請求項21に記載の方法。
  26. 該第一アニール温度が約650℃であり、該第二アニール温度が約900℃である、請求項25に記載の方法。
  27. 該複数の層のそれぞれが、約125オングストローム/分〜約2μm/分の速度で形成される、請求項21に記載の方法。
  28. 該層のそれぞれが、約3分以内にエッチングされる、請求項21に記載の方法。
  29. 該複数の層が、約30分以内にアニールされる、請求項21記載にの方法。
  30. 該複数の層のウェットエッチング速度比(WERR)が、約1.8:1〜約1.4:1である、請求項21に記載の方法。
  31. 該複数の層のk値が、約4.0以下である、請求項21に記載の方法。
  32. 該多層酸化シリコン膜の厚さのk値が、約1000オングストローム〜約3000オングストロームである、請求項21に記載の方法。
  33. ウエハ基板上でギャップのマルチサイクル酸化シリコンボトムアップギャップ充填を行うシステムであって:
    該ギャップ含有基板が保持される堆積チャンバと;
    該堆積チャンバに結合した遠隔プラズマ生成システムであって、該プラズマ生成システムを用いて原子酸素前駆物質を生成する、前記遠隔プラズマ生成システムと;
    有機シリコン前駆物質を該堆積チャンバに供給するために用いられる有機シリコン前駆物質源と;
    該原子酸素前駆物質と該シリコン前駆物質を該堆積チャンバに流すように用いられる前駆物質処理システムであって、該前駆物質処理システムが該原子酸素とシリコン前駆物質が該堆積チャンバに入る前に混合しないようにする、前記前駆物質処理システムと;
    を備える、前記システム。
  34. 該システムが、該基板上に形成された複数の酸化シリコン層をアニールするアニールシステムを更に備えている、請求項33に記載のシステム。
  35. 該アニールシステムが、熱アニールシステム、スチームアニールシステム、プラズマアニールシステム、紫外光アニールシステム、eビームアニールシステム、又はマイクロ波アニールシステムを備えている、請求項34に記載のシステム。
  36. 該システムが、高密度プラズマ化学気相堆積(HDPCVD)システムを備えている、請求項33記載の方法。
JP2009513423A 2006-05-30 2007-05-29 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス Active JP5225268B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80348106P 2006-05-30 2006-05-30
US60/803,481 2006-05-30
US11/753,968 US7902080B2 (en) 2006-05-30 2007-05-25 Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US11/753,968 2007-05-25
PCT/US2007/069899 WO2007140377A2 (en) 2006-05-30 2007-05-29 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Publications (2)

Publication Number Publication Date
JP2009539266A true JP2009539266A (ja) 2009-11-12
JP5225268B2 JP5225268B2 (ja) 2013-07-03

Family

ID=38779413

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513423A Active JP5225268B2 (ja) 2006-05-30 2007-05-29 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス

Country Status (6)

Country Link
EP (1) EP2036120A4 (ja)
JP (1) JP5225268B2 (ja)
KR (1) KR101115750B1 (ja)
CN (1) CN101454877B (ja)
TW (1) TWI366876B (ja)
WO (1) WO2007140377A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP2015046469A (ja) * 2013-08-28 2015-03-12 株式会社東芝 半導体装置の製造方法
JP2017143258A (ja) * 2016-02-03 2017-08-17 東京エレクトロン株式会社 堆積プロセス及びエッチングプロセスを使用する凸状フィーチャ及び凹状フィーチャのための選択的膜形成
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP2018533218A (ja) * 2015-10-23 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 表面毒化処理によるボトムアップ式間隙充填
JP2019501518A (ja) * 2015-11-13 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
JP7285682B2 (ja) 2013-03-12 2023-06-02 アプライド マテリアルズ インコーポレイテッド 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
CN102054734B (zh) * 2009-11-10 2013-01-30 中芯国际集成电路制造(上海)有限公司 提高晶圆沟道填充能力的方法
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8512809B2 (en) 2010-03-31 2013-08-20 General Electric Company Method of processing multilayer film
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR20150102993A (ko) * 2012-12-31 2015-09-09 에프이아이 컴파니 높은 종횡비 구조들로의 물질 증착
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (zh) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构的形成方法
SG11201506367TA (en) 2013-03-15 2015-09-29 Applied Materials Inc Apparatus and methods for pulsed photo-excited deposition and etch
US20150340274A1 (en) * 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
CN106783535A (zh) * 2016-11-28 2017-05-31 武汉新芯集成电路制造有限公司 一种改善peteos薄膜缺陷的方法和半导体结构
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102224128B1 (ko) 2019-08-05 2021-03-09 한양대학교 산학협력단 탄소 함유 박막의 증착방법
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6448425A (en) * 1987-08-18 1989-02-22 Semiconductor Energy Lab Forming method of insulating film
JPH0411730A (ja) * 1990-04-28 1992-01-16 Res Dev Corp Of Japan Cvd方法
JPH06168930A (ja) * 1992-11-30 1994-06-14 Nec Corp 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09102492A (ja) * 1995-10-03 1997-04-15 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002134494A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2005026656A (ja) * 2003-06-30 2005-01-27 Hynix Semiconductor Inc 半導体素子の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6531413B2 (en) * 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7723242B2 (en) * 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6448425A (en) * 1987-08-18 1989-02-22 Semiconductor Energy Lab Forming method of insulating film
JPH0411730A (ja) * 1990-04-28 1992-01-16 Res Dev Corp Of Japan Cvd方法
JPH06168930A (ja) * 1992-11-30 1994-06-14 Nec Corp 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09102492A (ja) * 1995-10-03 1997-04-15 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002134494A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2005026656A (ja) * 2003-06-30 2005-01-27 Hynix Semiconductor Inc 半導体素子の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP7285682B2 (ja) 2013-03-12 2023-06-02 アプライド マテリアルズ インコーポレイテッド 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
JP2015046469A (ja) * 2013-08-28 2015-03-12 株式会社東芝 半導体装置の製造方法
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP2018533218A (ja) * 2015-10-23 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 表面毒化処理によるボトムアップ式間隙充填
JP2019501518A (ja) * 2015-11-13 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
JP2017143258A (ja) * 2016-02-03 2017-08-17 東京エレクトロン株式会社 堆積プロセス及びエッチングプロセスを使用する凸状フィーチャ及び凹状フィーチャのための選択的膜形成
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes

Also Published As

Publication number Publication date
EP2036120A4 (en) 2012-02-08
WO2007140377A2 (en) 2007-12-06
EP2036120A2 (en) 2009-03-18
KR20090019865A (ko) 2009-02-25
KR101115750B1 (ko) 2012-03-07
TW200807558A (en) 2008-02-01
TWI366876B (en) 2012-06-21
WO2007140377A9 (en) 2008-10-16
CN101454877B (zh) 2012-07-04
JP5225268B2 (ja) 2013-07-03
CN101454877A (zh) 2009-06-10
WO2007140377A3 (en) 2008-08-28

Similar Documents

Publication Publication Date Title
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP4987083B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
US7825044B2 (en) Curing methods for silicon dioxide multi-layers
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US7888273B1 (en) Density gradient-free gap fill
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
US6703321B2 (en) Low thermal budget solution for PMD application using sacvd layer
US20050136684A1 (en) Gap-fill techniques
US7674684B2 (en) Deposition methods for releasing stress buildup

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101008

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120928

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130305

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130312

R150 Certificate of patent or registration of utility model

Ref document number: 5225268

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250