WO2007140377A9 - A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide - Google Patents

A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Info

Publication number
WO2007140377A9
WO2007140377A9 PCT/US2007/069899 US2007069899W WO2007140377A9 WO 2007140377 A9 WO2007140377 A9 WO 2007140377A9 US 2007069899 W US2007069899 W US 2007069899W WO 2007140377 A9 WO2007140377 A9 WO 2007140377A9
Authority
WO
WIPO (PCT)
Prior art keywords
silicon oxide
layer
precursor
plasma
layers
Prior art date
Application number
PCT/US2007/069899
Other languages
French (fr)
Other versions
WO2007140377A3 (en
WO2007140377A2 (en
Inventor
Xiaolin Chen
Srinivas D Nemani
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Xiaolin Chen
Srinivas D Nemani
Shankar Venkataraman
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/753,968 external-priority patent/US7902080B2/en
Application filed by Applied Materials Inc, Xiaolin Chen, Srinivas D Nemani, Shankar Venkataraman filed Critical Applied Materials Inc
Priority to JP2009513423A priority Critical patent/JP5225268B2/en
Priority to EP07784191A priority patent/EP2036120A4/en
Priority to CN2007800200523A priority patent/CN101454877B/en
Publication of WO2007140377A2 publication Critical patent/WO2007140377A2/en
Publication of WO2007140377A3 publication Critical patent/WO2007140377A3/en
Publication of WO2007140377A9 publication Critical patent/WO2007140377A9/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the size and distance between device structures continue to decrease.
  • the narrower widths in the gaps of the structures and the trenches between structures increases the ratio of height to width (i.e., the aspect ratio) in these formations.
  • the continued miniaturization of integrated circuit elements is shrinking the horizontal width within and between these elements faster than their vertical height.
  • gaps were relatively easy to fill with a rapid deposit of a dielectric material.
  • the deposition material would blanket the sides and bottom of the gap and continue to fill from the bottom up until the crevice or trench was fully filled.
  • aspect ratios increased however, it became more difficult to fill the deep, narrow trench without having a blockage start a void or seam in the fill volume.
  • Voids and seams in a dielectric layer cause create problems both during semiconductor device fabrication and in the finished devices.
  • the voids and seams are formed randomly in the dielectric layer and have unpredictable sizes, shapes, locations and population densities. This results in unpredictable and inconsistent post-deposition processing of the layer, such as even etching, polishing, annealing, etc.
  • the voids and seams in the finished devices also create variations in the dielectric qualities of gaps and trenches in device structures. This can result in uneven, and inferior device performance due to electrical crosstalk, charge leakage, and even shorting within and between device elements.
  • Another technique to control void formation is to increase the flowability of the deposited dielectric material.
  • a material with more flowability can more quickly fill a void or seam and prevent it from becoming a permanent defect in the fill volume.
  • Increasing the flowability of an silicon oxide dielectric material often involves adding water vapor or peroxide ⁇ e.g., H 2 O 2 ) to the mix of precursors used to form the oxide layer. The water vapor creates more Si-OH bonds in the deposited film, which impart an increased flowability to the film.
  • Embodiments of the invention include methods of filling a gap on a substrate with silicon oxide.
  • the methods may include the steps of introducing an organo-silicon precursor and an oxygen precursor to a deposition chamber, reacting the precursors to form a first silicon oxide layer in the gap on the substrate, and etching the first silicon oxide layer to reduce the carbon content in the layer.
  • the methods may also include forming a second silicon oxide layer on the first layer, and etching the second layer to reduce the carbon content in the layer.
  • the silicon oxide layers may be annealed after the gap is filled.
  • Embodiments of the invention also include methods of forming a multilayer silicon oxide film on a substrate.
  • the methods may include the steps of forming a plurality of silicon oxide layers on the substrate, where each silicon oxide layer has a thickness of about 100A to about 20 ⁇ A.
  • the layers may be formed by: (i) introducing an organo-silicon precursor and an atomic oxygen precursor to a reaction chamber, (ii) reacting the precursors to form the layer on the substrate, and (iii) etching the layer to reduce impurities in the layer.
  • the plurality of layers may then be annealed.
  • Embodiments of the invention still further include systems for performing a multicycle, silicon oxide bottom-up gapfills of gaps on wafer substrates.
  • the systems may include a deposition chamber in which the gap containing substrate is held, and a remote plasma generating system coupled to the deposition chamber, where the plasma generating system is used to generate an atomic oxygen precursor.
  • the systems may also include an organo- silicon precursor source used to supply an organo-silicon precursor to the deposition chamber, and a precursor handling system used to direct flows of the atomic oxygen precursor and the silicon precursor into the deposition chamber.
  • the precursor handling system keeps the atomic oxygen and silicon precursors from mixing before they enter the deposition chamber.
  • the system still further includes an etching system to etch individual silicon oxide layers deposited during each cycle of the multi-cycle gap fill.
  • FIG. 1 is a flowchart showing a simplified overview a multi-cycle silicon oxide layer deposition according to embodiments of the invention
  • FIG. 2 is a flowchart illustrating methods of making a multilayer silicon oxide film according to embodiments of the invention
  • FIG. 3 is a flowchart that highlights a two-stage etching step in methods of making a multilayer silicon oxide film according to embodiments of the invention
  • FIG. 4 is another flowchart illustrating methods of making a multilayer silicon oxide film according to embodiments of the invention.
  • Figs. 5A-F show a substrate having a gap structure that is progressively filled with a multilayer silicon oxide film according to embodiments of the invention
  • Fig. 6 A shows a vertical cross-sectional view of a substrate processing system that may be used to form silicon oxide layers according to embodiments of the invention
  • Fig. 6B is a simplified diagram of a system monitor/controller component of a substrate processing system according to embodiments of the invention.
  • Each oxide layer is thin enough (e.g., about 50 A to about 300 A) to allow an etch process to dissociate and remove impurities such as organic and hydroxyl groups that can adversely effect the quality and dielectric properties of the film.
  • an anneal may be done to form the layers into a high-quality, low-k silicon oxide film.
  • the silicon oxide may be formed from a reaction of highly reactive atomic oxygen and an organo-silicon precursor, such as OMCATS.
  • the atomic oxygen may first be generated outside the chamber were the deposition occurs, and kept isolated from the organo- silicon precursor until they are mixed in the chamber.
  • the resulting silicon oxide is carbon rich and highly flowable, providing a deposition film that easily flows to the bottoms of narrow gaps and trenches.
  • a subsequent oxide deposition may flow over the first layer and be etched into the next oxide layer.
  • the cycle may be repeated several more times until, for example, a gap or trench is filled from the bottom up by a plurality of silicon oxide layers. This multicycle process has been referred to as a bottom-up gapfill. Additional details about the methods, products, and systems of the invention will now be discussed.
  • Fig. 1 shows a flowchart of a simplified overview a multi-cycle silicon oxide layer deposition according to embodiments of the invention.
  • the method 100 shown includes providing a gap containing substrate to a deposition chamber 102.
  • the substrate may have structures formed thereon that include gaps, trenches, etc., with height to width aspect ratios of about 5:1 or more, 7:1 or more, 10:1 or more, 13:1 or more, 15:1 or more, etc.
  • a plurality of silicon oxide layers are then formed in the gaps (and on other surfaces) of the substrate 104.
  • the silicon oxide may be deposited by reaction an oxygen containing precursor and an organo-silicon precursor in the reaction chamber.
  • the oxygen containing precursor may include atomic oxygen that was remotely generated outside the deposition chamber.
  • the atomic oxygen may be generated by the dissociation of a precursor such as molecular oxygen (O 2 ), ozone (O 3 ), an nitrogen-oxygen compound (e.g., NO, NO 2 , N 2 O, etc.), a hydrogen-oxygen compound (e.g., H 2 O, H 2 O 2 , etc.), a carbon-oxygen compound (e.g., CO, CO 2 , etc.), as well as other oxygen containing precursors and combinations of precursors.
  • a precursor such as molecular oxygen (O 2 ), ozone (O 3 ), an nitrogen-oxygen compound (e.g., NO, NO 2 , N 2 O, etc.), a hydrogen-oxygen compound (e.g., H 2 O, H 2 O 2 , etc.), a carbon-oxygen compound (e.g., CO, CO 2 , etc.), as well as other oxygen containing precursors and combinations of precursors.
  • a precursor such as molecular oxygen (O 2 ), ozone
  • the dissociation of the precursor to generate the atomic oxygen may also be done by thermal dissociation, ultraviolet light dissociation, and/or plasma dissociation, among other methods.
  • Plasma dissociation may involve striking a plasma from helium, argon, etc., in a remote plasma generating chamber and introducing the oxygen precursor to the plasma to generate the atomic oxygen precursor.
  • the atomic oxygen may be first introduced to the organo-silicon precursor in the chamber.
  • the organo-silicon precursor may include compounds with direct Si-C bonding and/or compounds with Si-O-C bonding.
  • organosilane silicon precursors may include dimethylsilane, trimethylsilane, tetramethylsilane, diethylsilane, tetramethylorthosilicate (TMOS), tetraethylorthosilicate (TEOS), octamethyltrisiloxane (OMTS), octamethylcyclotetrasiloxane (OMCTS), tetramethylcyclotetrasiloxane (TOMCATS), DMDMOS, DEMS, methyl triethoxysilane (MTES), phenyldimethylsilane, and phenylsilane, among others.
  • TMOS tetramethylorthosilicate
  • TEOS tetraethylorthosilicate
  • the organo-silicon precursor may be mixed with a carrier gas before or during its introduction to the deposition chamber.
  • a carrier gas may be an inactive gas that does not unduly interfere with the formation of the oxide film on the substrate.
  • carrier gases include helium, neon, argon, and hydrogen (H 2 ), among other gases.
  • the atomic oxygen and organo-silicon precursors are not mixed before being introduced to the deposition chamber.
  • the precursors may enter . the chamber through separate spatially separated precursor inlets distributed around reaction chamber.
  • the atomic oxygen precursor may enter from an inlet (or inlets) at the top of the chamber and positioned directly above the substrate.
  • the inlet directs the flow of the oxygen precursor in a direction perpendicular to the substrate deposition surface.
  • the silicon precursor may enter from one or more inlets around the sides of the deposition chamber.
  • the inlets may direct the flow of the silicon precursor in a direction approximately parallel to the deposition surface.
  • Additional embodiments include sending the atomic oxygen and silicon precursors through separate ports of a multi-port showerhead.
  • a showerhead positioned above the substrate may include a pattern of openings for the precursors to enter the deposition chamber.
  • One subset of openings may be supplied by the atomic oxygen precursor, while a second subset of openings is supplied by the silicon precursor.
  • Precursors traveling through different sets of opening may be fluidly isolated from each other until exiting into the deposition chamber. Additional details about types and designs of precursor handling equipment is described in a co-assigned U.S. Provisional Patent Application having attorney docket number AOl 1162/T72700, by Lubomirsky, and titled PROCESS CHAMBER FOR DIELECTRIC GAPFILL", filed on the same day as the present application, the entire contents of which are hereby incorporated by reference for all purposes.
  • the atomic oxygen and silicon precursors react in the deposition chamber, they form the silicon oxide layer on the substrate deposition surface.
  • the initial oxide layer has excellent flowability, and can quickly migrate to the bottoms of the gaps in the structures on the substrate surface.
  • an etch step may be performed on the layer to remove impurities. This may include dissociating larger organic groups into smaller carbon containing molecules, and dissociating at least some of the Si-OH bonds to form water and silicon oxide.
  • an anneal may be performed to further drive out moisture and turn the layers into a dense, high- quality oxide film.
  • Embodiments include performing an anneal after all the individual layers of silicon oxide have been deposited and etched. Additional embodiments may include intermediate anneals after one or more of the layers are formed, but before a final anneal of all the layers. For example, intermediate anneals may be done after every, 2, 3, 4, 5, etc., layers are deposited, followed by a final anneal of all the layers.
  • the method 200 may include introducing precursors to a deposition chamber containing a substrate 202.
  • the precursors may include an atomic oxygen precursor and an organo-silicon precursor.
  • the atomic oxygen may be generated in a remote high-density plasma generator supplying 4000 to 6000 Watts ⁇ e.g., 5500 Watts) of RF power to a combined gas stream of argon gas flowing at, for example, about 900 to 1800 seem with molecular oxygen (O 2 ) flowing at, for example, about 600 to about 1200 seem.
  • the organo-silicon precursor may be introduced to the deposition chamber by mixing an organo-silicon compound (gas or liquid) with a carrier gas such as helium or molecular hydrogen (H 2 ).
  • a carrier gas such as helium or molecular hydrogen (H 2 ).
  • helium may be bubbled at a flow rate of about 600 to about 2400 seem through a room-temperature liquid organo-silicon precursor such as octamethylcyclotetrasiloxane (OMCTS) to provide a flow of OMCTS to the chamber at a rate of about 800 to about 1600 mgm.
  • OMCTS octamethylcyclotetrasiloxane
  • the precursors react with one another in the chamber to form a first oxide layer on the substrate 204.
  • the total pressure in the chamber during the oxide layer deposition may be, for example, about 0.5 Torr to about 6 Torr. Higher total pressures (e.g., 1.3 Torr) may deposit a oxide film with more flow-like qualities, while lower pressures (e.g., 0.5 Torr) may deposit a more conformal oxide layer. Because the atomic oxygen is highly reactive, the deposition temperature in the reaction chamber may be relatively low (e.g., about 100°C or less).
  • Oxide deposition rates may range from about 125 A/min to about 2 ⁇ m/min (e.g., about 500 A/min to about 3000 A/min; about 15O ⁇ A/min, etc.).
  • the thickness of the layer may be about 5 ⁇ A to about 5O ⁇ A (e.g., about IOOA to about 2O ⁇ A).
  • the flow of the precursors into the chamber may stop, and the first oxide layer may be etched 206.
  • the etching step may be used to dissociate and remove impurities in the layer, and also to planarize the layer.
  • the etching process may include a single etch step, or multiple etch steps.
  • the precursors are reintroduced to the deposition chamber 208, and react to form a second oxide layer on the substrate 210.
  • the second oxide layer may be formed under the same reaction conditions as the first layer, or may be formed under a different conditions (e.g., chamber pressure, temperature, organo- silicon precursor, etc.).
  • the second layer After the second layer has been formed it also may be etched 212 to reduced impurity levels and/or planarize the layer.
  • the second layer may be etched using the same process as used to etch the first layer, or may be etched using a different process, (e.g., different number of etching steps, different etch precursors, different power level, etc.).
  • the oxide layers may be annealed 214 to form a uniform, high-quality silicon oxide gap fill.
  • the final gapfill may have a dielectric constant (i.e., k- value) of less than 4.0 (e.g., less than about 3.5; less than about 3.0, etc.), and a wet-etch rate ratio (WERR) of less than 2:1 (e.g., about 1.8:1 to about 1.4:1).
  • the gapfill may be uniform throughout the fill volume, and contain few, if any, voids or seams.
  • Fig. 3 shows a flowchart that highlights a two-stage etching step in a method 300 of making a multilayer silicon oxide film according to embodiments of the invention.
  • the method 300 includes providing a substrate to a reaction chamber 302, and introducing precursors (e.g., oxygen and silicon precursors) to the reaction chamber 304. The precursors then react to form a silicon oxide layer on the substrate 306, which then undergoes the two- stage etch.
  • precursors e.g., oxygen and silicon precursors
  • the two-stage etch starts by conducting a first etch on the oxide layer 308.
  • This first etch may include using a lower-density plasma to dissociate larger organic molecules and remove at least a portion of the carbon in the layer.
  • This lower-density plasma etch may include using an RPS system to generate an Ar/O 2 plasma that etches the oxide layer.
  • the etch conditions may include, for example, striking a plasma from a flow of 1600 seem O 2 and 400 seem argon at a power of about 5500 Watts and introducing it to the deposition chamber at a pressure of about 760 mTorr. This plasma etch can dissociate larger carbon groups and remove carbon impurities from the oxide layer.
  • a second etch of the oxide layer is conducted 310 at a higher plasma density to remove at least a portion of the hydroxyl groups in the layer.
  • This higher-density plasma etch may include exposing the layer to a plasma formed from the dissociation of a flow of molecular oxygen (e.g., 600 seem) with a high-power RF field (e.g., 6000 Watts).
  • the oxygen plasma may be introduced to the deposition chamber at a pressure of, for example, 8 mTorr, and react with the -OH groups in the oxide layer to form silicon dioxide and water.
  • the deposition and etch cycles may be repeated with a next oxide layer 312 formed on top of the previous layer.
  • the deposited and etched oxide layers are then built up until a predetermined number of layers and/or film thickness is reached, and the plurality of layers are annealed 314.
  • the anneal may be done in a single step, or multiple steps.
  • a single step anneal may be done, for example, by heating the plurality of layers to about 300°C to about 1000 0 C (e.g., about 600 0 C to about 900°C) in a substantially dry atmosphere (e.g., dry nitrogen, helium, argon, etc.).
  • the anneal removes moisture from the deposited layer and further converts Si-OH groups into silicon oxide.
  • Multi-step anneals may include a two-step anneal where the layers first undergo a wet anneal stage, such as heating the layer to, for example, about 700°C in the presence of steam. This may be followed by a dry anneal stage, where the layers are heated to a higher temperature (e.g., about 900 0 C) in an atmosphere that is substantially free of moisture (e.g., dry N 2 ).
  • the first, wet anneal may help hydrolyze additional Si-C bonds with Si-OH bonds, while the dry anneal converts the Si-OH into silicon oxide bonds and drives off moisture from the layers.
  • annealing techniques may be used to anneal the plurality of oxide layers. These include a steam anneal, a plasma anneal, an ultraviolet light anneal, an e-beam anneal, and/or a microwave anneal, among others.
  • the method 400 includes providing a substrate to a deposition chamber 402 and introducing precursors (e.g., atomic oxygen and organo-silicon precursors) to the chamber 404.
  • precursors e.g., atomic oxygen and organo-silicon precursors
  • the precursors react to form a silicon oxide layer on the substrate 406, and then the oxide layer may be etched 408.
  • a check may be made to determine if the cumulative thickness of the deposited oxide layers has reached a preset point 410. If the preset thickness level of the total oxide film has been reached, then the deposition and etch cycle may end, and the film may be annealed 412. However, if the thickness level has not been met, then another oxide deposition and etch cycle may occur to add at least one more additional layer to the oxide film.
  • Determining whether the oxide film has reached a predetermined thickness may be done by a thickness measurement of the deposited and etched layers, or may be done by calculating the number of layers need to reach a desired film thickness. For example, if each deposited and etched layer is IOOA thick, and the desired film thickness 1.2 ⁇ m, then 12 deposition and etch cycles should be done to form the film.
  • the thickness of each deposited layer may be set by controlling the parameters that effect the oxide deposition rate, such as the types and flow rates of the reactive precursors, the total pressure in the deposition chamber, and the temperature, among other parameters. As noted above, typical deposition rates for the oxide layers are about 500A/min to about 3000A/min (e.g., about 1500A/min).
  • Figs. 5 A-F show a substrate having a gap structure that is progressively filled with a multilayer silicon oxide film using embodiments of the multicycle deposition-etch oxide layer formation process.
  • Fig. 5A shows a substrate 502 on which a gap 504 has been formed. It will be appreciated that gap 504 shown in Figs. 5A-F has been drawn with a relatively low aspect ratio to more clearly show the progression of the oxide fill layers.
  • Embodiments of the present gapfill methods may include void and seam free depositions into gaps having aspect ratios of 5:1, 6:1, 7:1, 8:1, 9:1, 10:1, 11:1, 12:1, 13:1, 14:1, and 15:1 or more.
  • Fig. 5B shows a first oxide layer 506a deposited in gap 504.
  • the silicon oxide that formed the layer has good flowability qualities, allowing the film to quickly migrate to the bottom of gap 504.
  • the thickness of the deposited oxide at the bottom of the gap 504 may be greater than the oxide thickness along the sidewalls of the gap.
  • Figs. 5C and D show additional oxide layers 506b, 506c, etc., being deposited on previously deposited and etched layers in the gap 504. These additional layers may be formed from the bottom up in the gap 504, until a desired oxide film thickness level is reached (e.g., the top of gap 504).
  • anneal may be conducted to form the layers into a uniform film 508, as shown in Fig. 5E.
  • the film may be planarized by, for example, plasma etching or CMP to remove deposition materials formed over the top of the gap 504.
  • Fig. 5F shows the remaining silicon oxide gapfill 510, having few, if any, voids or seams, and having high film-quality and dielectric characteristics.
  • Deposition systems that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) systems, plasma enhanced chemical vapor deposition (PECVD) systems, sub-atmospheric chemical vapor deposition (SACVD) systems, and thermal chemical vapor deposition systems, among other types of systems.
  • HDP-CVD high-density plasma chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • SACVD sub-atmospheric chemical vapor deposition
  • thermal chemical vapor deposition systems among other types of systems.
  • Specific examples of CVD systems include the CENTURA ULTIMATM HDP-CVD chambers/systems, and PRODUCERTM PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, California.
  • FIG. 6 A is vertical, cross-sectional views of a CVD system 10, having a vacuum or processing chamber 15 that includes a chamber wall 15a and a chamber lid assembly 15b.
  • the CVD system 10 contains a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heated pedestal 12 centered within the process chamber 15.
  • Gas distribution manifold 11 may be formed from an electrically conducting material in order to serve as an electrode for forming a capacitive plasma.
  • the substrate e.g. a semiconductor wafer
  • the pedestal 12 can be moved controllably between a lower loading/off-loading position (depicted in FIG. 6A) and an upper processing position (indicated by dashed line 14 in FIG. 6A), which is closely adjacent to the manifold 11.
  • a centerboard (not shown) includes sensors for providing information on the position of the wafers.
  • Deposition and carrier gases are introduced into the chamber 15 through perforated holes 13b of a conventional flat, circular gas distribution faceplate 13 a. More specifically, deposition process gases flow into the chamber through the inlet manifold 11, through a conventional perforated blocker plate 42 and then through holes 13b in gas distribution faceplate 13 a.
  • the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line.
  • the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
  • the deposition process performed in the CVD system 10 can be either a thermal process or a plasma-enhanced process.
  • an RF power supply 44 applies electrical power between the gas distribution faceplate 13a and the pedestal 12 so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13a and the pedestal 12. (This region will be referred to herein as the "reaction region"). Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12.
  • RF power supply 44 is a mixed frequency RF power supply that typically supplies power at a high RF frequency (RFl) of 13.56 MHz and at a low RF frequency (RF2) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15.
  • RFl high RF frequency
  • RF2 low RF frequency
  • the RF power supply 44 would not be utilized, and the process gas mixture thermally reacts to deposit the desired films on the surface of the semiconductor wafer supported on the pedestal 12, which is resistively heated to provide thermal energy for the reaction.
  • the plasma heats the entire process chamber 10, including the walls of the chamber body 15a surrounding the exhaust passageway 23 and the shut-off valve 24.
  • a hot liquid is circulated through the walls 15a of the process chamber 15 to maintain the chamber at an elevated temperature.
  • the passages in the remainder of the chamber walls 15a are not shown.
  • Fluids used to heat the chamber walls 15a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids.
  • heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • the remainder of the gas mixture that is not deposited in a layer, including reaction byproducts, is evacuated from the chamber 15 by a vacuum pump (not shown). Specifically, the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17.
  • the annular slot 16 and the plenum 17 are defined by the gap between the top of the chamber's cylindrical side wall 15a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20.
  • the 36O.degree. circular symmetry and uniformity of the slot orifice 16 and the plenum 17 are important to achieving a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.
  • the wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) is resistively heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles.
  • An outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the wiring to the heater element passes through the stem of the pedestal 12.
  • any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or ceramic.
  • An example of such a CVD apparatus is described in co-assigned U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber,” issued to Zhao et al, and hereby incorporated by reference in its entirety.
  • a lift mechanism and motor 32 raises and lowers the heater pedestal assembly 12 and its wafer lift pins 12b as wafers are transferred into and out of the body of the chamber 15 by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10.
  • the motor 32 raises and lowers pedestal 12 between a processing position 14 and a lower, wafer-loading position.
  • the motor, valves or flow controllers connected to the supply lines 8, gas delivery system, throttle valve, RF power supply 44, and chamber and substrate heating systems are all controlled by a system controller over control lines 36, of which only some are shown.
  • Controller 34 relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller 34.
  • the system controller includes a hard disk drive (memory 38), a floppy disk drive and a processor 37.
  • the processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
  • SBC single-board computer
  • Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular European
  • the VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • System controller 34 controls all of the activities of the CVD machine.
  • the system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 38.
  • a computer-readable medium such as a memory 38.
  • the memory 38 is a hard disk drive, but the memory 38 may also be other kinds of memory.
  • the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.
  • Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 34.
  • a process for depositing a film on a substrate or a process for cleaning the chamber 15 can be implemented using a computer program product that is executed by the controller 34.
  • the computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
  • FIG. 6B is a simplified diagram of the system monitor and CVD system 10 in a substrate processing system, which may include one or more chambers.
  • two monitors 50a are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians.
  • the monitors 50a simultaneously display the same information, but only one light pen 50b is enabled.
  • a light sensor in the tip of light pen 50b detects light emitted by CRT display. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen 50b.
  • the touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen.
  • Other devices such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 50b to allow the user to communicate with controller 34.
  • FIG. 6 A shows a remote plasma generator 60 mounted on the lid assembly 15b of the process chamber 15 including the gas distribution faceplate 13a and the gas distribution manifold 11.
  • a mounting adaptor 64 mounts the remote plasma generator 60 on the lid assembly 15b, as best seen in FIG. 6A.
  • the adaptor 64 is typically made of metal.
  • a mixing device 70 is coupled to the upstream side of the gas distribution manifold 11 (FIG. 6A).
  • the mixing device 70 includes a mixing insert 72 disposed inside a slot 74 of a mixing block for mixing process gases.
  • a ceramic isolator 66 is placed between the mounting adaptor 64 and the mixing device 70 (FIGS. 6A).
  • the ceramic isolator 66 may be made of a ceramic material such as Al 2 O 3 (99% purity), Teflon®, or the like. When installed, the mixing device 70 and ceramic isolator 66 may form part of the lid assembly 15b. The isolator 66 isolates the metal adaptor 64 from the mixing device 70 and gas distribution manifold 11 to minimize the potential for a secondary plasma to form in the lid assembly 15b as discussed in more detail below.
  • a three-way valve 77 controls the flow of the process gases to the process chamber 15 either directly or through the remote plasma generator 60.
  • the remote plasma generator 60 is desirably a compact, self-contained unit that can be conveniently mounted on the lid assembly 15b and be easily retrofitted onto existing chambers without costly and time-consuming modifications.
  • One suitable unit is the ASTRON® generator available from Applied Science and Technology, Inc. of Woburn, Mass.
  • the ASTRON® generator utilizes a low-field toroidal plasma to dissociate a process gas.
  • the plasma dissociates a process gas including a fluorine-containing gas such as NF 3 and a carrier gas such as argon to generate free fluorine which is used to clean film deposits in the process chamber 15.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Methods of filling a gap on a substrate with silicon oxide are described. The methods may include the steps of introducing an organo-silicon precursor and an oxygen precursor to a deposition chamber, reacting the precursors to form a first silicon oxide layer in the gap on the substrate, and etching the first silicon oxide layer to reduce the carbon content in the layer. The methods may also include forming a second silicon oxide layer on the first layer, and etching the second layer to reduce the carbon content in the second layer. The silicon oxide layers are annealed after the gap is filled.

Description

A NOVEL DEPOSITION-PLASMA CURE CYCLE PROCESS TO ENHANCE FILM QUALITY OF SILICON DIOXIDE
CROSS-REFERENCES TO RELATED APPLICATIONS [0001] This application claims the benefit of U.S. Provisional Application No. 60/803,481 filed May 30, 2006. This application is also related to co-assigned U.S. Provisional App. No. 60/803,493, by Ingle et al, filed May 30, 2006 and titled "CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN". This application is also related to U.S. Provisional Application No. 60/803,489, by Nemani et al, filed May 30, 2006 and titled "A METHOD FOR DEPOSITING AND CURING LOW-K FILMS FOR GAPFILL AND CONFORMAL FILM APPLICATIONS". In addition, this application is related to U.S. Provisional Application No. 60/803,499 by Lubomirsky, filed May 30, 2006 and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL". The entire contents of the priority U.S. Provisional patent application and the related applications are herein incorporated by reference for all purposes.
BACKGROUND OF THE INVENTION
[0002] As the device density on integrated circuits continues to increase, the size and distance between device structures continue to decrease. The narrower widths in the gaps of the structures and the trenches between structures increases the ratio of height to width (i.e., the aspect ratio) in these formations. In other words, the continued miniaturization of integrated circuit elements is shrinking the horizontal width within and between these elements faster than their vertical height.
[0003] While the ability to make device structures with ever increasing aspect ratios has allowed more of the structures (e.g., transistors, capacitors, diodes, etc.) to be packed onto the same surface area of a semiconductor chip substrate, it has also created fabrication problems. Once of these problems is the difficulty of completely filling the gaps and trenches in these structures without creating a void or seam during the filling process. Filling gaps and trenches with dielectric materials like silicon oxide is necessary to electrically isolate nearby device structures from each other. If the gaps were left empty, there would be too much electrical noise, and current leakage for the devices to operate properly (or at all).
[0004] When gap widths were larger (and aspect ratios smaller) the gaps were relatively easy to fill with a rapid deposit of a dielectric material. The deposition material would blanket the sides and bottom of the gap and continue to fill from the bottom up until the crevice or trench was fully filled. As aspect ratios increased however, it became more difficult to fill the deep, narrow trench without having a blockage start a void or seam in the fill volume.
[0005] Voids and seams in a dielectric layer cause create problems both during semiconductor device fabrication and in the finished devices. The voids and seams are formed randomly in the dielectric layer and have unpredictable sizes, shapes, locations and population densities. This results in unpredictable and inconsistent post-deposition processing of the layer, such as even etching, polishing, annealing, etc. The voids and seams in the finished devices also create variations in the dielectric qualities of gaps and trenches in device structures. This can result in uneven, and inferior device performance due to electrical crosstalk, charge leakage, and even shorting within and between device elements.
[0006] Techniques have been developed to minimize the formation of voids and seams during deposition of dielectric materials on high aspect ratio structures. These include slowing the deposition rate of the dielectric material so it stays more conformal to the sidewalls and bottom of the trench. A more conformal deposition can reduce the degree to which the deposited material builds up at the top or middle of the trench and eventually seals off the top of a void. Unfortunately however, slowing the deposition rate means increasing the deposition time, which reduces processing efficiency and production rates.
[0007] Another technique to control void formation is to increase the flowability of the deposited dielectric material. A material with more flowability can more quickly fill a void or seam and prevent it from becoming a permanent defect in the fill volume. Increasing the flowability of an silicon oxide dielectric material often involves adding water vapor or peroxide {e.g., H2O2) to the mix of precursors used to form the oxide layer. The water vapor creates more Si-OH bonds in the deposited film, which impart an increased flowability to the film. Unfortunately however, increasing the moisture level during a silicon oxide deposition can also adversely effect the properties of the deposited film, including its density (i.e., an increased wet etch rate ratio (WERR)) and dielectric properties (i.e., an increased k-value).
[0008] Thus, there remains a need for dielectric deposition systems and processes that can deposit voidless, seamless, dielectric films into gaps, trenches, and other device structures with high aspect ratios. There also remains a need for systems and processes that can deposit a dielectric materials at high deposition rates and flowability characteristics that do not adversely effect the quality of the finished fill. These and other aspects of dielectric film deposition are addressed by the present invention.
BRIEF SUMMARY OF THE INVENTION
[0009] Embodiments of the invention include methods of filling a gap on a substrate with silicon oxide. The methods may include the steps of introducing an organo-silicon precursor and an oxygen precursor to a deposition chamber, reacting the precursors to form a first silicon oxide layer in the gap on the substrate, and etching the first silicon oxide layer to reduce the carbon content in the layer. The methods may also include forming a second silicon oxide layer on the first layer, and etching the second layer to reduce the carbon content in the layer. The silicon oxide layers may be annealed after the gap is filled.
[0010] Embodiments of the invention also include methods of forming a multilayer silicon oxide film on a substrate. The methods may include the steps of forming a plurality of silicon oxide layers on the substrate, where each silicon oxide layer has a thickness of about 100A to about 20θA. The layers may be formed by: (i) introducing an organo-silicon precursor and an atomic oxygen precursor to a reaction chamber, (ii) reacting the precursors to form the layer on the substrate, and (iii) etching the layer to reduce impurities in the layer. The plurality of layers may then be annealed.
[0011] Embodiments of the invention still further include systems for performing a multicycle, silicon oxide bottom-up gapfills of gaps on wafer substrates. The systems may include a deposition chamber in which the gap containing substrate is held, and a remote plasma generating system coupled to the deposition chamber, where the plasma generating system is used to generate an atomic oxygen precursor. The systems may also include an organo- silicon precursor source used to supply an organo-silicon precursor to the deposition chamber, and a precursor handling system used to direct flows of the atomic oxygen precursor and the silicon precursor into the deposition chamber. The precursor handling system keeps the atomic oxygen and silicon precursors from mixing before they enter the deposition chamber. The system still further includes an etching system to etch individual silicon oxide layers deposited during each cycle of the multi-cycle gap fill.
[0012] Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
BRIEF DESCRIPTION OF THE DRAWINGS
[0013] A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components.
[0014] Fig. 1 is a flowchart showing a simplified overview a multi-cycle silicon oxide layer deposition according to embodiments of the invention;
[0015] Fig. 2 is a flowchart illustrating methods of making a multilayer silicon oxide film according to embodiments of the invention;
[0016] Fig. 3 is a flowchart that highlights a two-stage etching step in methods of making a multilayer silicon oxide film according to embodiments of the invention;
[0017] Fig. 4 is another flowchart illustrating methods of making a multilayer silicon oxide film according to embodiments of the invention;
[0018] Figs. 5A-F show a substrate having a gap structure that is progressively filled with a multilayer silicon oxide film according to embodiments of the invention;
[0019] Fig. 6 A shows a vertical cross-sectional view of a substrate processing system that may be used to form silicon oxide layers according to embodiments of the invention; and [0020] Fig. 6B is a simplified diagram of a system monitor/controller component of a substrate processing system according to embodiments of the invention.
DETAILED DESCRIPTION OF THE INVENTION [0021] Systems and methods are described for multilayer, multicycle depositions of silicon oxide in gaps and on surfaces of a wafer substrate. Each oxide layer is thin enough (e.g., about 50 A to about 300 A) to allow an etch process to dissociate and remove impurities such as organic and hydroxyl groups that can adversely effect the quality and dielectric properties of the film. When a plurality of the oxide layers have been deposited and etched, an anneal may be done to form the layers into a high-quality, low-k silicon oxide film.
[0022] The silicon oxide may be formed from a reaction of highly reactive atomic oxygen and an organo-silicon precursor, such as OMCATS. The atomic oxygen may first be generated outside the chamber were the deposition occurs, and kept isolated from the organo- silicon precursor until they are mixed in the chamber. The resulting silicon oxide is carbon rich and highly flowable, providing a deposition film that easily flows to the bottoms of narrow gaps and trenches. After an etch process removes at least some of the larger carbon groups and hydroxyl groups in the deposited film, a subsequent oxide deposition may flow over the first layer and be etched into the next oxide layer. The cycle may be repeated several more times until, for example, a gap or trench is filled from the bottom up by a plurality of silicon oxide layers. This multicycle process has been referred to as a bottom-up gapfill. Additional details about the methods, products, and systems of the invention will now be discussed.
Exemplary Oxide Layer Formation Processes
[0023] Fig. 1 shows a flowchart of a simplified overview a multi-cycle silicon oxide layer deposition according to embodiments of the invention. The method 100 shown includes providing a gap containing substrate to a deposition chamber 102. The substrate may have structures formed thereon that include gaps, trenches, etc., with height to width aspect ratios of about 5:1 or more, 7:1 or more, 10:1 or more, 13:1 or more, 15:1 or more, etc.
[0024] A plurality of silicon oxide layers are then formed in the gaps (and on other surfaces) of the substrate 104. The silicon oxide may be deposited by reaction an oxygen containing precursor and an organo-silicon precursor in the reaction chamber. The oxygen containing precursor may include atomic oxygen that was remotely generated outside the deposition chamber. The atomic oxygen may be generated by the dissociation of a precursor such as molecular oxygen (O2), ozone (O3), an nitrogen-oxygen compound (e.g., NO, NO2, N2O, etc.), a hydrogen-oxygen compound (e.g., H2O, H2O2, etc.), a carbon-oxygen compound (e.g., CO, CO2, etc.), as well as other oxygen containing precursors and combinations of precursors.
[0025] The dissociation of the precursor to generate the atomic oxygen may also be done by thermal dissociation, ultraviolet light dissociation, and/or plasma dissociation, among other methods. Plasma dissociation may involve striking a plasma from helium, argon, etc., in a remote plasma generating chamber and introducing the oxygen precursor to the plasma to generate the atomic oxygen precursor.
[0026] The atomic oxygen may be first introduced to the organo-silicon precursor in the chamber. The organo-silicon precursor may include compounds with direct Si-C bonding and/or compounds with Si-O-C bonding. Examples of organosilane silicon precursors may include dimethylsilane, trimethylsilane, tetramethylsilane, diethylsilane, tetramethylorthosilicate (TMOS), tetraethylorthosilicate (TEOS), octamethyltrisiloxane (OMTS), octamethylcyclotetrasiloxane (OMCTS), tetramethylcyclotetrasiloxane (TOMCATS), DMDMOS, DEMS, methyl triethoxysilane (MTES), phenyldimethylsilane, and phenylsilane, among others.
[0027] The organo-silicon precursor may be mixed with a carrier gas before or during its introduction to the deposition chamber. A carrier gas may be an inactive gas that does not unduly interfere with the formation of the oxide film on the substrate. Examples of carrier gases include helium, neon, argon, and hydrogen (H2), among other gases.
[0028] In embodiments of method 100, the atomic oxygen and organo-silicon precursors are not mixed before being introduced to the deposition chamber. The precursors may enter . the chamber through separate spatially separated precursor inlets distributed around reaction chamber. For example, the atomic oxygen precursor may enter from an inlet (or inlets) at the top of the chamber and positioned directly above the substrate. The inlet directs the flow of the oxygen precursor in a direction perpendicular to the substrate deposition surface. Meanwhile, the silicon precursor may enter from one or more inlets around the sides of the deposition chamber. The inlets may direct the flow of the silicon precursor in a direction approximately parallel to the deposition surface. [0029] Additional embodiments include sending the atomic oxygen and silicon precursors through separate ports of a multi-port showerhead. For example, a showerhead positioned above the substrate may include a pattern of openings for the precursors to enter the deposition chamber. One subset of openings may be supplied by the atomic oxygen precursor, while a second subset of openings is supplied by the silicon precursor. Precursors traveling through different sets of opening may be fluidly isolated from each other until exiting into the deposition chamber. Additional details about types and designs of precursor handling equipment is described in a co-assigned U.S. Provisional Patent Application having attorney docket number AOl 1162/T72700, by Lubomirsky, and titled PROCESS CHAMBER FOR DIELECTRIC GAPFILL", filed on the same day as the present application, the entire contents of which are hereby incorporated by reference for all purposes.
[0030] As the atomic oxygen and silicon precursors react in the deposition chamber, they form the silicon oxide layer on the substrate deposition surface. The initial oxide layer has excellent flowability, and can quickly migrate to the bottoms of the gaps in the structures on the substrate surface.
[0031] After each oxide layer is deposited, an etch step may be performed on the layer to remove impurities. This may include dissociating larger organic groups into smaller carbon containing molecules, and dissociating at least some of the Si-OH bonds to form water and silicon oxide.
[0032] Following the deposition and etching of the plurality of silicon oxide layers, an anneal may be performed to further drive out moisture and turn the layers into a dense, high- quality oxide film. Embodiments include performing an anneal after all the individual layers of silicon oxide have been deposited and etched. Additional embodiments may include intermediate anneals after one or more of the layers are formed, but before a final anneal of all the layers. For example, intermediate anneals may be done after every, 2, 3, 4, 5, etc., layers are deposited, followed by a final anneal of all the layers.
[0033] Referring now to Fig. 2, a flowchart illustrating a method 200 of making a multilayer silicon oxide film according to embodiments of the invention is shown. The method 200 may include introducing precursors to a deposition chamber containing a substrate 202. As noted above, the precursors may include an atomic oxygen precursor and an organo-silicon precursor. The atomic oxygen may be generated in a remote high-density plasma generator supplying 4000 to 6000 Watts {e.g., 5500 Watts) of RF power to a combined gas stream of argon gas flowing at, for example, about 900 to 1800 seem with molecular oxygen (O2) flowing at, for example, about 600 to about 1200 seem.
[0034] The organo-silicon precursor may be introduced to the deposition chamber by mixing an organo-silicon compound (gas or liquid) with a carrier gas such as helium or molecular hydrogen (H2). For example, helium may be bubbled at a flow rate of about 600 to about 2400 seem through a room-temperature liquid organo-silicon precursor such as octamethylcyclotetrasiloxane (OMCTS) to provide a flow of OMCTS to the chamber at a rate of about 800 to about 1600 mgm.
[0035] The precursors react with one another in the chamber to form a first oxide layer on the substrate 204. The total pressure in the chamber during the oxide layer deposition may be, for example, about 0.5 Torr to about 6 Torr. Higher total pressures (e.g., 1.3 Torr) may deposit a oxide film with more flow-like qualities, while lower pressures (e.g., 0.5 Torr) may deposit a more conformal oxide layer. Because the atomic oxygen is highly reactive, the deposition temperature in the reaction chamber may be relatively low (e.g., about 100°C or less). Oxide deposition rates may range from about 125 A/min to about 2 μm/min (e.g., about 500 A/min to about 3000 A/min; about 15OθA/min, etc.). The thickness of the layer may be about 5θA to about 5OθA (e.g., about IOOA to about 2OθA).
[0036] After the first oxide layer is formed, the flow of the precursors into the chamber may stop, and the first oxide layer may be etched 206. The etching step may be used to dissociate and remove impurities in the layer, and also to planarize the layer. As noted below in the description of Fig. 3, the etching process may include a single etch step, or multiple etch steps.
[0037] Following the etching of the first layer, the precursors are reintroduced to the deposition chamber 208, and react to form a second oxide layer on the substrate 210. The second oxide layer may be formed under the same reaction conditions as the first layer, or may be formed under a different conditions (e.g., chamber pressure, temperature, organo- silicon precursor, etc.).
[0038] After the second layer has been formed it also may be etched 212 to reduced impurity levels and/or planarize the layer. The second layer may be etched using the same process as used to etch the first layer, or may be etched using a different process, (e.g., different number of etching steps, different etch precursors, different power level, etc.). [0039] Following the formation and etching of the second silicon oxide layer (and any additional oxide layers) the oxide layers may be annealed 214 to form a uniform, high-quality silicon oxide gap fill. The final gapfill may have a dielectric constant (i.e., k- value) of less than 4.0 (e.g., less than about 3.5; less than about 3.0, etc.), and a wet-etch rate ratio (WERR) of less than 2:1 (e.g., about 1.8:1 to about 1.4:1). The gapfill may be uniform throughout the fill volume, and contain few, if any, voids or seams.
[0040] Fig. 3 shows a flowchart that highlights a two-stage etching step in a method 300 of making a multilayer silicon oxide film according to embodiments of the invention. The method 300 includes providing a substrate to a reaction chamber 302, and introducing precursors (e.g., oxygen and silicon precursors) to the reaction chamber 304. The precursors then react to form a silicon oxide layer on the substrate 306, which then undergoes the two- stage etch.
[0041] The two-stage etch starts by conducting a first etch on the oxide layer 308. This first etch may include using a lower-density plasma to dissociate larger organic molecules and remove at least a portion of the carbon in the layer. This lower-density plasma etch may include using an RPS system to generate an Ar/O2 plasma that etches the oxide layer. The etch conditions may include, for example, striking a plasma from a flow of 1600 seem O2 and 400 seem argon at a power of about 5500 Watts and introducing it to the deposition chamber at a pressure of about 760 mTorr. This plasma etch can dissociate larger carbon groups and remove carbon impurities from the oxide layer.
[0042] Following the first etch, a second etch of the oxide layer is conducted 310 at a higher plasma density to remove at least a portion of the hydroxyl groups in the layer. This higher-density plasma etch may include exposing the layer to a plasma formed from the dissociation of a flow of molecular oxygen (e.g., 600 seem) with a high-power RF field (e.g., 6000 Watts). The oxygen plasma may be introduced to the deposition chamber at a pressure of, for example, 8 mTorr, and react with the -OH groups in the oxide layer to form silicon dioxide and water.
[0043] The deposition and etch cycles may be repeated with a next oxide layer 312 formed on top of the previous layer. The deposited and etched oxide layers are then built up until a predetermined number of layers and/or film thickness is reached, and the plurality of layers are annealed 314. The anneal may be done in a single step, or multiple steps. A single step anneal may be done, for example, by heating the plurality of layers to about 300°C to about 10000C (e.g., about 6000C to about 900°C) in a substantially dry atmosphere (e.g., dry nitrogen, helium, argon, etc.). The anneal removes moisture from the deposited layer and further converts Si-OH groups into silicon oxide.
[0044] Multi-step anneals may include a two-step anneal where the layers first undergo a wet anneal stage, such as heating the layer to, for example, about 700°C in the presence of steam. This may be followed by a dry anneal stage, where the layers are heated to a higher temperature (e.g., about 9000C) in an atmosphere that is substantially free of moisture (e.g., dry N2). The first, wet anneal, may help hydrolyze additional Si-C bonds with Si-OH bonds, while the dry anneal converts the Si-OH into silicon oxide bonds and drives off moisture from the layers.
[0045] In addition to wet and dry thermal annealing, other annealing techniques (alone or in combination) may be used to anneal the plurality of oxide layers. These include a steam anneal, a plasma anneal, an ultraviolet light anneal, an e-beam anneal, and/or a microwave anneal, among others.
[0046] Referring now to Fig. 4, another flowchart illustrating a method 400 of making a multilayer silicon oxide film according to embodiments of the invention is shown. The method 400 includes providing a substrate to a deposition chamber 402 and introducing precursors (e.g., atomic oxygen and organo-silicon precursors) to the chamber 404. The precursors react to form a silicon oxide layer on the substrate 406, and then the oxide layer may be etched 408.
[0047] At this point, a check may be made to determine if the cumulative thickness of the deposited oxide layers has reached a preset point 410. If the preset thickness level of the total oxide film has been reached, then the deposition and etch cycle may end, and the film may be annealed 412. However, if the thickness level has not been met, then another oxide deposition and etch cycle may occur to add at least one more additional layer to the oxide film.
[0048] Determining whether the oxide film has reached a predetermined thickness may be done by a thickness measurement of the deposited and etched layers, or may be done by calculating the number of layers need to reach a desired film thickness. For example, if each deposited and etched layer is IOOA thick, and the desired film thickness 1.2 μm, then 12 deposition and etch cycles should be done to form the film. The thickness of each deposited layer may be set by controlling the parameters that effect the oxide deposition rate, such as the types and flow rates of the reactive precursors, the total pressure in the deposition chamber, and the temperature, among other parameters. As noted above, typical deposition rates for the oxide layers are about 500A/min to about 3000A/min (e.g., about 1500A/min).
[0049] Figs. 5 A-F show a substrate having a gap structure that is progressively filled with a multilayer silicon oxide film using embodiments of the multicycle deposition-etch oxide layer formation process. Fig. 5A shows a substrate 502 on which a gap 504 has been formed. It will be appreciated that gap 504 shown in Figs. 5A-F has been drawn with a relatively low aspect ratio to more clearly show the progression of the oxide fill layers. Embodiments of the present gapfill methods may include void and seam free depositions into gaps having aspect ratios of 5:1, 6:1, 7:1, 8:1, 9:1, 10:1, 11:1, 12:1, 13:1, 14:1, and 15:1 or more.
[0050] Fig. 5B shows a first oxide layer 506a deposited in gap 504. The silicon oxide that formed the layer has good flowability qualities, allowing the film to quickly migrate to the bottom of gap 504. Thus, the thickness of the deposited oxide at the bottom of the gap 504 may be greater than the oxide thickness along the sidewalls of the gap.
[0051] Figs. 5C and D show additional oxide layers 506b, 506c, etc., being deposited on previously deposited and etched layers in the gap 504. These additional layers may be formed from the bottom up in the gap 504, until a desired oxide film thickness level is reached (e.g., the top of gap 504).
[0052] Once the last of the plurality of the oxide layers is deposited and etched, an anneal may be conducted to form the layers into a uniform film 508, as shown in Fig. 5E. The film may be planarized by, for example, plasma etching or CMP to remove deposition materials formed over the top of the gap 504. Fig. 5F shows the remaining silicon oxide gapfill 510, having few, if any, voids or seams, and having high film-quality and dielectric characteristics.
Exemplary Substrate Processing System
[0053] Deposition systems that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) systems, plasma enhanced chemical vapor deposition (PECVD) systems, sub-atmospheric chemical vapor deposition (SACVD) systems, and thermal chemical vapor deposition systems, among other types of systems. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA™ HDP-CVD chambers/systems, and PRODUCER™ PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, California.
[0054] One suitable substrate processing system in which can be modified to utilize embodiments in accordance with the present invention is shown and described in co-assigned U.S. Pat. Nos. 6,387,207 and 6,830,624, which are incorporated herein by reference for all purposes. FIG. 6 A is vertical, cross-sectional views of a CVD system 10, having a vacuum or processing chamber 15 that includes a chamber wall 15a and a chamber lid assembly 15b.
[0055] The CVD system 10 contains a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heated pedestal 12 centered within the process chamber 15. Gas distribution manifold 11 may be formed from an electrically conducting material in order to serve as an electrode for forming a capacitive plasma. During processing, the substrate (e.g. a semiconductor wafer) is positioned on a flat (or slightly convex) surface 12a of the pedestal 12. The pedestal 12 can be moved controllably between a lower loading/off-loading position (depicted in FIG. 6A) and an upper processing position (indicated by dashed line 14 in FIG. 6A), which is closely adjacent to the manifold 11. A centerboard (not shown) includes sensors for providing information on the position of the wafers.
[0056] Deposition and carrier gases are introduced into the chamber 15 through perforated holes 13b of a conventional flat, circular gas distribution faceplate 13 a. More specifically, deposition process gases flow into the chamber through the inlet manifold 11, through a conventional perforated blocker plate 42 and then through holes 13b in gas distribution faceplate 13 a.
[0057] Before reaching the manifold 11, deposition and carrier gases are input from gas sources 7 through gas supply lines 8 into a mixing system 9 where they are combined and then sent to manifold 11. Generally, the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line. When toxic gases are used in the process, the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
[0058] The deposition process performed in the CVD system 10 can be either a thermal process or a plasma-enhanced process. In a plasma-enhanced process, an RF power supply 44 applies electrical power between the gas distribution faceplate 13a and the pedestal 12 so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13a and the pedestal 12. (This region will be referred to herein as the "reaction region"). Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12. RF power supply 44 is a mixed frequency RF power supply that typically supplies power at a high RF frequency (RFl) of 13.56 MHz and at a low RF frequency (RF2) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15. In a thermal process, the RF power supply 44 would not be utilized, and the process gas mixture thermally reacts to deposit the desired films on the surface of the semiconductor wafer supported on the pedestal 12, which is resistively heated to provide thermal energy for the reaction.
[0059] During a plasma-enhanced deposition process, the plasma heats the entire process chamber 10, including the walls of the chamber body 15a surrounding the exhaust passageway 23 and the shut-off valve 24. When the plasma is not turned on or during a thermal deposition process, a hot liquid is circulated through the walls 15a of the process chamber 15 to maintain the chamber at an elevated temperature. The passages in the remainder of the chamber walls 15a are not shown. Fluids used to heat the chamber walls 15a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids. This heating (referred to as heating by the "heat exchanger") beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
[0060] The remainder of the gas mixture that is not deposited in a layer, including reaction byproducts, is evacuated from the chamber 15 by a vacuum pump (not shown). Specifically, the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17. The annular slot 16 and the plenum 17 are defined by the gap between the top of the chamber's cylindrical side wall 15a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20. The 36O.degree. circular symmetry and uniformity of the slot orifice 16 and the plenum 17 are important to achieving a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer. [0061] From the exhaust plenum 17, the gases flow underneath a lateral extension portion 21 of the exhaust plenum 17, past a viewing port (not shown), through a downward-extending gas passage 23, past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15a), and into the exhaust outlet 25 that connects to the external vacuum pump (not shown) through a foreline (also not shown).
[0062] The wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) is resistively heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal 12.
[0063] Typically, any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or ceramic. An example of such a CVD apparatus is described in co-assigned U.S. Pat. No. 5,558,717 entitled "CVD Processing Chamber," issued to Zhao et al, and hereby incorporated by reference in its entirety.
[0064] A lift mechanism and motor 32 (FIG. 6A) raises and lowers the heater pedestal assembly 12 and its wafer lift pins 12b as wafers are transferred into and out of the body of the chamber 15 by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10. The motor 32 raises and lowers pedestal 12 between a processing position 14 and a lower, wafer-loading position. The motor, valves or flow controllers connected to the supply lines 8, gas delivery system, throttle valve, RF power supply 44, and chamber and substrate heating systems are all controlled by a system controller over control lines 36, of which only some are shown. Controller 34 relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller 34.
[0065] hi the exemplary embodiment, the system controller includes a hard disk drive (memory 38), a floppy disk drive and a processor 37. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
[0066] System controller 34 controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 38. Preferably, the memory 38 is a hard disk drive, but the memory 38 may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 34.
[0067] A process for depositing a film on a substrate or a process for cleaning the chamber 15 can be implemented using a computer program product that is executed by the controller 34. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
[0068] The interface between a user and the controller 34 is via a CRT monitor 50a and light pen 50b, shown in FIG. 6B, which is a simplified diagram of the system monitor and CVD system 10 in a substrate processing system, which may include one or more chambers. In the preferred embodiment two monitors 50a are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The monitors 50a simultaneously display the same information, but only one light pen 50b is enabled. A light sensor in the tip of light pen 50b detects light emitted by CRT display. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen 50b. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 50b to allow the user to communicate with controller 34.
[0069] FIG. 6 A shows a remote plasma generator 60 mounted on the lid assembly 15b of the process chamber 15 including the gas distribution faceplate 13a and the gas distribution manifold 11. A mounting adaptor 64 mounts the remote plasma generator 60 on the lid assembly 15b, as best seen in FIG. 6A. The adaptor 64 is typically made of metal. A mixing device 70 is coupled to the upstream side of the gas distribution manifold 11 (FIG. 6A). The mixing device 70 includes a mixing insert 72 disposed inside a slot 74 of a mixing block for mixing process gases. A ceramic isolator 66 is placed between the mounting adaptor 64 and the mixing device 70 (FIGS. 6A). The ceramic isolator 66 may be made of a ceramic material such as Al2O3 (99% purity), Teflon®, or the like. When installed, the mixing device 70 and ceramic isolator 66 may form part of the lid assembly 15b. The isolator 66 isolates the metal adaptor 64 from the mixing device 70 and gas distribution manifold 11 to minimize the potential for a secondary plasma to form in the lid assembly 15b as discussed in more detail below. A three-way valve 77 controls the flow of the process gases to the process chamber 15 either directly or through the remote plasma generator 60.
[0070] The remote plasma generator 60 is desirably a compact, self-contained unit that can be conveniently mounted on the lid assembly 15b and be easily retrofitted onto existing chambers without costly and time-consuming modifications. One suitable unit is the ASTRON® generator available from Applied Science and Technology, Inc. of Woburn, Mass. The ASTRON® generator utilizes a low-field toroidal plasma to dissociate a process gas. hi one example, the plasma dissociates a process gas including a fluorine-containing gas such as NF3 and a carrier gas such as argon to generate free fluorine which is used to clean film deposits in the process chamber 15.
[0071] Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention. [0072] Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
[0073] As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a process" includes a plurality of such processes and reference to "the precursor" includes reference to one or more precursors and equivalents thereof known to those skilled in the art, and so forth.
[0074] Also, the words "comprise," "comprising," "include," "including," and "includes" when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

WHAT IS CLAIMED IS:
1. A method of filling a gap on a substrate with silicon oxide, the method comprising: introducing an organo-silicon precursor and an oxygen precursor to a deposition chamber; reacting the precursors to form a first silicon oxide layer in the gap on the substrate; etching the first silicon oxide layer to reduce the carbon content in the layer; forming a second silicon oxide layer on the first layer, and etching the second layer to reduce the carbon content in the layer; and annealing the silicon oxide layers after the gap is filled.
2. The method of claim 1, wherein the oxygen precursor comprises atomic oxygen that is generated outside the deposition chamber.
3. The method of claim 2, wherein the atomic oxygen is formed by: forming a plasma from a gas mixture comprising argon; and introducing an oxygen precursor to the plasma, wherein the oxygen precursor dissociates to form the atomic oxygen.
4. The method of claim 3, wherein the oxygen precursor is selected from the group consisting of molecular oxygen, ozone, and nitrogen dioxide.
5. The method of claim 2, wherein the atomic oxygen is formed by: introducing an oxygen precursor into a photodissociation chamber; and exposing the oxygen precursor to ultraviolet light, wherein the ultraviolet light dissociates the oxygen precursor to form atomic oxygen.
6. The method of claim 2, wherein the organo-silicon precursor and the atomic oxygen are not mixed until after being introduced into the deposition chamber.
7. The method of claim 1, wherein the organo-silicon precursor comprises dimethylsilane, trimethylsilane, tetramethylsilane, diethylsilane, tetramethylorthosilicate (TMOS), tetraethylorthosilicate (TEOS), octamethyltrisiloxane (OMTS), octamethylcyclotetrasiloxane (OMCTS), tetramethylcyclotetrasiloxane (TOMCATS), DMDMOS, DEMS, methyl triethoxysilane (MTES), phenyldimethylsilane, or phenylsilane.
8. The method of claim 1 , wherein the first and second silicon oxide layers each have a thickness from about IOOA to about 200 A.
9. The method of claim 1, wherein the etching of the first and second silicon oxide layers comprises: exposing the layer to a first plasma having a first density, wherein the first plasma dissociates larger carbon molecules in the layer; and exposing the layer to a second plasma having a second density that is higher than the first density, wherein the second plasma dissociates silicon-hydroxide bonds in the layer.
10. The method of claim 1 , wherein the annealing of the silicon oxide layers comprises an anneal in a dry non-reactive gas at a temperature of about 8000C or more.
11. The method of claim 10, wherein the non-reactive gas is nitrogen (N2) and the temperature is 9000C.
12. The method of claim 1 , wherein the method includes forming additional silicon oxide layers on the first and second layers, and wherein each additional silicon oxide layer has a thickness of about 5θA to about 500 A.
13. The method of claim 12, wherein the additional silicon oxide layers are etched in the same manner as the first and second silicon oxide layers.
14. The method of claim 12, wherein a total thickness of the silicon oxide layers is about 5OθA to about 10,000A.
15. The method of claim 1, wherein the annealed silicon oxide layers have a wet etch rate ratio (WERR) of about 2: 1 or less.
16. The method of claim 1 , wherein the annealed silicon oxide layers have a wet etch rate ratio (WERR) of about 1.8 : 1 to about 1.4:1.
17. The method of claim 1, wherein the annealed silicon oxide layers have a k-value of about 4.0 or less.
18. The method of claim 1 , wherein the method further comprises pretreating the substrate with a high-density plasma before introducing the precursors to the deposition chamber.
19. The method of claim 1, wherein the gap has a height to width aspect ratio of about 5:1 or more.
20. The method of claim 1, wherein the gap has a height to width aspect ratio of about 13 : 1 or more.
21. A method of forming a multilayer silicon oxide film on a substrate, the method comprising: forming a plurality of silicon oxide layers on the substrate, wherein each silicon oxide layer has a thickness of about IOOA to about 2OθA, and wherein each layer is formed by; (i) introducing an organo-silicon precursor and an atomic oxygen precursor to a reaction chamber, (ii) reacting the precursors to form the layer on the substrate, and (iii) etching the layer to reduce impurities in the layer; and annealing the plurality of layers.
22. The method of claim 21 , wherein the atomic oxygen precursor is generated outside the deposition chamber, and wherein the organo-silicon and atomic oxygen precursors are not mixed until after being introduced into the reaction chamber.
23. The method of claim 21, wherein the etching of the layers comprises: exposing the layer to a first plasma having a first density, wherein the first plasma dissociates larger carbon molecules in the layer; and exposing the layer to a second plasma having a second density that is higher than the first density, wherein the second plasma dissociates silicon-hydroxide bonds in the layer.
24. The method of claim 21 , wherein the annealing of the plurality of layers comprises a thermal anneal, a steam anneal, a plasma anneal, an ultraviolet light anneal, an e-beam anneal, or a microwave anneal.
25. The method of claim 21 , wherein the annealing of the plurality of layers comprises: heating the substrate at a first anneal temperature in the presence of steam; and heating the substrate at a second anneal temperature in dry nitrogen.
26. The method of claim 25, wherein the first anneal temperature is about 650°C and the second anneal temperature is about 900°C.
27. The method of claim 21 , wherein each of the plurality of layers is formed at a rate of about 125 A/min to about 2 μm/min.
28. The method of claim 21 , wherein each of the layers is etched in about 3 minutes or less.
29. The method of claim 21 , wherein the plurality of layers is annealed in about 30 minutes or less.
30. The method of claim 21 , wherein the plurality of layers have a wet etch rate ratio (WERR) of about 1.8 : 1 to about 1.4:1.
31. The method of claim 21 , wherein the plurality of layers have a k- value of about 4.0 or less.
32. The method of claim 21 , wherein the multilayer silicon oxide film has a thickness of about 1 OOOA to about 3000A.
33. A system for performing a multi-cycle, silicon oxide bottom-up gap fill of gaps on a wafer substrate, the system comprising: a deposition chamber in which the gap containing substrate is held; a remote plasma generating system coupled to the deposition chamber, wherein the plasma generating system is used to generate an atomic oxygen precursor; an organo-silicon precursor source used to supply an organo-silicon precursor to the deposition chamber; a precursor handling system used to direct flows of the atomic oxygen precursor and the silicon precursor into the deposition chamber, wherein the precursor handling system keeps the atomic oxygen and silicon precursors from mixing before they enter the deposition chamber; and an etching system to etch individual silicon oxide layers deposited during each cycle of the multi-cycle gapfill.
34. The system of claim 33, wherein the system further comprises an annealing system to anneal a plurality of silicon oxide layers formed on the substrate.
35. The system of claim 34, wherein the annealing system comprises a thermal annealing system, a steam annealing system, a plasma annealing system, an ultraviolet light annealing system, an e-beam annealing system, or a microwave annealing system.
36. The method of claim 33, wherein the system comprises a high-density plasma chemical vapor deposition (HDPCVD) system.
PCT/US2007/069899 2006-05-30 2007-05-29 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide WO2007140377A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009513423A JP5225268B2 (en) 2006-05-30 2007-05-29 A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality
EP07784191A EP2036120A4 (en) 2006-05-30 2007-05-29 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
CN2007800200523A CN101454877B (en) 2006-05-30 2007-05-29 Novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US80348106P 2006-05-30 2006-05-30
US60/803,481 2006-05-30
US11/753,968 2007-05-25
US11/753,968 US7902080B2 (en) 2006-05-30 2007-05-25 Deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Publications (3)

Publication Number Publication Date
WO2007140377A2 WO2007140377A2 (en) 2007-12-06
WO2007140377A3 WO2007140377A3 (en) 2008-08-28
WO2007140377A9 true WO2007140377A9 (en) 2008-10-16

Family

ID=38779413

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/069899 WO2007140377A2 (en) 2006-05-30 2007-05-29 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Country Status (6)

Country Link
EP (1) EP2036120A4 (en)
JP (1) JP5225268B2 (en)
KR (1) KR101115750B1 (en)
CN (1) CN101454877B (en)
TW (1) TWI366876B (en)
WO (1) WO2007140377A2 (en)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
CN102054734B (en) * 2009-11-10 2013-01-30 中芯国际集成电路制造(上海)有限公司 Method for improving filling capacity of wafer channel
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8512809B2 (en) 2010-03-31 2013-08-20 General Electric Company Method of processing multilayer film
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN104885196B (en) * 2012-12-31 2018-02-06 Fei 公司 Charged particle beam system and induce deposition using charged particle beam and fill the method in hole
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (en) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 The forming method of groove isolation construction
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201506367TA (en) 2013-03-15 2015-09-29 Applied Materials Inc Apparatus and methods for pulsed photo-excited deposition and etch
JP5943888B2 (en) * 2013-08-28 2016-07-05 株式会社東芝 Manufacturing method of semiconductor device
US20150340274A1 (en) * 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20160061129A (en) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 Method of fabricating stacked film
KR102655396B1 (en) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 Cyclic sequential processes to form high quality thin films
KR102527897B1 (en) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 Bottom up gap-filling by surface poisoning treatment
JP6938491B2 (en) * 2015-11-13 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. Semiconductor device processing methods and semiconductor device processing systems and equipment
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
CN106783535A (en) * 2016-11-28 2017-05-31 武汉新芯集成电路制造有限公司 The method and semiconductor structure of a kind of improvement PETEOS film defects
CN110612596B (en) * 2017-04-13 2023-08-15 应用材料公司 Method and apparatus for depositing low dielectric constant films
CN109166787B (en) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 A kind of flowable chemical vapor deposition method of silicon oxide film
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
JP7487189B2 (en) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション Doped and undoped silicon carbide for gap filling and remote hydrogen plasma exposure.
KR102224128B1 (en) 2019-08-05 2021-03-09 한양대학교 산학협력단 Deposition method of carbon thin-film
JP7227122B2 (en) 2019-12-27 2023-02-21 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP7072012B2 (en) 2020-02-27 2022-05-19 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing device, and program

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616505B2 (en) * 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 Insulation film formation method
JP2980340B2 (en) * 1990-04-28 1999-11-22 科学技術振興事業団 CVD method
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (en) * 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0982696A (en) * 1995-09-18 1997-03-28 Toshiba Corp Manufacture of semiconductor device and semiconductor manufacturing equipment
JP3522917B2 (en) * 1995-10-03 2004-04-26 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
JPH11145131A (en) * 1997-03-18 1999-05-28 Toshiba Corp Manufacture of semiconductor device, semiconductor manufacturing apparatus, and semiconductor device
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4698813B2 (en) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6531413B2 (en) * 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7723242B2 (en) * 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
KR100538882B1 (en) * 2003-06-30 2005-12-23 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
JP5225268B2 (en) 2013-07-03
KR20090019865A (en) 2009-02-25
EP2036120A2 (en) 2009-03-18
CN101454877B (en) 2012-07-04
KR101115750B1 (en) 2012-03-07
TWI366876B (en) 2012-06-21
JP2009539266A (en) 2009-11-12
CN101454877A (en) 2009-06-10
WO2007140377A3 (en) 2008-08-28
EP2036120A4 (en) 2012-02-08
TW200807558A (en) 2008-02-01
WO2007140377A2 (en) 2007-12-06

Similar Documents

Publication Publication Date Title
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
KR101115750B1 (en) A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
EP2024532A2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7825044B2 (en) Curing methods for silicon dioxide multi-layers
US20110151676A1 (en) Methods of thin film process
US20070212850A1 (en) Gap-fill depositions in the formation of silicon containing dielectric materials
US20050136684A1 (en) Gap-fill techniques
US7674684B2 (en) Deposition methods for releasing stress buildup

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780020052.3

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07784191

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009513423

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020087031774

Country of ref document: KR

Ref document number: 2007784191

Country of ref document: EP