JP5225268B2 - A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality - Google Patents

A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality Download PDF

Info

Publication number
JP5225268B2
JP5225268B2 JP2009513423A JP2009513423A JP5225268B2 JP 5225268 B2 JP5225268 B2 JP 5225268B2 JP 2009513423 A JP2009513423 A JP 2009513423A JP 2009513423 A JP2009513423 A JP 2009513423A JP 5225268 B2 JP5225268 B2 JP 5225268B2
Authority
JP
Japan
Prior art keywords
layer
silicon oxide
precursor
annealing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009513423A
Other languages
Japanese (ja)
Other versions
JP2009539266A (en
Inventor
シャオリン チェン,
スリニヴァス, ディー. ネマーニ,
シャンカー ヴェンカタラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/753,968 external-priority patent/US7902080B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009539266A publication Critical patent/JP2009539266A/en
Application granted granted Critical
Publication of JP5225268B2 publication Critical patent/JP5225268B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

関連出願の相互参照Cross-reference of related applications

[0001]本出願は、2006年5月30日に出願の米国仮出願第60/803,481号の利益を主張する。この出願は、また、2006年5月30日に出願の“CHEMICALVAPOR DEPOSITIONOF HIGHQUALITY FLOW-LIKE SILICON DIOXIDEUSING ASILICON CONTAININGPRECOURSOR AND ATOMIC OXYGEN”と称するIngleらによる米国仮出願第60/803,493号に関連する。この出願は、また、2006年5月30日に出願の“AMETHOD FORDEPOSITING AND CURING LOW-K FILMSFOR GAPFILLAND CONFORMALFILM APPLICATIONS”と称するNemaniらによる米国仮出願第60/803,489号に関連する。更に、この出願は、2006年5月30日出願の“PROCESSCHAMBER FORDIELECTRIC GAPFILL”と称するLubomirskyによる米国仮出願第60/803,499号に関連する。優先権米国仮特許出願及び関連出願の全体の内容は、すべてに対して本明細書に援用されている。   [0001] This application claims the benefit of US Provisional Application No. 60 / 803,481, filed May 30, 2006. This application is also referred to as “No. 3 in the United States of America, No. 3 by the United States Application No. 3 by the“ CHEMICAL VAPOR DEPOSITIONOF HIGHQUALITY FLOW-LIKE SILICON DIOXIDUSING ASILICON CONTOURING COORSOR AND ATOMIC OXYLE et al. ”Filed on May 30, 2006. This application is also related to US Provisional Application No. 60 / 803,489 by Nemani et al., Entitled “AMETHOD FORDEPOSITING AND CURING LOW-K FILMFORGAPFILLAND CONFORMALFILM APPLICATIONS” filed on May 30, 2006. Further, this application is related to US Provisional Application No. 60 / 803,499 by Lubomirsky, named “PROCESSSCHAMBER FORDELECTRIC GAPFILL”, filed May 30, 2006. The entire contents of the priority US provisional patent application and related applications are incorporated herein by reference in their entirety.

発明の背景Background of the Invention

[0002]集積回路のデバイス密度が増加し続けるにつれて、デバイス構造間のサイズと距離は減少し続ける。構造のギャップと構造間のトレンチにおけるより狭い幅は、これらの形成において高さと幅の比(即ち、アスペクト比)を増大させる。言い換えれば、集積回路素子の小型化の継続は、これらの素子内や素子間でそれらの縦の高さよりも速く横幅を縮小している。   [0002] As the device density of integrated circuits continues to increase, the size and distance between device structures continues to decrease. Narrower widths in structure gaps and trenches between structures increase the height to width ratio (ie, aspect ratio) in their formation. In other words, the continued miniaturization of integrated circuit elements has reduced the lateral width faster than their vertical height within and between these elements.

[0003]アスペクト比を常に増大させつつデバイス構造を作るための能力によって、より多くの構造(例えば、トランジスタ、キャパシタ、ダイオード等)が半導体チップ基板の同一の表面領域に充填することを許容したが、製造の問題も生じてきた。これらの問題の一つは、充填プロセスの間、ボイド又はシームをつくらずにこれらの構造におけるギャップとトレンチを完全に充填することが難しいことである。酸化シリコンのような誘電材料でギャップとトレンチを充填することは、隣接のデバイス構造を互いに電気的に絶縁するために必要である。ギャップが空のままであった場合には、電気的雑音と、適切に(又は少しでも)作動させるデバイスの電流漏れがあまりに多かった。   [0003] The ability to create device structures while constantly increasing the aspect ratio allowed more structures (eg, transistors, capacitors, diodes, etc.) to fill the same surface area of a semiconductor chip substrate. Manufacturing problems have also arisen. One of these problems is that it is difficult to completely fill the gaps and trenches in these structures without creating voids or seams during the filling process. Filling the gap and trench with a dielectric material such as silicon oxide is necessary to electrically isolate adjacent device structures from each other. If the gap remained empty, there was too much electrical noise and current leakage of the device to operate properly (or at least).

[0004]ギャップの幅がより広い(アスペクト比がより小さい)場合、ギャップは誘電材料の急速な堆積物で充填することが比較的容易である。堆積物質は、ギャップの側面と底面を覆い、隙間又はトレンチが十分に充填されるまで、下から上に充填し続ける。しかしながら、アスペクト比が増大するにつれて、閉塞開始が充填容積内のボイド又はシームを持つことなく、深く狭いトレンチを充填することは更に難しくなった。   [0004] If the gap is wider (the aspect ratio is smaller), the gap is relatively easy to fill with a rapid deposit of dielectric material. Deposited material covers the sides and bottom of the gap and continues to fill from bottom to top until the gap or trench is fully filled. However, as the aspect ratio increased, it became more difficult to fill deep and narrow trenches without the onset of closure having voids or seams in the fill volume.

[0005]誘電体層内のボイドとシームによって、半導体デバイス製造の間や完成したデバイスの両方において問題が生じる。ボイドとシームは誘電体層内に不規則に形成され、予測できないサイズ、形状、位置、個体群密度を持つ。このことにより、一様なエッチング、研磨、アニール等の予測できない一貫性のない堆積後の層の処理が生じる。完成したデバイスにおけるボイドとシームは、デバイス構造におけるギャップとトレンチの誘電特性を変化させる。このことにより、デバイス素子内及び素子間のクロストーク、電荷漏れ、ショートによる一様でない劣悪なデバイス性能が生じ得る。   [0005] Voids and seams in dielectric layers create problems both during semiconductor device manufacturing and in finished devices. Voids and seams are randomly formed in the dielectric layer and have unpredictable size, shape, position, and population density. This results in unpredictable and inconsistent post-deposition processing such as uniform etching, polishing, annealing and the like. Voids and seams in the finished device change the dielectric properties of the gap and trench in the device structure. This can result in uneven and poor device performance due to crosstalk, charge leakage, and shorts within and between device elements.

[0006]高アスペクト比構造上に誘電材料を堆積する間のボイドとシームの形成を最少限にするための技術が開発されてきた。これらには、トレンチの側壁と底面に対してより等角のままであるように誘電材料の堆積速度を緩慢にすることが含まれる。より等角な堆積は、堆積された物質がトレンチの最上部又は中間部で蓄積し、最終的にはボイドの最上部を密封する程度を低下させ得る。しかしながら、残念なことに、堆積速度を緩慢にすることは、堆積時間の増加を意味し、処理効率と生産率を減少させる。   [0006] Techniques have been developed to minimize void and seam formation during the deposition of dielectric materials on high aspect ratio structures. These include slowing the deposition rate of the dielectric material so that it remains more conformal to the sidewalls and bottom of the trench. More conformal deposition can reduce the degree to which deposited material accumulates at the top or middle of the trench and ultimately seals the top of the void. Unfortunately, however, slowing the deposition rate means an increase in deposition time and reduces processing efficiency and production rate.

[0007]ボイド形成を制御する他の技術は、堆積した誘電材料の流動性を増加させることである。より流動性を有する物質は、ボイド又はシームをより速く充填し、充填容積内で永久的な欠陥になること防止する。酸化シリコン誘電材料の流動性の増加は、用いられる前駆物質の混合に水蒸気又は過酸化物(例えば、H)を添加して酸化物層を形成することを伴う。水蒸気は、堆積された層により多くのSi-OH結合を生成し、膜に流動性の増加を与える。しかしながら、残念なことに、酸化シリコン堆積の間の水分レベルの増加は、その密度(即ち、高ウエットエッチング速度比(WERR))や誘電特性(即ち、高k値)を含む、堆積された層の特性に不利な影響を及ぼし得る。 [0007] Another technique for controlling void formation is to increase the fluidity of the deposited dielectric material. A more fluid material will fill the void or seam faster and prevent permanent defects within the fill volume. Increasing the flowability of silicon oxide dielectric materials involves adding water vapor or peroxide (eg, H 2 O 2 ) to the mixture of precursors used to form an oxide layer. Water vapor creates more Si-OH bonds in the deposited layer, giving the film increased fluidity. Unfortunately, however, the increase in moisture levels during silicon oxide deposition is due to the deposited layer including its density (ie, high wet etch rate ratio (WERR)) and dielectric properties (ie, high k value). Can adversely affect the characteristics of

[0008]従って、ボイドのない、シームのない誘電体膜をギャップ、トレンチ、高アスペクト比を有する他のデバイス構造へ堆積させ得る誘電堆積システム及びプロセスが依然として求められている。高堆積速度及び完成した充填の品質に不利に影響しない流動特性で誘電材料を堆積させ得るシステム及びプロセスも依然として求められている。誘電膜堆積のこれらの及び他の態様は本発明によって説明される。   [0008] Accordingly, there remains a need for dielectric deposition systems and processes that can deposit void-free, seam-free dielectric films into gaps, trenches, and other device structures having high aspect ratios. There remains a need for systems and processes that can deposit dielectric materials with high deposition rates and flow characteristics that do not adversely affect the quality of the finished fill. These and other aspects of dielectric film deposition are described by the present invention.

発明の簡単な概要Brief summary of the invention

[0009]本発明の実施形態は、基板上にギャップを酸化シリコンで充填する方法を含む。方法には、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに導入するステップと、前駆物質を反応させて、基板上のギャップ内に第一酸化シリコン層を形成するステップと、第一酸化シリコン層をエッチングして、層内の炭素含量を減少させるステップと、が含まれるのがよい。方法には、また、第一層上に第二酸化シリコン層を形成するステップと、第二層をエッチングして、層内の炭素含量を減少させるステップと、が含まれるのがよい。ギャップが充填された後に酸化シリコン層をアニールするのがよい。   [0009] Embodiments of the invention include a method of filling a gap with silicon oxide on a substrate. The method includes introducing an organosilicon precursor and an oxygen precursor into a deposition chamber, reacting the precursor to form a first silicon oxide layer in a gap on the substrate, and a first silicon oxide layer. Etching to reduce the carbon content in the layer. The method may also include forming a second silicon dioxide layer on the first layer and etching the second layer to reduce the carbon content in the layer. The silicon oxide layer may be annealed after the gap is filled.

[0010]本発明の実施形態には、また、基板上に多層酸化シリコン層を形成する方法が含まれる。方法には、基板上に複数の酸化シリコン層を形成するステップであって、各酸化シリコン層の厚さが約100オングストローム〜約200オングストロームである、前記ステップが含まれるのがよい。層は、(i)有機シリコン前駆物質と原子酸素前駆物質を反応チャンバに導入し、(ii)前駆物質を反応させて、基板上に層を形成し、(iii)層をエッチングして層内の不純物を減少させることにより形成することができる。その後、複数の層をアニールすることができる。   [0010] Embodiments of the present invention also include a method of forming a multilayer silicon oxide layer on a substrate. The method may include forming a plurality of silicon oxide layers on the substrate, each silicon oxide layer having a thickness of about 100 angstroms to about 200 angstroms. The layer consists of (i) introducing an organosilicon precursor and an atomic oxygen precursor into the reaction chamber, (ii) reacting the precursor to form a layer on the substrate, and (iii) etching the layer into the layer It can be formed by reducing the impurities. Thereafter, the plurality of layers can be annealed.

[0011]本発明の実施形態には、なお更に、ウエハ基板上にマルチサイクルの酸化シリコンボトムアップギャップ充填を行うシステムが含まれる。システムには、ギャップ含有基板が保持される堆積チャンバと、堆積チャンバに結合した遠隔プラズマ生成システムであって、プラズマ生成システムを用いて原子酸素前駆物質を生成する、前記遠隔プラズマ生成システムとが含まれるのがよい。システムには、また、有機シラン前駆物質を堆積チャンバに供給するために用いられる有機シリコン前駆物質源と、原子酸素前駆物質とシリコン前駆物質を堆積チャンバに流すために用いられる前駆物質処理システムとが含まれるのがよい。前駆物質処理システムは、堆積チャンバに入る前に原子酸素とシリコン前駆物質を混合しないようにする。システムには、なお更に、マルチサイクルギャップ充填の各サイクル中に堆積される個々の酸化シリコン層をエッチングするエッチングシステムが含まれる。   [0011] Embodiments of the present invention still further include a system for performing multi-cycle silicon oxide bottom-up gap filling on a wafer substrate. The system includes a deposition chamber in which a gap-containing substrate is held, and a remote plasma generation system coupled to the deposition chamber, wherein the remote plasma generation system uses the plasma generation system to generate atomic oxygen precursors. It is good to be. The system also includes an organosilicon precursor source that is used to supply the organosilane precursor to the deposition chamber and a precursor processing system that is used to flow the atomic oxygen precursor and the silicon precursor to the deposition chamber. Should be included. The precursor processing system prevents mixing of atomic oxygen and silicon precursor before entering the deposition chamber. The system still further includes an etching system that etches the individual silicon oxide layers deposited during each cycle of multi-cycle gap filling.

[0012]追加の実施形態及び特徴は、以下の説明に一部示され、一部は、明細書の試験の際に当業者に明らかになるか又は本発明の実施によって知ることもできる。本発明の特徴と利点は、明細書に記載された手段、組合わせ、方法によって可能になり且つ得ることができる。   [0012] Additional embodiments and features are set forth in part in the following description, and in part will be apparent to those skilled in the art upon examination of the specification or may be learned by practice of the invention. The features and advantages of the invention may be realized and obtained by means of the instrumentalities, combinations, or methods described in the specification.

[0013]本発明の本質及び利点の理解は、更に、明細書と図面の残りの部分によって可能になり、ここで、同様の符号は同様の要素を示すために幾つかの図面全体に用いられる。場合によっては、サブラベルが符号と関連づけられ、複数の同様の要素の一つを示すためのハイフンに続く。存在するサブラベルに対して明記せずに符号に説明がなされている場合は、このような複数の同様の要素すべてを示すように意図されている。   [0013] An understanding of the nature and advantages of the present invention is further enabled by the remaining portions of the specification and drawings, wherein like reference numerals are used throughout several drawings to refer to like elements. . In some cases, a sublabel is associated with a code, followed by a hyphen to indicate one of a plurality of similar elements. Where a reference is made to a sub-label that is not explicitly stated, it is intended to indicate all such similar elements.

図1は、本発明の実施形態によるマルチサイクル酸化シリコン層堆積の概要を示すフローチャートである。FIG. 1 is a flowchart illustrating an overview of multi-cycle silicon oxide layer deposition according to an embodiment of the present invention. 図2は、本発明の実施形態による酸化シリコン膜の製造方法を示すフローチャートである。FIG. 2 is a flowchart illustrating a method for manufacturing a silicon oxide film according to an embodiment of the present invention. 図3は、本発明の実施形態による多層酸化シリコン膜を製造する方法における二段階エッチングステップを強調するフローチャートである。FIG. 3 is a flowchart highlighting a two-stage etching step in a method of manufacturing a multilayer silicon oxide film according to an embodiment of the present invention. 図4は、本発明の実施形態による多層酸化シリコン膜の製造方法を示す他のフローチャートである。FIG. 4 is another flowchart showing a method for manufacturing a multilayer silicon oxide film according to an embodiment of the present invention. 図5Aは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。FIG. 5A illustrates a substrate having a gap structure that is gradually filled with a multilayer silicon oxide film according to an embodiment of the present invention. 図5Aは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。FIG. 5A illustrates a substrate having a gap structure that is gradually filled with a multilayer silicon oxide film according to an embodiment of the present invention. 図5Bは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。FIG. 5B illustrates a substrate having a gap structure that is gradually filled with a multilayer silicon oxide film according to an embodiment of the present invention. 図5Cは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。FIG. 5C illustrates a substrate having a gap structure that is gradually filled with a multilayer silicon oxide film according to an embodiment of the present invention. 図5Dは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。FIG. 5D illustrates a substrate having a gap structure that is gradually filled with a multilayer silicon oxide film according to an embodiment of the present invention. 図5Eは、本発明の実施形態による多層酸化シリコン膜で漸進的充填されるギャップ構造を持つ基板を示す図である。FIG. 5E illustrates a substrate having a gap structure that is gradually filled with a multilayer silicon oxide film according to an embodiment of the present invention. 図6Aは、本発明の実施形態による酸化シリコン層を形成するために用いることができる基板処理システムを示す縦断面図である。FIG. 6A is a longitudinal cross-sectional view illustrating a substrate processing system that can be used to form a silicon oxide layer according to an embodiment of the present invention. 図6Bは、本発明の実施形態に従って基板処理システムのシステムモニタ/コントローラ要素の簡易図である。FIG. 6B is a simplified diagram of system monitor / controller elements of a substrate processing system in accordance with an embodiment of the present invention.

発明の詳細な説明Detailed Description of the Invention

[0021]ウエハ基板のギャップ内と表面上の酸化シリコンの多層、マルチサイクル堆積のためのシステム及び方法が記載される。各酸化物層は、エッチングプロセスが膜の品質と誘電特性に不利な影響を与え得る有機基やヒドロキシル基のような不純物を解離し除去するのに十分薄い(例えば、約50オングストローム〜約300オングストローム)。複数の酸化物層が堆積されエッチングされた場合、層を高品質、低k酸化シリコン膜に形成するためにアニールを行うことができる。   [0021] Systems and methods for multi-layer, multi-cycle deposition of silicon oxide within and on the gap of a wafer substrate are described. Each oxide layer is thin enough (e.g., about 50 angstroms to about 300 angstroms) that the etching process can dissociate and remove impurities such as organic groups and hydroxyl groups that can adversely affect film quality and dielectric properties. ). If multiple oxide layers are deposited and etched, annealing can be performed to form the layers into a high quality, low k silicon oxide film.

[0022]酸化シリコン層は、非常に反応性の原子酸素とOMCATSのような有機シリコン前駆物質の反応から形成することができる。原子酸素は最初に堆積が行われるチャンバの外部で生成され、チャンバ内で混合されるまで有機シリコン前駆物質から分離されたままになる。得られた酸化シリコンは、炭素を多く含み非常に流動性であり、狭いギャップやトレンチの底に容易に流れる堆積膜になる。エッチングプロセスが、堆積された膜内のより大きい炭素基やヒドロキシル基の少なくとも一部を除去した後、次に続く酸化物堆積は第一層の上を流れ、次の酸化物層の中にエッチングされることがある。例えば、ギャップ又はトレンチが複数の酸化シリコン層によって下から上に充填されるまでサイクルが数回繰り返されることがある。このマルチサイクルプロセスは、ボトムアップギャップ充填とも言われてきた。本発明の方法、製品、及びシステムについての詳細を、更にここで述べる。   [0022] The silicon oxide layer can be formed from the reaction of highly reactive atomic oxygen with an organosilicon precursor such as OMCATS. Atomic oxygen is generated outside of the chamber in which the deposition is initially performed and remains separated from the organosilicon precursor until mixed in the chamber. The obtained silicon oxide contains a large amount of carbon and is very fluid, and becomes a deposited film that easily flows to the bottom of a narrow gap or trench. After the etching process removes at least some of the larger carbon and hydroxyl groups in the deposited film, subsequent oxide deposition flows over the first layer and etches into the next oxide layer. May be. For example, the cycle may be repeated several times until the gap or trench is filled from the bottom to the top with multiple silicon oxide layers. This multi-cycle process has also been referred to as bottom-up gap filling. Further details regarding the methods, products, and systems of the present invention will now be described.

例示的酸化物層形成プロセス
[0023]図1は、発明の実施形態に従って基板上に酸化物層を形成する方法100におけるステップを含むフローチャートを示す図である。方法100には、堆積チャンバ102にギャップ含有基板を準備するステップが含まれる。基板は、高さと幅の高アスペクト比が約5:1以上、7:1以上、10:1以上、13:1以上、15:1以上等のギャップ、トレンチ等を含む構造がその上に形成されていてもよい。
Exemplary oxide layer formation process
[0023] FIG. 1 is a flowchart that includes steps in a method 100 of forming an oxide layer on a substrate according to an embodiment of the invention. The method 100 includes providing a gap-containing substrate in the deposition chamber 102. The substrate has a structure including gaps, trenches, etc. with a high aspect ratio of height to width of about 5: 1 or more, 7: 1 or more, 10: 1 or more, 13: 1 or more, 15: 1 or more, etc. May be.

[0024]複数の酸化シリコン層は、次に、基板104のギャップ内(と他の表面上)に形成される。酸化シリコンは、反応チャンバ内の酸素含有前駆物質と有機シリコン含有前駆物質の反応によって堆積させることができる。酸素含有前駆物質には、堆積チャンバの外部で遠隔生成された原子酸素が含まれてもよい。原子酸素は、分子酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)、炭素-酸素化合物(例えば、CO、CO等)だけでなく、他の酸素含有前駆物質や前駆物質の組合わせのような前駆物質の解離によって生成することができる。 [0024] A plurality of silicon oxide layers are then formed in the gap (and on other surfaces) of the substrate 104. Silicon oxide can be deposited by reaction of an oxygen-containing precursor and an organosilicon-containing precursor in the reaction chamber. The oxygen containing precursor may include atomic oxygen generated remotely outside the deposition chamber. Atomic oxygen is molecular oxygen (O 2 ), ozone (O 3 ), nitrogen-oxygen compounds (eg, NO, NO 2 , N 2 O, etc.), hydrogen-oxygen compounds (eg, H 2 O, H 2 O 2). Etc.), as well as carbon-oxygen compounds (eg, CO, CO 2, etc.), as well as other oxygen-containing precursors or precursors such as combinations of precursors.

[0025]原子酸素を生成する前駆物質の解離は、他の方法の中でも、熱解離、紫外光解離、及び/又はプラズマ解離によって行われ得る。プラズマ解離には、遠隔プラズマ生成チャンバ内でヘリウム、アルゴン等からプラズマを衝突させるステップと、プラズマに酸素前駆物質を導入して原子酸素前駆物質を生成させるステップが含まれるのがよい。   [0025] Dissociation of precursors that produce atomic oxygen may be performed by thermal dissociation, ultraviolet light dissociation, and / or plasma dissociation, among other methods. Plasma dissociation may include a step of impinging plasma from helium, argon, or the like in a remote plasma generation chamber and a step of introducing an oxygen precursor into the plasma to generate an atomic oxygen precursor.

[0026]原子酸素は、まず、チャンバ内の有機シリコン前駆物質に導入することができる。有機シリコン前駆物質には、直接Si-C結合を有する化合物及び/又はSi-O-C結合を有する化合物が含まれてもよい。オルガノシランシリコン前駆物質の例としては、特に、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルジメチルジメトキシジシラン、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランが挙げられる。   [0026] Atomic oxygen can first be introduced into the organosilicon precursor in the chamber. The organosilicon precursor may include a compound having a direct Si—C bond and / or a compound having a Si—O—C bond. Examples of organosilane silicon precursors include dimethylsilane, trimethylsilane, tetramethylsilane, diethylsilane, tetramethylorthosilicate (TMOS), tetraethylorthosilicate (TEOS), octamethyltrisiloxane (OMTS), and octamethyl. Examples include cyclotetrasiloxane (OMCTS), tetramethyldimethyldimethoxydisilane, tetramethylcyclotetrasiloxane (TOMCATS), DMDMOS, DEMS, methyltriethoxysilane (MTES), phenyldimethylsilane, and phenylsilane.

[0027]有機シリコン前駆物質は、堆積チャンバへの導入前又はその間にキャリヤガスと混合されてもよい。キャリヤガスは、基板上に酸化物膜の形成を過度に妨害しない不活性ガスであってもよい。キャリヤガスの例としては、他のガスの中でも特にヘリウム、ネオン、アルゴン、水素(H)が挙げられる。 [0027] The organosilicon precursor may be mixed with a carrier gas before or during introduction to the deposition chamber. The carrier gas may be an inert gas that does not unduly interfere with the formation of the oxide film on the substrate. Examples of carrier gases include helium, neon, argon, hydrogen (H 2 ), among other gases.

[0028]方法100の実施形態において、原子酸素と有機シリコン前駆物質は、堆積チャンバに導入される前に混合されない。前駆物質は、反応チャンバの周りに分配された空間的に分離された別のものを通ってチャンバに入ってもよい。例えば、原子酸素前駆物質は、チャンバの最上部で基板の真上に位置する注入口(又は複数の注入口)から入るのがよい。注入口から、基板の堆積面に垂直方向に酸素前駆物質のフローが送られる。一方では、シリコン前駆物質は、堆積チャンバの側面の周りの一つ以上の注入口から入るのがよい。注入口から、シリコン前駆物質のフローは堆積面とほぼ平行の方向に送られるのがよい。   [0028] In an embodiment of method 100, atomic oxygen and organosilicon precursor are not mixed before being introduced into the deposition chamber. The precursor may enter the chamber through another spatially separated one distributed around the reaction chamber. For example, the atomic oxygen precursor may enter from an inlet (or multiple inlets) located directly above the substrate at the top of the chamber. From the inlet, a flow of oxygen precursor is sent in a direction perpendicular to the deposition surface of the substrate. On the one hand, the silicon precursor may enter from one or more inlets around the side of the deposition chamber. From the inlet, the silicon precursor flow should be directed in a direction substantially parallel to the deposition surface.

[0029]実施形態は、更に、マルチポートシャワヘッドの別のポートを通って原子酸素とシリコン前駆物質を送るステップが含まれる。例えば、基板の上に位置するシャワヘッドには、前駆物質が堆積チャンバに入る開口のパターンが含まれ得る。開口の第一サブセットは原子酸素前駆物質によって供給することができ、開口の第二サブセットはシリコン前駆物質によって供給される。異なるセットの開口を通って進行する前駆物質は、堆積チャンバ内に出るまで、互いに流体的に分離され得る。前駆物質処理装置の種類と設計についての詳細は、更に、本出願と同日に出願されたPROCESSCHAMBER FORDIELECTRIC GAPFILLと称するLubomirskyよる代理人整理番号A11162/T72710を持つ共同譲渡された米国仮出願に記載され、この全開示内容はすべてのために本明細書に援用されている。   [0029] Embodiments further include sending atomic oxygen and silicon precursor through another port of the multi-port showerhead. For example, a showerhead located over a substrate can include a pattern of openings where precursors enter the deposition chamber. The first subset of openings can be supplied by atomic oxygen precursors and the second subset of openings is supplied by silicon precursors. Precursors traveling through different sets of openings can be fluidly separated from one another until they exit into the deposition chamber. Details on the type and design of the precursor processing apparatus are further described in a co-assigned US provisional application with agent reference number A11162 / T72710 by Lubomirsky named PROCESSCHAMBER FORDELECTRIC GAPFILL filed on the same day as this application, This entire disclosure is incorporated herein for all purposes.

[0030]原子酸素とシリコン前駆物質が堆積チャンバ内で反応するにつれて、基板の堆積面上に酸化シリコン層を形成する。最初の酸化物層は、優れた流動性を持ち、堆積面に存在する構造内のギャップの底に急速に移動することができる。   [0030] As the atomic oxygen and the silicon precursor react in the deposition chamber, a silicon oxide layer is formed on the deposition surface of the substrate. The initial oxide layer has excellent fluidity and can move rapidly to the bottom of the gap in the structure present at the deposition surface.

[0031]各酸化物層が堆積された後、各エッチングステップは、不純物を除去するために層上で行うことができる。これには、より大きい有機基をより小さい炭素含有分子に解離するステップと、Si-OH結合の少なくとも一部を解離して水と酸化シリコンを形成するステップとが含まれてもよい。   [0031] After each oxide layer is deposited, each etching step can be performed on the layer to remove impurities. This may include dissociating larger organic groups into smaller carbon-containing molecules and dissociating at least some of the Si—OH bonds to form water and silicon oxide.

[0032]複数の酸化シリコン層の堆積とエッチングに続いて、水分を更に排出し、層が密度の高い高品質の酸化物膜になるようにアニールを行うことができる。実施形態には、酸化シリコン層の個々の層すべてが堆積されエッチングされ後にアニールを行うステップが含まれる。実施形態には、更に層の一つ以上が行われた後、しかしすべての層の最後のアニールの前に、中間アニールが含まれてもよい。例えば、中間アニールは、すべての2、3、4、5等の層が堆積された後に行われ、その後すべての層の最終アニールが行われるのがよい。   [0032] Following the deposition and etching of the plurality of silicon oxide layers, the moisture can be further drained and annealed such that the layers are dense and high quality oxide films. Embodiments include the step of depositing and etching all individual layers of the silicon oxide layer followed by annealing. Embodiments may also include an intermediate anneal after one or more of the layers have been performed, but before the final anneal of all layers. For example, an intermediate anneal may be performed after all 2, 3, 4, 5, etc. layers have been deposited, followed by a final anneal of all layers.

[0033]図2を参照すると、本発明の実施形態による多層酸化シリコン層の製造方法200を示すフローチャートが示されている。方法200には、基板202を含有する堆積チャンバに前駆物質を導入するステップが含まれるのがよい。上述したように、前駆物質は、原子酸素前駆物質と有機シリコン前駆物質が含まれてもよい。原子酸素は、例えば、約900〜1800sccmで流れるアルゴンガスと、例えば、約600〜約1200sccmで流れる分子酸素(O)との双方のガス流に4000〜6000ワット(例えば、5500ワット)のRF電力を供給する遠隔高密度プラズマ発生装置において生成されるのがよい。 [0033] Referring to FIG. 2, a flowchart illustrating a method 200 for manufacturing a multilayer silicon oxide layer according to an embodiment of the present invention is shown. Method 200 may include introducing a precursor into a deposition chamber containing substrate 202. As described above, the precursor may include an atomic oxygen precursor and an organosilicon precursor. Atomic oxygen is, for example, 4000 to 6000 watts (eg, 5500 watts) of RF in both gas flows, argon gas flowing at about 900-1800 sccm and molecular oxygen (O 2 ) flowing at, for example, about 600 to about 1200 sccm. It may be generated in a remote high density plasma generator that supplies power.

[0034]有機シリコン前駆物質は、有機シリコン化合物(ガス又は液体)とヘリウム又は分子水素(H)のようなキャリヤガスと混合によって堆積チャンバに導入することができる。例えば、ヘリウムをオクタメチルシクロテトラシロキサン(OMCTS)のような室温で液体の有機シリコン前駆物質に約600〜約2400sccmの流量で吹込み、約800〜約1600mgmの流量でチャンバにOMCTSのフローを得ることができる。 [0034] The organosilicon precursor can be introduced into the deposition chamber by mixing with an organosilicon compound (gas or liquid) and a carrier gas such as helium or molecular hydrogen (H 2 ). For example, helium is blown into a liquid organosilicon precursor such as octamethylcyclotetrasiloxane (OMCTS) at room temperature at a flow rate of about 600 to about 2400 sccm to obtain a flow of OMCTS into the chamber at a flow rate of about 800 to about 1600 mgm. be able to.

[0035]前駆物質がチャンバ内で互いに反応して、基板204上に第一酸化物層を形成する。酸化物層堆積の間のチャンバ内の全圧は、例えば、約0.5トール〜約6トールであるのがよい。より高い全圧(例えば、1.3トール)は、より流動状の品質を持つ酸化物膜を堆積することができ、より低い圧力(例えば、0.5トール)は、より共形の酸化物層を堆積することができる。原子酸素が非常に反応性であることから、反応チャンバ内の堆積温度は比較的低くてもよい(例えば、約100℃以下)。酸化物堆積速度は、約125オングストローム/分〜約2μm/分(例えば、約500オングストローム/分〜約300オングストローム/分;約1500オングストローム/分等)の範囲であるのがよい。   [0035] The precursors react with each other in the chamber to form a first oxide layer on the substrate 204. The total pressure in the chamber during oxide layer deposition may be, for example, from about 0.5 Torr to about 6 Torr. A higher total pressure (eg, 1.3 Torr) can deposit an oxide film with a more fluid quality, and a lower pressure (eg, 0.5 Torr) may result in a more conformal oxide. A layer can be deposited. Because atomic oxygen is very reactive, the deposition temperature in the reaction chamber may be relatively low (eg, about 100 ° C. or less). The oxide deposition rate may range from about 125 angstroms / minute to about 2 μm / minute (eg, about 500 angstroms / minute to about 300 angstroms / minute; about 1500 angstroms / minute, etc.).

[0036]第一酸化物層が形成された後、前駆物質のチャンバへの流れは停止してもよく、第一酸化物層がエッチングされてもよい206。エッチングステップは、層内の不純物を解離し除去し、また、層を平坦化するために用いることができる。以下の図3の説明で述べるように、エッチングプロセスには、単一エッチングステップ、又は複数のエッチングステップが含まれてもよい。   [0036] After the first oxide layer is formed, the flow of precursor to the chamber may be stopped and the first oxide layer may be etched 206. The etching step can be used to dissociate and remove impurities in the layer and to planarize the layer. As described in the description of FIG. 3 below, the etching process may include a single etching step or multiple etching steps.

[0037]第一層のエッチング後、前駆物質が堆積チャンバ208に再導入され、反応して、基板210上に第二酸化物層を形成する。第二酸化物層は、第一層と同じ反応条件で形成されてもよく、又は異なる条件(例えば、チャンバ圧、温度、有機シリコン前駆物質等)下で形成されてもよい。   [0037] After etching the first layer, the precursor is reintroduced into the deposition chamber 208 and reacts to form a second oxide layer on the substrate 210. The second oxide layer may be formed under the same reaction conditions as the first layer, or may be formed under different conditions (eg, chamber pressure, temperature, organosilicon precursor, etc.).

[0038]第二層が形成された後、不純物レベルを減少させ更に/又は層を平坦化するためにエッチング212することができる。第二層は、第一層のエッチングに用いられたのと同じプロセスを用いてエッチングされてもよく、又は異なるプロセス(例えば、異なるエッチングステップの数、異なるエッチング前駆物質、異なる電力レベル等)を用いてエッチングされてもよい。   [0038] After the second layer is formed, an etch 212 may be performed to reduce impurity levels and / or planarize the layer. The second layer may be etched using the same process used to etch the first layer, or a different process (eg, different number of etch steps, different etch precursors, different power levels, etc.). May be used to etch.

[0039]第二酸化シリコン層(とあらゆる追加の酸化物層)の形成とエッチング後、酸化物層をアニールして214、均一で高品質の酸化シリコンギャップ充填を形成することができる。最後のギャップ充填の誘電率(即ち、k値)は、4.0未満(例えば、3.5未満、3.0未満等)で、ウエットエッチング速度比(WERR)は2:1未満(例えば、約1.8:1〜1.4:1)であるのがよい。ギャップ充填は、充填容積全体に均一であるのがよく、いずれにせよボイドやシームをほとんど含有しない。   [0039] After formation and etching of the second silicon dioxide layer (and any additional oxide layers), the oxide layer may be annealed 214 to form a uniform and high quality silicon oxide gap fill. The last gap filling dielectric constant (ie, k value) is less than 4.0 (eg, less than 3.5, less than 3.0, etc.) and the wet etch rate ratio (WERR) is less than 2: 1 (eg, About 1.8: 1 to 1.4: 1). The gap fill should be uniform throughout the fill volume, and in any case contains little voids or seams.

[0040]図3は、本発明の実施形態による多層酸化シリコン膜の製造方法300における二段階エッチングステップを強調するフローチャートを示す。方法300には、基板を反応チャンバ302に準備するステップと、反応チャンバ304に前駆物質(例えば、酸素とシリコン前駆物質)を導入するステップが含まれる。前駆物質は、その後、反応して、基板306上に酸化シリコン層を形成し、その後、二段階エッチングを受ける。   [0040] FIG. 3 shows a flowchart highlighting a two-step etching step in a method 300 for fabricating a multilayer silicon oxide film according to an embodiment of the present invention. Method 300 includes preparing a substrate in reaction chamber 302 and introducing precursors (eg, oxygen and silicon precursors) into reaction chamber 304. The precursor then reacts to form a silicon oxide layer on the substrate 306 and then undergoes a two-step etch.

[0041]二段階エッチングは、酸化物層308上で第一エッチングを行うことにより開始する。この第一エッチングには、より低い密度のプラズマを用いて、より大きい有機分子を解離するとともに層における炭素の少なくとも一部を除去することが含まれてもよい。このより低い密度のプラズマエッチングには、RPSシステムを用いて、酸化物層をエッチングするAr/Oプラズマを生成することが含まれてもよい。エッチング条件には、例えば、約5500ワットの電力で1600sccmのOと400sccmのアルゴンからのプラズマを衝突させることや約760ミリトールの圧力で堆積チャンバに導入することが含まれるのがよい。このプラズマエッチングは、より大きい炭素基を解離し、酸化物層から炭素不純物を除去することができる。 [0041] The two-stage etch begins by performing a first etch on the oxide layer 308. This first etch may include using a lower density plasma to dissociate larger organic molecules and remove at least a portion of the carbon in the layer. This lower density plasma etch may include using an RPS system to generate an Ar / O 2 plasma that etches the oxide layer. Etching conditions may include, for example, impinging a plasma from 1600 sccm of O 2 and 400 sccm of argon with a power of about 5500 watts or introducing it into the deposition chamber at a pressure of about 760 millitorr. This plasma etching can dissociate larger carbon groups and remove carbon impurities from the oxide layer.

[0042]第一エッチングの後、酸化物層の第二エッチングは、より高いプラズマ密度で行われ310、層内のヒドロキシル基の少なくとも一部を除去する。このより高い密度のプラズマエッチングには、より高いRF電界(例えば、6000ワット)で分子酸素(例えば600sccm)流の解離から形成されたプラズマに層をさらすことが含まれてもよい。酸素プラズマは、例えば、8ミリトールの圧力で堆積チャンバに導入することができ、酸化物層内の-OH基と反応して、二酸化シリコンと水を形成することができる。   [0042] After the first etch, a second etch of the oxide layer is performed 310 with a higher plasma density to remove at least some of the hydroxyl groups in the layer. This higher density plasma etch may include exposing the layer to a plasma formed from the dissociation of a molecular oxygen (eg, 600 sccm) flow at a higher RF field (eg, 6000 watts). An oxygen plasma can be introduced into the deposition chamber, for example, at a pressure of 8 millitorr, and can react with —OH groups in the oxide layer to form silicon dioxide and water.

[0043]堆積サイクルとエッチングサイクルは、繰り返すことができ、次の酸化物層312が前の層の最上部に形成される。その後、堆積されエッチングされた酸化物層を、所定の層数及び/又は膜厚に達するまで蓄積され、複数の層がアニール314される。アニールは、単一ステップ、又は複数のステップで行われてもよい。単一ステップアニールは、例えば、ほぼ乾燥した雰囲気(例えば、乾燥窒素、ヘリウム、アルゴン等)中で約300℃〜約1000℃(例えば、約600℃〜約900℃)に複数の層を加熱することによって行われるのがよい。アニールは、堆積された層から水分を除去し、更にSi-OHを酸化シリコンに変換する。   [0043] The deposition and etch cycles can be repeated, and the next oxide layer 312 is formed on top of the previous layer. Thereafter, the deposited and etched oxide layer is accumulated until a predetermined number of layers and / or thickness is reached, and the plurality of layers are annealed 314. Annealing may be performed in a single step or multiple steps. Single step annealing, for example, heats multiple layers to about 300 ° C. to about 1000 ° C. (eg, about 600 ° C. to about 900 ° C.) in a substantially dry atmosphere (eg, dry nitrogen, helium, argon, etc.). It is good to be done. Annealing removes moisture from the deposited layer and further converts Si—OH to silicon oxide.

[0044]マルチステップアニールには、層が最初に、例えば、蒸気の存在下で約700℃まで層を加熱するようにウエットアニール段階を受ける二段階アニールが含まれてもよい。これに続いて、層がほとんど水分を含まない(例えば、乾燥N)雰囲気中でより高い温度(例えば、約900℃)に加熱される、乾燥アニール段階が行われるのがよい。第一ウエットアニールは、Si-C結合をSi-OH結合で更に加水分解するのを援助することができ、ドライアニールは、Si-OHを酸化シリコン結合に変換させ、水分を層から排出させる。 [0044] Multi-step annealing may include a two-step anneal in which the layer is first subjected to a wet anneal step, eg, heating the layer to about 700 ° C. in the presence of steam. This may be followed by a dry annealing step in which the layer is heated to a higher temperature (eg, about 900 ° C.) in an atmosphere that is substantially free of moisture (eg, dry N 2 ). The first wet anneal can help further hydrolyze the Si—C bonds with Si—OH bonds, and the dry anneal converts the Si—OH to silicon oxide bonds and drains moisture from the layer.

[0045]ウエット及びドライ熱アニールに加えて、他のアニール技術(単独で又は組み合わせて)を用いて、複数の酸化物層をアニールすることができる。これらには、特に、蒸気アニール、プラズマアニール、紫外光アニール、電子ビームアニール、及び/又はマイクロ波アニールが含まれる。   [0045] In addition to wet and dry thermal annealing, other annealing techniques (alone or in combination) can be used to anneal multiple oxide layers. These include, among others, vapor annealing, plasma annealing, ultraviolet light annealing, electron beam annealing, and / or microwave annealing.

[0046]ここで図4を参照すると、本発明の実施形態による多層酸化シリコン膜の製造方法400を示す他のフローチャートが示されている。方法400は、堆積チャンバ402に基板を準備するステップと、チャンバ404に前駆物質(例えば、原子酸素と有機シリコン前駆物質)を導入するステップとを含む。前駆物質が反応して、基板406上に酸化シリコン層を形成し、その後、酸化物層をエッチング408することができる。   [0046] Referring now to FIG. 4, another flowchart illustrating a method 400 of fabricating a multilayer silicon oxide film according to an embodiment of the present invention is shown. Method 400 includes providing a substrate in deposition chamber 402 and introducing precursors (eg, atomic oxygen and organosilicon precursor) into chamber 404. The precursors can react to form a silicon oxide layer on the substrate 406, after which the oxide layer can be etched 408.

[0047]この点で、堆積された酸化物層の累積した厚さが設定点に達したかを決定するために検査をすることができる410。全酸化物膜の設定厚さレベルに達した場合には、堆積及びエッチングサイクルが終了してもよく、膜をアニールすることができる412。しかしながら、厚さレベルが満たされなかった場合には、他の酸化物堆積及びエッチングサイクルを行って、少なくとも一つ以上層を酸化物膜に加えることができる。   [0047] At this point, a test can be made 410 to determine if the accumulated thickness of the deposited oxide layer has reached a set point. If the set thickness level of the total oxide film is reached, the deposition and etch cycle may be terminated and the film can be annealed 412. However, if the thickness level is not met, other oxide deposition and etching cycles can be performed to add at least one or more layers to the oxide film.

[0048]酸化物膜が所定の厚さに達したかの決定は、堆積されエッチングされた層の厚さの測定によって行われても、又は所望の膜厚を達成するのに必要な層の数の計算によって行われてもよい。例えば、それぞれの堆積されエッチングされた層が100オングストローム厚で、所望の膜厚が1.2μmである場合には、12の堆積及びエッチングサイクルが膜を形成するために行わなければならない。それぞれの堆積された層の厚さは、酸化物堆積速度に影響するパラメータ、例えば、特に、反応前駆物質の種類と流量、堆積チャンバの全圧、温度を制御することによって設定することができる。上述したように、酸化物層の典型的な堆積速度は、約500オングストローム/分〜約3000オングストローム/分(例えば、約1500オングストローム/分)である。   [0048] The determination of whether the oxide film has reached a predetermined thickness can be made by measuring the thickness of the deposited and etched layers, or the number of layers required to achieve the desired film thickness. It may be done by calculation. For example, if each deposited and etched layer is 100 Å thick and the desired film thickness is 1.2 μm, twelve deposition and etching cycles must be performed to form the film. The thickness of each deposited layer can be set by controlling parameters that affect the oxide deposition rate, such as, among other things, the type and flow rate of the reaction precursor, the total pressure in the deposition chamber, and the temperature. As noted above, typical deposition rates for oxide layers are from about 500 angstroms / minute to about 3000 angstroms / minute (eg, about 1500 angstroms / minute).

[0049]図5A-図5Fは、マルチサイクル堆積エッチング酸化物層形成プロセスの実施形態を用いた多層酸化シリコン膜で漸進的に充填されるギャップ構造を持つ基板を示す図である。図5Aは、ギャップ504が形成された基板502を示す図である。図5A-図5Fに示されるギャップ504が酸化物充填層の進行をより明らかに示すために、比較的低いアスペクト比で描かれていることは理解される。本ギャップ充填法の実施形態には、アスペクト比が5:1、6:1、7:1、8:1、9:1、10:1、11:1、12:1、13:1、14:1、15:1以上のギャップへのボイドやシームのない堆積が含まれるのがよい。   [0049] FIGS. 5A-5F illustrate a substrate with a gap structure that is progressively filled with a multilayer silicon oxide film using an embodiment of a multi-cycle deposited etch oxide layer formation process. FIG. 5A is a diagram illustrating the substrate 502 in which the gap 504 is formed. It is understood that the gap 504 shown in FIGS. 5A-5F is drawn with a relatively low aspect ratio to more clearly show the progress of the oxide fill layer. Embodiments of this gap filling method have aspect ratios of 5: 1, 6: 1, 7: 1, 8: 1, 9: 1, 10: 1, 11: 1, 12: 1, 13: 1, 14 It may include deposition without voids or seams in gaps of 1: 1, 15: 1 or more.

[0050]図5Bは、ギャップ504に堆積された第一酸化物層506aを示す図である。層を形成した酸化シリコンは、良好な流動性を持ち、膜がギャップ504の底に急速に移動することを可能にする。従って、ギャップ504の底に堆積された酸化物の厚さは、ギャップの側壁に沿って酸化物の厚さより大きくなることがある。   [0050] FIG. 5B shows a first oxide layer 506a deposited in the gap 504. FIG. The layered silicon oxide has good fluidity and allows the film to move rapidly to the bottom of the gap 504. Accordingly, the thickness of the oxide deposited at the bottom of the gap 504 may be greater than the thickness of the oxide along the gap sidewall.

[0051]図5C及び図5Dは、ギャップ504内に前に堆積されエッチングされた層上に堆積された追加の酸化物層506b、506c等を示す図である。これらの追加の層は、所望の酸化物膜の厚さレベルが達するまで(例えば、ギャップ504の最上部)、ギャップ504においてボトムアップで形成することができる。   [0051] FIGS. 5C and 5D are diagrams showing additional oxide layers 506b, 506c, etc. deposited on the previously deposited and etched layers in gap 504. FIG. These additional layers can be formed bottom up in the gap 504 until the desired oxide film thickness level is reached (eg, the top of the gap 504).

[0052]一旦最後の複数の酸化物層が堆積されエッチングされると、アニールを行って、図5Eに示されるように層を均一な膜508に形成することができる。膜は、例えば、プラズマエッチング又はCMPによって平坦化されて、ギャップ504の最上部の上に形成された堆積物質を除去することができる。図5Fは、いずれにせよボイド又はシームがほとんどない、高い膜質と誘電特性を持つ残存する酸化シリコンギャップ充填510を示す図である。   [0052] Once the last plurality of oxide layers have been deposited and etched, annealing can be performed to form the layers into a uniform film 508 as shown in FIG. 5E. The film can be planarized, for example, by plasma etching or CMP to remove the deposited material formed on top of the gap 504. FIG. 5F illustrates the remaining silicon oxide gap fill 510 with high film quality and dielectric properties with little void or seam in any case.

例示的基板処理システム
[0053]本発明の実施形態を実施することができる堆積システムは、他の種類のシステムの中でも、高密度プラズマ化学気相堆積(HDP-CVD)システム、プラズマ増強型化学気相堆積(PECVD)システム、大気圧未満化学気相堆積(SACVD)システム、熱化学気相堆積システムが含まれ得る。本発明の実施形態を実施することができるCVDシステムの具体例としては、カリフォルニア州サンタクララのアプライドマテリアルズから入手できるCENTURAULTIMATMHDP-CVDチャンバ/システム、PRODUCERTMPECVDチャンバ/システムが含まれる。
Exemplary substrate processing system
[0053] Deposition systems in which embodiments of the present invention can be implemented are high density plasma chemical vapor deposition (HDP-CVD) systems, plasma enhanced chemical vapor deposition (PECVD), among other types of systems. Sub-atmospheric chemical vapor deposition (SACVD) systems, thermal chemical vapor deposition systems may be included. Specific examples of CVD systems in which embodiments of the present invention can be implemented include CENTURAULTIMA HDP-CVD chamber / system, PRODUCER PECVD chamber / system available from Applied Materials, Santa Clara, California.

[0054]本発明の実施形態を使うために変更し得る適切な一基板処理システムが共同譲渡された米国特許第6,387,207号、同第6,830,624号に示され記載され、これらの開示内容はすべてに対して本明細書に援用されている。図6Aは、チャンバ壁15aとチャンバリッドアセンブリ15bが含まれる真空チャンバ又は処理チャンバ15を持つCVDシステム10の縦断面図である。   [0054] A suitable single substrate processing system that can be modified to use embodiments of the present invention is shown and described in co-assigned US Pat. Nos. 6,387,207, 6,830,624, These disclosures are hereby incorporated by reference in their entirety. FIG. 6A is a longitudinal cross-sectional view of a CVD system 10 having a vacuum chamber or processing chamber 15 that includes a chamber wall 15a and a chamber lid assembly 15b.

[0055]CVDシステム10は、プロセスチャンバ15内の中央にある加熱されたペデスタル12上にある基板(図示せず)へプロセスガスを分散させるためのガス分配マニホールド11を含有する。ガス分配マニホールド11は、容量性プラズマを形成するための電極として使用するために導電材料から形成され得る。処理の間、基板(例えば、半導体ウエハ)は、ペデスタル12の平坦な(又はわずかに凸状)面12aに位置する。ペデスタル12は、マニホールド11に密接に隣接する、下の装填/解放位置(図6Aに示されている)と上の処理位置(図6Aでは破線14で示されている)の間を制御可能に移動し得る。センタボード(図示せず)には、ウエハの位置に情報を与えるセンサが含まれる。   [0055] The CVD system 10 contains a gas distribution manifold 11 for dispersing process gas to a substrate (not shown) on a heated pedestal 12 in the middle of the process chamber 15. The gas distribution manifold 11 may be formed from a conductive material for use as an electrode for forming a capacitive plasma. During processing, the substrate (eg, semiconductor wafer) is located on the flat (or slightly convex) surface 12a of the pedestal 12. The pedestal 12 is controllable between a lower load / release position (shown in FIG. 6A) and an upper processing position (shown by the dashed line 14 in FIG. 6A), which is closely adjacent to the manifold 11. Can move. A center board (not shown) includes sensors that provide information on the position of the wafer.

[0056]堆積とキャリヤガスは、従来の平坦な円形ガス分配フェースプレート13aの貫通した孔13bを通ってチャンバ15に導入される。より詳細には、堆積プロセスガスは、注入マニホールド11を通って、従来の貫通したブロッカープレート42を通って、その後、ガス分配フェースプレート13a内の孔13bを通ってチャンバに流れる。   [0056] Deposition and carrier gases are introduced into the chamber 15 through the through holes 13b of the conventional flat circular gas distribution faceplate 13a. More specifically, the deposition process gas flows through the injection manifold 11, through the conventional through blocker plate 42, and then through the holes 13b in the gas distribution faceplate 13a to the chamber.

[0057]マニホールド11に達する前に、堆積ガスとキャリヤガスは、ガスソース7からガス供給ライン8を通って混合システム9に流入され、そこで混合され、その後、マニホールド11に送られる。一般に、各プロセスガスのための供給ラインには、(i)自動又は手動でチャンバへのプロセスガスフローを遮断するために使用し得る安全遮断バルブ(図示せず)と、(ii)供給ラインを通ってガスフローを測定するマスフローコントローラ(図示せず)が含まれる。有毒なガスがプロセスに用いられる場合、幾つかの安全遮断バルブは従来の構造の各ガス供給ライン上に位置する。   [0057] Prior to reaching the manifold 11, the deposition gas and carrier gas flow from the gas source 7 through the gas supply line 8 into the mixing system 9, where they are mixed and then sent to the manifold 11. In general, the supply line for each process gas includes (i) a safety shut-off valve (not shown) that can be used to automatically or manually shut off the process gas flow to the chamber, and (ii) a supply line. A mass flow controller (not shown) that measures gas flow through is included. If toxic gases are used in the process, several safety shut-off valves are located on each gas supply line of conventional construction.

[0058]CVDシステム10で行われる堆積プロセスは、熱プロセス又はプラズマ増強型プロセスのいずれかであり得る。プラズマ増強型プロセスにおいて、RF電源44は、ガス分配プレート13aとペデスタル12の間に電力を加えて、プロセスガス混合物を励起して、フェースプレート13aとペデスタル12の間の円筒領域内にプラズマを形成する。(この領域は、本明細書では“反応領域”と呼ぶ)。プラズマの構成要素は、ペデスタル12に支持された半導体ウエハの表面上に所望の膜を堆積させるために反応する。RF電源44は、典型的には、13.56MHzの高RF周波数(RF1)と360KHzの低RF周波数(RF2)で電力を供給して、真空チャンバ15に導入された反応種の分解を促進するために供給される混合周波数RF電源である。熱プロセスにおいて、RF電源44は用いられず、プロセスガス混合物が熱的に反応して、反応のための熱エネルギーを供給するために抵抗加熱されるペデスタル12上に支持された半導体ウエハの表面上に所望の膜を堆積させる。   [0058] The deposition process performed in the CVD system 10 can be either a thermal process or a plasma enhanced process. In a plasma enhanced process, the RF power supply 44 applies power between the gas distribution plate 13a and the pedestal 12 to excite the process gas mixture and form a plasma in the cylindrical region between the face plate 13a and the pedestal 12. To do. (This region is referred to herein as the “reaction region”). The plasma components react to deposit the desired film on the surface of the semiconductor wafer supported by the pedestal 12. The RF power supply 44 typically provides power at a high RF frequency (RF1) of 13.56 MHz and a low RF frequency (RF2) of 360 KHz to facilitate decomposition of reactive species introduced into the vacuum chamber 15. It is a mixed frequency RF power source supplied for the purpose. In a thermal process, no RF power source 44 is used, and the process gas mixture reacts thermally and on the surface of a semiconductor wafer supported on a pedestal 12 that is resistively heated to provide thermal energy for the reaction. A desired film is deposited.

[0059]プラズマ増強型堆積プロセスの間、プラズマは、排気通路23と遮断バルブ24を取り囲んでいるチャンバ本体15aの壁を含むプロセスチャンバ10全体を加熱する。熱堆積プロセスのときに又は熱堆積プロセスの間にプラズマが出ない場合、熱い液体をプロセスチャンバ15の壁15aを通って循環させて、チャンバをの高温に維持する。チャンバ壁15aの残りの通路は、図示されていない。チャンバ壁15aを加熱するために用いられる流体には、典型的な流体タイプ、即ち、水ベースのエチレングリコール又は油ベースの熱伝達流体が含まれる。この加熱(“熱交換”による加熱と呼ばれる)は、有益には望まない反応生成物の凝縮を減少又は除去し且つプロセスガスの揮発性生成物と冷却真空通路の壁に凝縮するとともにガスフローのない期間処理チャンバに移動する場合にはプロセスを汚染する他の汚染物の除去を改善する。   [0059] During the plasma enhanced deposition process, the plasma heats the entire process chamber 10, including the walls of the chamber body 15 a surrounding the exhaust passage 23 and the isolation valve 24. During the thermal deposition process or if no plasma is generated during the thermal deposition process, hot liquid is circulated through the wall 15a of the process chamber 15 to maintain the chamber at an elevated temperature. The remaining passages in the chamber wall 15a are not shown. The fluid used to heat the chamber wall 15a includes typical fluid types, ie water based ethylene glycol or oil based heat transfer fluid. This heating (referred to as heating by “heat exchange”) beneficially reduces or eliminates the condensation of unwanted reaction products and condenses on the volatile products of the process gas and the walls of the cooling vacuum passages and reduces gas flow. Improves removal of other contaminants that contaminate the process when moved to the processing chamber for a period of time.

[0060]反応副生成物を含む層内に堆積されないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバ15から排気される。詳しくは、ガスは、反応領域を取り囲む環状のスロット形オリフィス16を通って環状排気プレナム17へ排気される。環状スロット16とプレナム17は、チャンバの円筒形側壁15aの最上部(壁上の上部誘電体ライニング19を含む)と円形チャンバリッド20の底面の間の隙間によって画成される。スロットオリフィス16とプレナム17の360度の円形対称性と均一性は、ウエハ上に均一な膜を堆積するのにウエハの上のプロセスガスの均一なフローを達成するのに重要である。   [0060] The remainder of the gas mixture that is not deposited in the layer containing reaction by-products is evacuated from the chamber 15 by a vacuum pump (not shown). Specifically, the gas is exhausted to an annular exhaust plenum 17 through an annular slotted orifice 16 surrounding the reaction region. The annular slot 16 and plenum 17 are defined by a gap between the top of the chamber cylindrical sidewall 15a (including the upper dielectric lining 19 on the wall) and the bottom surface of the circular chamber lid 20. The 360 degree circular symmetry and uniformity of the slot orifice 16 and plenum 17 is important to achieve a uniform flow of process gas over the wafer to deposit a uniform film on the wafer.

[0061]排気プレナム17から、ガスは、排気プレナム17の横の拡張領域21の下に、のぞきポート(図示せず)を通り過ぎて、下向きに伸びたガス通路23を通って、真空遮断バルブ24(本体は下のチャンバ壁15aに組込まれている)を通り過ぎて、フォアライン(図示せず)を通って外部の真空ポンプ(図示せず)に接続する排出口25へ流れる。   [0061] From the exhaust plenum 17, the gas passes under the expansion region 21 next to the exhaust plenum 17, past a viewing port (not shown), through a downwardly extending gas passage 23, and a vacuum shut-off valve 24. Passes through the foreline (not shown) to the outlet 25 which connects to the external vacuum pump (not shown) through the body (which is built into the lower chamber wall 15a).

[0062]ペデスタル12のウエハ支持プラッターは、2全回転を平行な同心円の形でするように構成された組込み単一ループ組込みヒータ素子を用いて抵抗加熱される。ヒータ素子の外部が支持プラッターの周囲に隣接して続き、内部は小さな半径を有する同心円の通路に続いている。ヒータ素子の配線は、ペデスタル12のステムを通り抜ける。   [0062] The wafer support platter of the pedestal 12 is resistively heated using a built-in single-loop built-in heater element configured to make two full turns in the form of parallel concentric circles. The exterior of the heater element continues adjacent to the periphery of the support platter and the interior continues to a concentric path with a small radius. The wiring of the heater element passes through the stem of the pedestal 12.

[0063]典型的には、幾つかの又は全てのチャンバライニングと、ガス注入マニホールドフェースプレートと、種々の他のリアクタハードウエアは、アルミニウム、陽極酸化アルミニウム又はセラミックのような物質からできている。このようなCVD装置の例は、Zhaoらに発行された“CVDProcessing Chamber”と称する共同譲渡された米国特許第5,558,717号に記載され、この開示内容は本明細書に全体で援用されている。   [0063] Typically, some or all chamber linings, gas injection manifold faceplates, and various other reactor hardware are made of materials such as aluminum, anodized aluminum or ceramic. An example of such a CVD apparatus is described in co-assigned US Pat. No. 5,558,717 entitled “CVD Processing Chamber” issued to Zhao et al., The disclosure of which is incorporated herein in its entirety. ing.

[0064]ウエハがチャンバ10の側面の挿入/取出し開口部26を通ってロボットブレード(図示せず)によってチャンバ15の本体の中と外に搬送されるように、リフト機構とモータ32(図6A)がヒータペデスタルアセンブリ12とそのウエハリフトピン12bを上下させる。モータ32は、ペデスタル12を処理位置14と下のウエハ装填位置の間で上下させる。モータ、バルブ又は供給ライン8に接続したコントローラ、ガス分配システム、スロットルバルブ、RF電源44、チャンバと基板加熱システムは、一部だけが図示されているコントロールライン36の上のコントローラシステムによって全て制御される。コントローラ34は、コントローラ34の制御下に適切なモータによって移動されるスロットバルブとサセプタのような移動式メカニカルアセンブリの位置を決定するための光学センサからのフィードバックに頼っている。   [0064] Lift mechanism and motor 32 (FIG. 6A) so that wafers are transferred into and out of the body of chamber 15 by robot blades (not shown) through insertion / removal openings 26 on the side of chamber 10. ) Moves the heater pedestal assembly 12 and its wafer lift pins 12b up and down. The motor 32 moves the pedestal 12 up and down between the processing position 14 and the lower wafer loading position. The controller, gas distribution system, throttle valve, RF power supply 44, chamber and substrate heating system connected to the motor, valves or supply line 8 are all controlled by the controller system on the control line 36, only partly shown. The Controller 34 relies on feedback from optical sensors to determine the position of mobile mechanical assemblies such as slot valves and susceptors that are moved by appropriate motors under the control of controller 34.

[0065]例示的実施形態において、システムコントローラには、ハードディスクドライブ(メモリ38)、フロッピーディスクドライブ、プロセッサ37が含まれる。プロセッサは、単一ボードコンピュータ(SBC)、アナログ及びデジタル入力/出力ボード、インタフェースボード、ステッパモータコントローラボードを含有する。CVDシステム10の種々のパーツは、ボード、カードゲージ、コネクタ寸法と種類を規定するVersaModular European(VME)規格にあてはまる。VME基準は、16ビットデータバスと24ビットアドレスバスを持つバス構造も規定する。   [0065] In an exemplary embodiment, the system controller includes a hard disk drive (memory 38), a floppy disk drive, and a processor 37. The processor contains a single board computer (SBC), analog and digital input / output boards, interface boards, and stepper motor controller boards. The various parts of CVD system 10 apply to the VersaModular European (VME) standard that defines board, card gauge, connector dimensions and types. The VME standard also defines a bus structure with a 16-bit data bus and a 24-bit address bus.

[0066]システムコントローラ34は、CVDマシンの活性の全てを制御する。システムコントローラは、メモリー38のようなコンピュータ読取可能媒体内に記憶されたコンピュータプログラムであるシステム制御ソフトウエアを実行する。好ましくは、メモリ38は、ハードディスクドライブであるが、メモリー38は、他の種類のメモリでもあり得る。コンピュータプログラムとしては、タイミング、ガスの混合物、チャンバ圧、チャンバ温度、RF電力レベル、サセプタ位置、具体的なプロセスの他のパラメータを決定する使用説明書のセットが含まれる。例えば、フロッピーディスク、又は他の適切なドライブを含む他のメモリデバイスに保存された他のコンピュタプログラムもコントローラ34を操作するために用いることができる。   [0066] The system controller 34 controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer readable medium such as memory 38. Preferably, the memory 38 is a hard disk drive, but the memory 38 can also be other types of memory. The computer program includes a set of instructions for determining timing, gas mixture, chamber pressure, chamber temperature, RF power level, susceptor position, and other parameters of the specific process. For example, other computer programs stored on other memory devices including a floppy disk or other suitable drive may also be used to operate the controller 34.

[0067]基板上に膜を堆積する方法又はチャンバ15を洗浄する方法は、コントローラ34によって実行されるコンピュータプログラムプロダクトを用いて実施され得る。コンピュータプログラムコードは、あらゆる慣用のコンピュータ読取可能なプログラミング言語:例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン他によって書かれ得る。適切なプログラムコードは、慣用のテキストエディタを用いて単一ファイル又は複数のファイルに入力され、コンピュータのメモリシステムのようなコンピュータ使用可能媒体に記憶又は具体化される。入力されたコードテキストが、高いレベルの言語である場合には、コードはコンパイルされ、得られたコンパイラコードは、その後、プレコンパイルMicrosoftWindows(登録商標)ライブラリルチーンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザーはオブジェクトコードを起動し、コンピュータシステムがメモリ内のコードをロードさせる。その後、CPUは、プログラムにおいて識別されたタスクを行うためにコードを読み込み実行する。   [0067] The method of depositing a film on the substrate or the method of cleaning the chamber 15 may be implemented using a computer program product executed by the controller 34. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C ++, Pascal, Fortran etc. Appropriate program code is entered into a single file or multiple files using a conventional text editor and stored or embodied in a computer usable medium, such as a computer memory system. If the entered code text is in a high level language, the code is compiled and the resulting compiler code is then linked with the precompiled Microsoft Windows® library object code. To execute the linked and compiled object code, the system user activates the object code and causes the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.

[0068]ユーザーとコントローラ34の間のインターフェースは、一つ以上のチャンバが含まれ得る基板処理システムにおけるシステムモニタとCVDシステム10の簡易図である図6Bに示される、CRTモニター50aとライトペン50bを経由する。好ましい実施形態において、二つのモニタ50aが用いられ、一方はオペレータのクリーンルームの壁に、もう一方は使用技術者のために壁の後に取り付けられている。モニタ50aは、同一の情報を同時に表示するが、唯一のライトペン50bだけが可能である。ライトペン50bの先端の光センサは、CRTディスプレイによって放出された光を検出する。具体的なスクリーン又は機能を選択するために、オペレータはディスプレイスクリーンの指定された領域にタッチし、ペン50bのボタンを押す。タッチした領域は、強調された色に変わるか又は新しいメニュー又はスクリーンが表示され、ライトペンとディスプレイスクリーンとの間のコミュニケーションが確認される。キーボード、マウス、又は他のポインティングデバイス又はコミュニケーションデバイスのような他のデバイスは、ユーザーがコントローラ34と連通することを可能にするためにライトペン50bの代わりに又はそれに加えて用いることができる。   [0068] The interface between the user and the controller 34 is a CRT monitor 50a and light pen 50b, shown in FIG. 6B, which is a simplified diagram of a system monitor and CVD system 10 in a substrate processing system that may include one or more chambers. Via. In the preferred embodiment, two monitors 50a are used, one attached to the operator's clean room wall and the other behind the wall for the technician. The monitor 50a displays the same information simultaneously, but only a single light pen 50b is possible. The light sensor at the tip of the light pen 50b detects the light emitted by the CRT display. To select a specific screen or function, the operator touches a designated area of the display screen and presses the button on the pen 50b. The touched area changes to the highlighted color or a new menu or screen is displayed, confirming communication between the light pen and the display screen. Other devices such as a keyboard, mouse, or other pointing device or communication device can be used in place of or in addition to the light pen 50b to allow the user to communicate with the controller 34.

[0069]図6Aは、ガス分配フェースプレート13aとガス分配マニホールド11を含むプロセスチャンバ15のリッドアセンブリ15bに取り付けられた遠隔プラズマ発生装置60を示す図である。図6Aに最もよく見られるように、取付アダプタ64によって、リッドアセンブリ15b上に遠隔プラズマ発生装置60が取り付けられている。アダプタ64は、典型的には金属から製造される。混合デバイス70は、ガス分配マニホールド11(図6A)の上流側に結合されている。混合デバイス70には、混合プロセスガスのための混合ブロックのスロット74の内側に配置された混合挿入物72が含まれる。セラミックイソレータ66は、取付アダプタ64と混合デバイス70(図6A)の間に配置されている。セラミックイソレータ66は、Al(純度99%)、テフロン(登録商標)等のセラミック材料から製造され得る。取り付けられた場合、混合デバイス70とセラミックイソレータは、リッドアセンブリ15bの一部を形成し得る。イソレータ66は、金属アダプタ64を混合デバイス70とガス分配マニホールド11から絶縁して、下で更に詳述されるリッドアセンブリ15b内に形成する第二プラズマの電位を最小限にする。三方バルブ77は、直接か又は遠隔プラズマ発生装置60を通ってプロセスチャンバ15へのプロセスガスのフローを制御する。 FIG. 6A shows a remote plasma generator 60 attached to the lid assembly 15 b of the process chamber 15 that includes the gas distribution faceplate 13 a and the gas distribution manifold 11. As best seen in FIG. 6A, a remote plasma generator 60 is mounted on the lid assembly 15b by a mounting adapter 64. The adapter 64 is typically manufactured from metal. The mixing device 70 is coupled upstream of the gas distribution manifold 11 (FIG. 6A). The mixing device 70 includes a mixing insert 72 disposed inside a mixing block slot 74 for the mixing process gas. The ceramic isolator 66 is disposed between the mounting adapter 64 and the mixing device 70 (FIG. 6A). The ceramic isolator 66 may be manufactured from a ceramic material such as Al 2 O 3 (purity 99%), Teflon (registered trademark), or the like. When attached, the mixing device 70 and the ceramic isolator may form part of the lid assembly 15b. The isolator 66 insulates the metal adapter 64 from the mixing device 70 and the gas distribution manifold 11 to minimize the potential of the second plasma that forms in the lid assembly 15b, described in further detail below. Three-way valve 77 controls the flow of process gas to process chamber 15 either directly or through remote plasma generator 60.

[0070]遠隔プラズマ発生装置60は、リッドアセンブリ15bに都合よく取り付けられ、コスト的変更も時間を要する変更もなく既存のチャンバに簡単に後から取り付けられる小型内蔵ユニットであることが望ましい。適切な一ユニットは、マサチューセッツ州ウォバーンのAppliedScience andTechnology,Inc.から入手できるASTRON(登録商標)発生装置である。ASTRON(登録商標)発生装置は、プロセスガスを解離するために低電場トロイダルプラズマを用いる。一例において、プラズマは、プロセスチャンバ15内で膜堆積物を洗浄するために用いられる遊離フッ素を生成するためにNFのようなフッ素含有ガスとアルゴンのようなキャリヤガスを含むプロセスガスを解離する。 [0070] The remote plasma generator 60 is preferably a small built-in unit that is conveniently attached to the lid assembly 15b and can be easily attached to an existing chamber later without cost or time-consuming changes. One suitable unit is an ASTRON® generator available from Applied Science and Technology, Inc. of Woburn, Massachusetts. The ASTRON® generator uses a low electric field toroidal plasma to dissociate the process gas. In one example, the plasma dissociates a process gas that includes a fluorine-containing gas such as NF 3 and a carrier gas such as argon to produce free fluorine that is used to clean the film deposit in the process chamber 15. .

[0071]幾つかの実施形態を記載してきたが、種々の変更、代替構成、等価物が本発明の精神から逸脱することなく用いることができることは当業者に認識される。更に、本発明を不必要にあいまいにすることを避けるために多くのよく知られるプロセスと要素は記載しなかった。従って、上記説明は、本発明の範囲を制限するものとするべきではない。   [0071] While several embodiments have been described, those skilled in the art will recognize that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. In addition, many well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.

[0072]ある範囲の値が示される場合、それぞれの介在値は、特に明らかに影響されない限り、その範囲の上限と下限の間で下限の単位の1/10まで詳しく開示されることは理解される。記載されたあらゆる値又は記載された範囲の介在値と記載された他のあらゆる値又は記載されたその範囲の介在値の間のそれぞれのより小さい範囲が包含される。これらのより小さい範囲の上限と下限は独立して範囲に含むか又は除外することができ、記載された範囲の詳しく除外されたあらゆる限度を条件として、いずれか、いずれでもないか又は両方の限度が含まれるそれぞれの範囲も本発明の範囲に包含される。記載された範囲が一方又は両方の限度を含む場合、それらの含まれた限度のいずれか又は両方を除外する範囲も含まれる。   [0072] When a range of values is indicated, it is understood that each intervening value is disclosed in detail up to 1/10 of the lower limit unit between the upper and lower limits of the range, unless specifically affected otherwise. The Each smaller range between any stated value or stated intervening value of the range and every other stated value or intervening value of that range is included. The upper and lower limits of these smaller ranges may be independently included or excluded from the range, subject to any specifically excluded limits in the stated range, either, neither or both Each range including is also included in the scope of the present invention. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

[0073]本明細書に用いられ、また、添えられた特許請求の範囲において、特に明らかに影響されない限り、単数形は、複数の対象を包含する。従って、例えば、“プロセス”について述べることは、複数のこのようなプロセスにもあてはまり、“前駆物質”について述べることは、一つ以上の前駆物質及び当業者に既知のその等価物等について述べることを包含する。   [0073] As used herein and in the appended claims, the singular forms include the plural objects unless the context clearly dictates otherwise. Thus, for example, reference to “process” also applies to a plurality of such processes, and reference to “precursor” refers to one or more precursors and their equivalents known to those skilled in the art. Is included.

[0074]また、本明細書と以下の特許請求の範囲に用いられる語“備える”、“含む”は記載された特徴、整数、成分、又はステップの存在を特定するものであるが、一つ以上の他の特徴、整数、成分、ステップ、作用又は基の存在又は追加を除外しない。   [0074] Also, as used in this specification and the claims below, the words "comprising" and "including" specify the presence of a described feature, integer, component, or step, The presence or addition of these other features, integers, ingredients, steps, actions or groups is not excluded.

10…CVDシステム、11…ガス分配マニホールド、12…ペデスタル、12b…ウエハリフトピン、13a…フェースプレート、14…処理位置、15…プロセスチャンバ、15a…チャンバ壁、15b…チャンバリッドアセンブリ、16…環状スロット形オリフィス、17…プレナム、19…誘電体ライニング、20…円形チャンバリッド、21…横の拡張部分、23…排気通路、24…遮断バルブ、25…排出口、26…挿入/取出し開口部、32…モータ、50a…モニタ、50b…ペン、60…遠隔プラズマ発生装置、64…取付アダプタ、66…イソレータ、70…混合デバイス、72…混合挿入部。   DESCRIPTION OF SYMBOLS 10 ... CVD system, 11 ... Gas distribution manifold, 12 ... Pedestal, 12b ... Wafer lift pin, 13a ... Face plate, 14 ... Processing position, 15 ... Process chamber, 15a ... Chamber wall, 15b ... Chamber lid assembly, 16 ... Annular slot Shape orifice, 17 ... plenum, 19 ... dielectric lining, 20 ... circular chamber lid, 21 ... lateral expansion, 23 ... exhaust passage, 24 ... shutoff valve, 25 ... discharge port, 26 ... insertion / extraction opening, 32 ... Motor, 50a ... Monitor, 50b ... Pen, 60 ... Remote plasma generator, 64 ... Mounting adapter, 66 ... Isolator, 70 ... Mixing device, 72 ... Mixing insert.

Claims (29)

基板上のギャップを酸化シリコンで充填する方法であって:
堆積チャンバに有機シリコン前駆物質と酸素前駆物質を導入するステップと、
該前駆物質を反応させて、該基板上の該ギャップに第一酸化シリコン層を形成するステップと;
該第一酸化シリコン層をエッチングして、該層内の炭素含量を減少させるステップと;
該第一層上に第二酸化シリコン層を形成し、該第二層をエッチングして、該層内の炭素含量を減少させるステップと;
該ギャップを充填した後、該酸化シリコン層をアニールするステップと;
を含み、
該第一酸化シリコン層と該第二酸化シリコン層をエッチングするステップが:
該層を第一密度を有する第一プラズマにさらす工程であって、該第一プラズマが該層内でより大きい炭素分子を解離する、前記工程と;
該層を該第一密度より高い第二密度を持つ第二プラズマにさらす工程であって、該第二プラズマが該層内で酸化シリコン結合を解離する、前記工程と;
を含む、前記方法。
A method of filling a gap on a substrate with silicon oxide:
Introducing an organosilicon precursor and an oxygen precursor into the deposition chamber;
Reacting the precursor to form a first silicon oxide layer in the gap on the substrate;
Etching the first silicon oxide layer to reduce the carbon content in the layer;
Forming a silicon dioxide layer on the first layer and etching the second layer to reduce the carbon content in the layer;
Annealing the silicon oxide layer after filling the gap;
Only including,
Etching the first silicon oxide layer and the second silicon dioxide layer comprises:
Exposing the layer to a first plasma having a first density, wherein the first plasma dissociates larger carbon molecules in the layer;
Exposing the layer to a second plasma having a second density greater than the first density, wherein the second plasma dissociates silicon oxide bonds in the layer;
Including the method.
該酸素前駆物質が、該堆積チャンバの外部で生成される原子酸素を含む、請求項1に記載の方法。   The method of claim 1, wherein the oxygen precursor comprises atomic oxygen generated outside the deposition chamber. 該原子酸素が、
アルゴンを含むガス混合物からプラズマを生成し;
該プラズマに酸素前駆物質を導入し、ここで、該酸素前駆物質が解離して該原子酸素を形成する;
ことにより形成される、請求項2に記載の方法。
The atomic oxygen is
Generating a plasma from a gas mixture containing argon;
Introducing an oxygen precursor into the plasma, where the oxygen precursor dissociates to form the atomic oxygen;
The method according to claim 2, wherein:
該酸素前駆物質が、分子酸素、オゾン、及び二酸化窒素からなる群より選ばれる、請求項3に記載の方法。   4. The method of claim 3, wherein the oxygen precursor is selected from the group consisting of molecular oxygen, ozone, and nitrogen dioxide. 該原子酸素が、
酸素前駆物質を光解離チャンバに導入すること;
該酸素前駆物質を紫外光にさらし、ここで、該紫外光が該酸素前駆物質を解離して原子酸素を形成すること;
によって形成される、請求項2に記載の方法。
The atomic oxygen is
Introducing an oxygen precursor into the photodissociation chamber;
Exposing the oxygen precursor to ultraviolet light, wherein the ultraviolet light dissociates the oxygen precursor to form atomic oxygen;
The method of claim 2, formed by:
該有機シリコン前駆物質と該原子酸素が、該堆積チャンバに導入されるまで混合されない、請求項2に記載の方法。   The method of claim 2, wherein the organosilicon precursor and the atomic oxygen are not mixed until introduced into the deposition chamber. 該有機シリコン前駆物質が、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、またはフェニルシランを含む、請求項1に記載の方法。   The organic silicon precursor is dimethylsilane, trimethylsilane, tetramethylsilane, diethylsilane, tetramethylorthosilicate (TMOS), tetraethylorthosilicate (TEOS), octamethyltrisiloxane (OMTS), octamethylcyclotetrasiloxane (OMCTS). ), Tetramethylcyclotetrasiloxane (TOMCATS), DMDMOS, DEMS, methyltriethoxysilane (MTES), phenyldimethylsilane, or phenylsilane. 該第一酸化シリコン層と該第二酸化シリコン層の厚さがそれぞれ約100オングストローム〜約200オングストロームである、請求項1に記載の方法。   The method of claim 1, wherein the first silicon oxide layer and the second silicon dioxide layer each have a thickness of about 100 angstroms to about 200 angstroms. 該酸化シリコン層をアニールするステップが、乾燥非反応性ガス中で約800℃以上の温度でアニールする工程を含む、請求項1に記載の方法。   The method of claim 1, wherein annealing the silicon oxide layer comprises annealing in a dry non-reactive gas at a temperature of about 800 ° C. or higher. 該非反応性ガスが窒素(N)であり、該温度が900℃である、請求項に記載の方法。 The method of claim 9 , wherein the non-reactive gas is nitrogen (N 2 ) and the temperature is 900 ° C. 該方法が該第一層と該第二層上に追加の酸化シリコン層を形成するステップを含み、各追加の酸化シリコン層の厚さが約50オングストローム〜約500オングストロームである、請求項1に記載の方法。   The method of claim 1, wherein the method includes forming additional silicon oxide layers on the first layer and the second layer, each additional silicon oxide layer having a thickness of about 50 angstroms to about 500 angstroms. The method described. 該追加の酸化シリコン層が、該第一酸化シリコン層と該第二酸化シリコン層と同一方法でエッチングされる、請求項11に記載の方法。 The method of claim 11 , wherein the additional silicon oxide layer is etched in the same manner as the first silicon oxide layer and the second silicon dioxide layer. 該酸化シリコン層の全厚さが約500オングストローム〜約10000オングストロームである、請求項11に記載の方法。 The method of claim 11 , wherein the total thickness of the silicon oxide layer is from about 500 angstroms to about 10,000 angstroms. アニールされた該酸化シリコン層のウェットエッチング速度比(WERR)が約2:1以下である、請求項1に記載の方法。   The method of claim 1, wherein the annealed silicon oxide layer has a wet etch rate ratio (WERR) of about 2: 1 or less. アニールされた該酸化シリコン層のウェットエッチング速度比(WERR)が約1.8:1〜約1.4:1である、請求項1に記載の方法。   The method of claim 1, wherein the annealed silicon oxide layer has a wet etch rate ratio (WERR) of about 1.8: 1 to about 1.4: 1. アニールされた該酸化シリコン層のk値が、約4.0以下である、請求項1に記載の方法。   The method of claim 1, wherein the annealed silicon oxide layer has a k value of about 4.0 or less. 該ギャップの高さと幅のアスペクト比が、約5:1以上である、請求項1に記載の方法。   The method of claim 1, wherein the gap height to width aspect ratio is about 5: 1 or greater. 該ギャップの高さと幅のアスペクト比が、約13:1以上である、請求項1に記載の方法。   The method of claim 1, wherein the gap height to width aspect ratio is about 13: 1 or greater. 基板上に多層酸化シリコン膜を形成する方法であって:
該基板上に複数の酸化シリコン層を形成するステップであって、各酸化シリコン層の厚さが約100オングストローム〜約200オングストロームであり、各層が:
(i)有機シリコン前駆物質と原子酸素前駆物質を反応チャンバに導入すること;
(ii)該前駆物質を反応させて、該基板上に該層を形成すること;
(iii)該層をエッチングして、該層内の不純物を減少させること;
により形成される、前記ステップと;
該複数の層をアニールするステップと;
を含み、
該層をエッチングするステップが、
第一密度を持つ第一プラズマに該層をさらす工程であって、該第一プラズマが該層内のより大きい炭素分子を解離する、前記工程と;
第一密度より大きい第二密度を持つ第二プラズマに該層をさらす工程であって、該第二プラズマが該層内の水酸化シリコン結合を解離する、前記工程と;
を含む、前記方法。
A method of forming a multilayer silicon oxide film on a substrate comprising:
Forming a plurality of silicon oxide layers on the substrate, each silicon oxide layer having a thickness of about 100 angstroms to about 200 angstroms;
(I) introducing an organosilicon precursor and an atomic oxygen precursor into the reaction chamber;
(Ii) reacting the precursor to form the layer on the substrate;
(Iii) etching the layer to reduce impurities in the layer;
Formed by said step;
Annealing the plurality of layers;
Only including,
Etching the layer comprises:
Exposing the layer to a first plasma having a first density, wherein the first plasma dissociates larger carbon molecules in the layer;
Exposing the layer to a second plasma having a second density greater than the first density, wherein the second plasma dissociates silicon hydroxide bonds in the layer;
Including the method.
該原子酸素前駆物質が、該堆積チャンバの外部で生成され、該有機シリコン前駆物質と該原子酸素前駆物質が該反応チャンバに導入されるまで混合されない、請求項19に記載の方法。 20. The method of claim 19 , wherein the atomic oxygen precursor is generated outside the deposition chamber and is not mixed until the organosilicon precursor and the atomic oxygen precursor are introduced into the reaction chamber. 該複数の層をアニールするステップが、熱アニール、スチームアニール、プラズマアニール、紫外光アニール、eビームアニール、又はマイクロ波アニールを含む、請求項19に記載の方法。 20. The method of claim 19 , wherein annealing the plurality of layers comprises thermal annealing, steam annealing, plasma annealing, ultraviolet light annealing, e-beam annealing, or microwave annealing. 該複数の層をアニールするステップが、
該基板をスチームの存在下に第一アニール温度で加熱する工程と;
該基板を乾燥窒素中で第二アニール温度で加熱する工程と;
を含む、請求項19に記載の方法。
Annealing the plurality of layers comprises:
Heating the substrate in the presence of steam at a first annealing temperature;
Heating the substrate in dry nitrogen at a second annealing temperature;
20. The method of claim 19 , comprising:
該第一アニール温度が約650℃であり、該第二アニール温度が約900℃である、請求項22に記載の方法。 23. The method of claim 22 , wherein the first anneal temperature is about 650 ° C and the second anneal temperature is about 900 ° C. 該複数の層のそれぞれが、約125オングストローム/分〜約2μm/分の速度で形成される、請求項19に記載の方法。 The method of claim 19 , wherein each of the plurality of layers is formed at a rate of about 125 angstroms / minute to about 2 μm / minute. 該層のそれぞれが、約3分以内にエッチングされる、請求項19に記載の方法。 20. The method of claim 19 , wherein each of the layers is etched within about 3 minutes. 該複数の層が、約30分以内にアニールされる、請求項19に記載方法。 20. The method of claim 19, wherein the plurality of layers are annealed within about 30 minutes. 該複数の層のウェットエッチング速度比(WERR)が、約1.8:1〜約1.4:1である、請求項19に記載の方法。 The method of claim 19 , wherein the plurality of layers have a wet etch rate ratio (WERR) of about 1.8: 1 to about 1.4: 1. 該複数の層のk値が、約4.0以下である、請求項19に記載の方法。 The method of claim 19 , wherein the k value of the plurality of layers is about 4.0 or less. 該多層酸化シリコン膜の厚さが、約1000オングストローム〜約3000オングストロームである、請求項19に記載の方法。 The method of claim 19 , wherein the thickness of the multilayer silicon oxide film is from about 1000 angstroms to about 3000 angstroms.
JP2009513423A 2006-05-30 2007-05-29 A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality Active JP5225268B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80348106P 2006-05-30 2006-05-30
US60/803,481 2006-05-30
US11/753,968 2007-05-25
US11/753,968 US7902080B2 (en) 2006-05-30 2007-05-25 Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
PCT/US2007/069899 WO2007140377A2 (en) 2006-05-30 2007-05-29 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Publications (2)

Publication Number Publication Date
JP2009539266A JP2009539266A (en) 2009-11-12
JP5225268B2 true JP5225268B2 (en) 2013-07-03

Family

ID=38779413

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513423A Active JP5225268B2 (en) 2006-05-30 2007-05-29 A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality

Country Status (6)

Country Link
EP (1) EP2036120A4 (en)
JP (1) JP5225268B2 (en)
KR (1) KR101115750B1 (en)
CN (1) CN101454877B (en)
TW (1) TWI366876B (en)
WO (1) WO2007140377A2 (en)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
CN102054734B (en) * 2009-11-10 2013-01-30 中芯国际集成电路制造(上海)有限公司 Method for improving filling capacity of wafer channel
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8512809B2 (en) 2010-03-31 2013-08-20 General Electric Company Method of processing multilayer film
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9384982B2 (en) 2012-12-31 2016-07-05 Fei Company Depositing material into high aspect ratio structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (en) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 The forming method of groove isolation construction
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201506367TA (en) * 2013-03-15 2015-09-29 Applied Materials Inc Apparatus and methods for pulsed photo-excited deposition and etch
JP5943888B2 (en) * 2013-08-28 2016-07-05 株式会社東芝 Manufacturing method of semiconductor device
US20150340274A1 (en) * 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20160061129A (en) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 Method of fabricating stacked film
KR102655396B1 (en) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 Cyclic sequential processes to form high quality thin films
KR102527897B1 (en) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 Bottom up gap-filling by surface poisoning treatment
JP6938491B2 (en) * 2015-11-13 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. Semiconductor device processing methods and semiconductor device processing systems and equipment
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
CN106783535A (en) * 2016-11-28 2017-05-31 武汉新芯集成电路制造有限公司 The method and semiconductor structure of a kind of improvement PETEOS film defects
CN116892014A (en) * 2017-04-13 2023-10-17 应用材料公司 Method and apparatus for depositing low dielectric constant films
CN109166787B (en) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 A kind of flowable chemical vapor deposition method of silicon oxide film
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR102224128B1 (en) 2019-08-05 2021-03-09 한양대학교 산학협력단 Deposition method of carbon thin-film
JP7227122B2 (en) 2019-12-27 2023-02-21 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP7072012B2 (en) 2020-02-27 2022-05-19 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing device, and program

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616505B2 (en) * 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 Insulation film formation method
JP2980340B2 (en) * 1990-04-28 1999-11-22 科学技術振興事業団 CVD method
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (en) * 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0982696A (en) * 1995-09-18 1997-03-28 Toshiba Corp Manufacture of semiconductor device and semiconductor manufacturing equipment
JP3522917B2 (en) * 1995-10-03 2004-04-26 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
JPH11145131A (en) * 1997-03-18 1999-05-28 Toshiba Corp Manufacture of semiconductor device, semiconductor manufacturing apparatus, and semiconductor device
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4698813B2 (en) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6531413B2 (en) * 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7723242B2 (en) * 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
KR100538882B1 (en) * 2003-06-30 2005-12-23 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
EP2036120A4 (en) 2012-02-08
KR101115750B1 (en) 2012-03-07
TW200807558A (en) 2008-02-01
WO2007140377A3 (en) 2008-08-28
WO2007140377A9 (en) 2008-10-16
EP2036120A2 (en) 2009-03-18
WO2007140377A2 (en) 2007-12-06
KR20090019865A (en) 2009-02-25
TWI366876B (en) 2012-06-21
CN101454877A (en) 2009-06-10
CN101454877B (en) 2012-07-04
JP2009539266A (en) 2009-11-12

Similar Documents

Publication Publication Date Title
JP5225268B2 (en) A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
KR101215033B1 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4987083B2 (en) Formation of high-quality dielectric films of silicon dioxide for STI: Use of different siloxane-based precursors for HARPII-remote plasma enhanced deposition process
TWI395269B (en) Curing methods for silicon dioxide thin films deposited from alkoxysilane precurson with harp ii process
JP2009539268A (en) Chemical vapor deposition of high quality fluidized silicon dioxide using silicon-containing precursors and atomic oxygen
US7888273B1 (en) Density gradient-free gap fill
KR101161074B1 (en) Methods for forming a silicon oxide layer over a substrate
US6703321B2 (en) Low thermal budget solution for PMD application using sacvd layer
US20050136684A1 (en) Gap-fill techniques
US7674684B2 (en) Deposition methods for releasing stress buildup

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101008

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120928

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130305

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130312

R150 Certificate of patent or registration of utility model

Ref document number: 5225268

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250