US20110151676A1 - Methods of thin film process - Google Patents
Methods of thin film process Download PDFInfo
- Publication number
- US20110151676A1 US20110151676A1 US13/039,724 US201113039724A US2011151676A1 US 20110151676 A1 US20110151676 A1 US 20110151676A1 US 201113039724 A US201113039724 A US 201113039724A US 2011151676 A1 US2011151676 A1 US 2011151676A1
- Authority
- US
- United States
- Prior art keywords
- silicon oxide
- layer
- dielectric layer
- solid product
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000000034 method Methods 0.000 title claims abstract description 170
- 230000008569 process Effects 0.000 title claims description 127
- 239000010409 thin film Substances 0.000 title 1
- 239000000758 substrate Substances 0.000 claims abstract description 57
- 239000012265 solid product Substances 0.000 claims abstract description 55
- 239000004065 semiconductor Substances 0.000 claims abstract description 22
- 239000000376 reactant Substances 0.000 claims abstract description 19
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 54
- 239000007789 gas Substances 0.000 claims description 45
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 43
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 37
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 27
- 229910004074 SiF6 Inorganic materials 0.000 claims description 22
- 238000000151 deposition Methods 0.000 claims description 20
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 17
- 229910021529 ammonia Inorganic materials 0.000 claims description 14
- 235000012431 wafers Nutrition 0.000 claims description 14
- 229910000040 hydrogen fluoride Inorganic materials 0.000 claims description 12
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 12
- 238000012545 processing Methods 0.000 claims description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 11
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000010703 silicon Substances 0.000 claims description 11
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 10
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 9
- 238000006243 chemical reaction Methods 0.000 claims description 9
- 239000003989 dielectric material Substances 0.000 claims description 9
- 229910052731 fluorine Inorganic materials 0.000 claims description 9
- 239000011737 fluorine Substances 0.000 claims description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 9
- 238000000231 atomic layer deposition Methods 0.000 claims description 8
- 239000000203 mixture Substances 0.000 claims description 7
- 238000005530 etching Methods 0.000 claims description 5
- 239000000463 material Substances 0.000 claims description 5
- 238000004519 manufacturing process Methods 0.000 claims description 3
- 238000004528 spin coating Methods 0.000 claims description 3
- -1 ammonia fluorosilicate Chemical compound 0.000 claims 3
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 claims 2
- 239000002243 precursor Substances 0.000 abstract description 62
- 210000002381 plasma Anatomy 0.000 description 61
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 38
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 26
- 239000010408 film Substances 0.000 description 21
- 238000005229 chemical vapour deposition Methods 0.000 description 19
- 150000004767 nitrides Chemical class 0.000 description 17
- 238000009826 distribution Methods 0.000 description 13
- 230000008021 deposition Effects 0.000 description 11
- 238000004590 computer program Methods 0.000 description 10
- 238000002955 isolation Methods 0.000 description 10
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 9
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 9
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 9
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 9
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 9
- 239000001257 hydrogen Substances 0.000 description 9
- 229910052739 hydrogen Inorganic materials 0.000 description 9
- 238000002156 mixing Methods 0.000 description 9
- 230000003993 interaction Effects 0.000 description 8
- 239000000047 product Substances 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 6
- 239000007864 aqueous solution Substances 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 6
- 239000000919 ceramic Substances 0.000 description 6
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 6
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 6
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- 150000002431 hydrogen Chemical group 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000000752 ionisation method Methods 0.000 description 4
- 238000007669 thermal treatment Methods 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 3
- 229910052906 cristobalite Inorganic materials 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- JUINSXZKUKVTMD-UHFFFAOYSA-N hydrogen azide Chemical compound N=[N+]=[N-] JUINSXZKUKVTMD-UHFFFAOYSA-N 0.000 description 3
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 229960004065 perflutren Drugs 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 239000002798 polar solvent Substances 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 239000008213 purified water Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 3
- 229910052682 stishovite Inorganic materials 0.000 description 3
- 238000000859 sublimation Methods 0.000 description 3
- 230000008022 sublimation Effects 0.000 description 3
- 229910052905 tridymite Inorganic materials 0.000 description 3
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 2
- 125000003545 alkoxy group Chemical group 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000009833 condensation Methods 0.000 description 2
- 230000005494 condensation Effects 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000005401 electroluminescence Methods 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 229920000548 poly(silane) polymer Polymers 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 239000005049 silicon tetrachloride Substances 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- PPUHGKQVCOLZPA-UHFFFAOYSA-N (acetyloxy-methyl-trimethylsilylsilyl) acetate Chemical class CC(=O)O[Si](C)([Si](C)(C)C)OC(C)=O PPUHGKQVCOLZPA-UHFFFAOYSA-N 0.000 description 1
- JRLTTZUODKEYDH-UHFFFAOYSA-N 8-methylquinoline Chemical group C1=CN=C2C(C)=CC=CC2=C1 JRLTTZUODKEYDH-UHFFFAOYSA-N 0.000 description 1
- WDFIBGBTIINTHZ-UHFFFAOYSA-N CCO[SiH2][SiH3] Chemical class CCO[SiH2][SiH3] WDFIBGBTIINTHZ-UHFFFAOYSA-N 0.000 description 1
- SHYMOZPBUNMINW-UHFFFAOYSA-N CO[SiH2]C1CCCCC1 Chemical class CO[SiH2]C1CCCCC1 SHYMOZPBUNMINW-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- VIGICEBBPOJZRH-UHFFFAOYSA-N [dimethyl(triacetyloxysilyl)silyl] acetate Chemical class CC(=O)O[Si](C)(C)[Si](OC(C)=O)(OC(C)=O)OC(C)=O VIGICEBBPOJZRH-UHFFFAOYSA-N 0.000 description 1
- 125000002777 acetyl group Chemical group [H]C([H])([H])C(*)=O 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- VARCERBLAQWSHW-UHFFFAOYSA-N cyclohexyl-methoxy-methylsilane Chemical class CO[SiH](C)C1CCCCC1 VARCERBLAQWSHW-UHFFFAOYSA-N 0.000 description 1
- IRRVTIDUSZWLNF-UHFFFAOYSA-N cyclopentylsilane Chemical class [SiH3]C1CCCC1 IRRVTIDUSZWLNF-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 1
- KOWAYWQUMWNZJW-UHFFFAOYSA-N diethoxy-methyl-trimethylsilylsilane Chemical class CCO[Si](C)([Si](C)(C)C)OCC KOWAYWQUMWNZJW-UHFFFAOYSA-N 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- BPAOHKKCAPEUMS-UHFFFAOYSA-N disilanyl acetate Chemical class CC(=O)O[SiH2][SiH3] BPAOHKKCAPEUMS-UHFFFAOYSA-N 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- MEBNNDGCPRQQBQ-UHFFFAOYSA-N methoxy(silyl)silane Chemical class CO[SiH2][SiH3] MEBNNDGCPRQQBQ-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000002207 thermal evaporation Methods 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76232—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
Definitions
- Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produces devices with 250 nm, 180 nm, and 65 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The smaller sizes, however, mean device elements have to work closer together which can increase the chances of electrical interference, including cross-talk and parasitic capacitance.
- dielectric insulating materials are used to fill the gaps, trenches, and other spaces between the device elements, metal lines, and other device features.
- the aspect ratio of a gap is defined by the ratio of the gap's height or depth to its width. These spaces are difficult to fill using conventional CVD methods.
- a film's ability to completely fill such gaps is referred to as the film's “gapfilling” ability.
- Silicon oxide is one type of insulation film that is commonly used to fill the gaps in intermetal dielectric (IMD) applications, premetal dielectric (PMD) applications and shallow trench isolation (STI) applications among others.
- IMD intermetal dielectric
- PMD premetal dielectric
- STI shallow trench isolation
- Such a silicon oxide film is often referred to as a gapfill film or a gapfill layer.
- HDP-CVD high density plasma CVD
- HDP-CVD systems form a plasma that is approximately two orders of magnitude or greater than the density of a standard, capacitively-coupled plasma CVD system.
- Examples of HDP-CVD systems include inductively-coupled plasma systems and electron cyclotron resonance (ECR) plasma systems, among others.
- HDP-CVD systems generally operate at lower pressure ranges than low density plasma systems.
- the low chamber pressure employed in HDP-CVD systems provides active species having a long mean-free-path and reduced angular distribution.
- HDP-CVD high density, simultaneous with film deposition.
- the sputtering element of HDP deposition slows deposition on certain features, such as the corners of raised surfaces, thereby contributing to the increased gapfill ability of IDP deposited films.
- Some HDP-CVD systems introduce argon or a similar heavy inert gas to further promote the sputtering effect.
- These HDP-CVD systems typically employ an electrode within the substrate support pedestal that enables the creation of an electric field to bias the plasma toward the substrate.
- the electric field can be applied throughout the HDP deposition process to generate sputtering and provide better gapfill characteristics for a given film.
- One HDP-CVD process commonly used to deposit a silicon oxide film forms a plasma from a process gas that includes silane (SiH 4 ), molecular oxygen (O 2 ) and argon (Ar).
- a limitation associated with sputtering is an angular redistribution of sputtered material.
- the sputtered SiO 2 can be sputtered from above the trench and deposit on the sides of the trench, causing excess buildup, and limiting the opening through which bottom-up gapfill is achieved. If there is too much re-deposition, the trench can close off before the bottom is filled, leaving a buried void within the trench.
- a method for forming a semiconductor structure includes forming a plurality of features across a surface of a substrate, with at least one space being between two adjacent features.
- a first dielectric layer is formed on the features and within the at least one space.
- a portion of the first dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a first solid product.
- the first solid product is decomposed to substantially remove the portion of the first dielectric layer.
- a second dielectric layer is formed to substantially fill the at least one space.
- forming a plurality of features comprises forming at least a plurality of trenches, conductive lines, openings and transistor gates.
- forming the first dielectric layer includes forming a silicon oxide layer.
- interacting the portion of the first dielectric layer with a reactant includes ionizing the first precursor and the second precursor; and interacting the ionized first precursor and the ionized second precursor with the portion of the first dielectric layer.
- the first precursor is ammonia (NH 3 ) and the second precursor is nitrogen trifluoride (NF 3 ).
- interacting the portion of the first dielectric layer with a reactant includes interacting the portion of the first dielectric layer with the ionized first precursor and the second precursor.
- the first precursor is nitrogen trifluoride (NF 3 ) and the second precursor is hydrogen fluoride (HF) or ammonia (NH 3 ).
- interacting the portion of the first dielectric layer with a reactant includes interacting the portion of the first dielectric layer with the first precursor and the second precursor.
- the first precursor is ammonia (NH 3 ) and the second precursor is hydrogen fluoride (HF).
- forming the first dielectric layer comprises forming a silicon nitride layer.
- interacting the portion of the first dielectric layer with a reactant includes ionizing the first precursor and the second precursor; and interacting the portion of the silicon nitride layer with the ionized first precursor and the ionized second precursor.
- the first precursor is hydrogen (H 2 ) and the second precursor is nitrogen trifluoride (NF 3 ).
- decomposing the first solid product includes thermally treating the first solid product to substantially sublimate the first solid product.
- the method further includes forming a liner under the first dielectric layer, wherein an etch selectivity of the first dielectric layer to the liner is about 8:1 or more.
- the method further includes interacting a portion of the liner with a reactant derived from a third precursor and a fourth precursor to generate a second solid product; and decomposing the second solid product to remove the portion of the liner.
- the method further includes interacting another portion of the first dielectric layer with a reactant derived from a third precursor and a fourth precursor to generate a second solid product; and decomposing the second solid product to remove the another portion of the first dielectric layer.
- forming the first dielectric layer on the features and within the at least one space has a process pressure of about 600 torr or more.
- decomposing the first solid product forms an angle between slanted sidewalls of the removed first dielectric layer and a bottom of the removed first dielectric layer about 87° or less.
- a method for forming a semiconductor structure includes forming a plurality of trenches across a surface of a substrate.
- a first dielectric layer is formed on and within the trenches.
- a portion of the first dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a first solid product.
- the first solid product is thermally treated to substantially sublimate the first solid product to substantially remove the portion of the first dielectric layer.
- a second dielectric layer is formed to substantially fill the spaces.
- the steps in the above-described method may be repeated for one or more additional cycles of etching and depositing dielectric layers.
- a portion of the second dielectric layer deposited at the end of the above-described method may further interact with the reactant to form a second solid product that is sublimated in a thermal treatment to remove that portion of the second dielectric layer.
- a third dielectric layer may be formed on the remaining (i.e., non-etched) portion of the second dielectric layer. Additional etching and dielectric deposition cycles may be performed until a final dielectric layer is deposited that substantially fills the remaining spaces (e.g., a dielectric cap layer).
- FIGS. 1A-1D are schematic drawings showing an exemplary process method for forming an exemplary shallow trench isolation structure.
- FIG. 2 is a schematic drawing showing an exemplary flowchart of forming an exemplary shallow trench isolation structure.
- FIG. 3 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure.
- FIG. 4 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure.
- FIG. 5A shows a vertical cross-sectional view of an exemplary thin film deposition system.
- FIG. 5B is a simplified diagram of an exemplary system monitor/controller component of a thin film deposition system.
- FIG. 6 is a schematic cross-sectional view of an exemplary etch system.
- Systems and methods are described for forming at least one dielectric layer over at least one feature, e.g., transistor gate, formed across a surface of a substrate.
- a portion of the dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a solid product.
- the solid product can be decomposed so as to substantially remove the portion of the dielectric layer.
- Another dielectric layer then may be formed over the etched dielectric layer, such that the aspect ratio gaps and/or trenches may be filled with dielectric materials substantially without gaps or seams.
- FIGS. 1A-1D are schematic drawings showing an exemplary process method for forming an exemplary shallow trench isolation structure.
- FIG. 2 is a schematic drawing showing an exemplary flowchart of forming an exemplary shallow trench isolation structure.
- At least one feature is formed across a surface of a substrate 100 .
- the trenches 115 can be, for example, transistors, transistor gates, trenches, openings, gaps, conductive lines or other feature that has an aspect ratio of about 5:1 or more.
- the trenches 115 can be trenches.
- the trenches 115 can be formed across the substrate 100 .
- the substrate 100 may be a silicon substrate, a III-V compound substrate, a silicon/germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a liquid crystal display (LCD), a plasma display, an electro luminescence (EL) lamp display, or a light emitting diode (LED) substrate, for example.
- the substrate 100 may be a semiconductor wafer (e.g., a 200 mm, 300 mm, 400 mm, etc. silicon wafer).
- an exemplary process 200 for forming an shallow trench isolation structure can include steps 210 - 250 .
- Step 210 can form a plurality of trenches 115 across a surface of the substrate 100 .
- At least one pad dielectric layer 105 can be formed over the substrate 100 .
- at least one pad dielectric layer 110 can be formed over the pad oxide 105 .
- the pad dielectric layers 105 and 110 can be formed, for example, by a chemical vapor deposition (CVD) process, a thermal process and/or other process that can desirably form a dielectric film layer.
- the trenches 115 can be formed by an etch process which removes portions of the pad dielectric layers 105 , 110 and the substrate 100 .
- the pad dielectric layer 105 is nitride and the pad dielectric layer 110 is oxide.
- the pad dielectric layers 105 and 110 can be different dielectric materials which have a desired etch selectivity.
- step 220 can form at least one dielectric layer, such as dielectric layer 120 , over the trenches 115 .
- the dielectric layer 120 can reduce the dimensions of the trenches 115 to those of trenches 115 a .
- the dielectric layer 120 can include at least one of a silicon oxide layer, a silicon nitride layer, silicon oxynitride layer, a silicon oxycarbide layer and other dielectric layer.
- the dielectric layer 120 can be thermal CVD oxide, such as high aspect ratio process (HARP) oxide, eHARP oxide, atmosphere pressure CVD (APCVD) oxide, or high temperature undoped silicate glass (HTUSG); high density plasma (HDP) oxide; plasma-enhanced CVD (PECVD) oxide; furnace deposited oxide, such as high temperature oxide, medium temperature oxide or low temperature oxide; atomic layer deposition (ALD) oxide, and other oxide.
- the dielectric layer 120 can be, for example, PECVD nitride, furnace deposited nitride, HDP nitride, thermal CVD nitride, ALD nitride, and other nitride.
- the dielectric layer 120 may be referred to as a high aspect ratio process (HARP) liner.
- the step 220 may have a process pressure of about 600 torrs or more.
- the dielectric layer 120 may be formed from a silicon-containing precursor such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tricholorosilane (SiHCl 3 ), and silicontetrachloride (SiCl 4 ) and a nitrogen-containing precursor, such as nitrogen (N 2 ) and ammonia (NH 3 ).
- the dielectric layer 125 may be formed from a silicon-containing precursor such as alkoxy disilanes, alkoxy-alkyl disilanes, alkoxy-acetoxy disilanes and polysilanes; and a nitrogen-containing precursor such as nitrogen and ammonia.
- the alkoxy disilanes may include Si 2 (EtO) 6 ethoxy disilanes, Si 2 (MeO) 6 methoxy disilanes, and Si 6 (MeO) 12 methoxy cyclohexylsilanes, where Et denotes ethyl group (C 2 H 6 ) and Me denotes methyl group (CH 3 ).
- the alkoxy-alkyl disilanes may include Si 2 (EtO) 4 (Me) 2 tetraethoxy-dimethyl disilanes, Si 2 (EtO) 4 (Et) 2 tetraethoxy-diethyl disilanes, Si 2 (EtO) 2 (Me) 4 diethoxy-tetramethyl disilanes, Si 2 (MeO) 4 (Me) 2 tetramethoxy-dimethyl disilanes, and Si 4 O 2 (Me) 8 methyl cyclohexylsiloxanes, Si 6 (MeO) 6 (Me) 6 methoxy-methyl cyclohexylsilanes, Si 4 O 2 (H 2 ) 4 hydro-cyclohexylsiloxanes.
- the alkoxy-acetoxy disilanes may include Si 2 (AcO) 6 acetoxy disilanes, Si 2 (Me) 4 (AcO) 2 tetramethyl-diacetoxy disilanes, and Si 2 (Me) 2 (AcO) 4 dimethyl-tetracetoxy disilanes, where Ac denotes acetyl group.
- the polysilanes may include cyclopentylsilanes or other substitutes.
- the dielectric layer 120 can be formed over the pad dielectric layer 110 , such that the trenches 115 a may have a top gap smaller than the middle and/or bottom gap of the trenches 115 a.
- an etch process 130 can remove a portion of the dielectric layer 120 , such that the etched dielectric layer 120 a can have tapered sidewalls along the trenches 115 b .
- the etch process 130 can include steps 230 , and 240 shown in FIG. 2 .
- Step 230 may interact a portion of the dielectric layer 120 with a reactant to form a solid product.
- Step 240 can decompose the solid product to remove the interacted portion of the dielectric layer 120 , resulting the dielectric layer 120 a .
- the dielectric layer 120 can be a silicon oxide layer.
- a first precursor can be, for example, hydrogen (H 2 ), ammonia (NH 3 ), hydrazine (N 2 H 4 ), hydrazoic acid (HN 3 ), other hydrogen-containing precursor and various combinations thereof.
- a second precursor can be, for example, nitrogen trifluoride (NF 3 ), silicon tetrafluorid (SiF 4 ), tetrafluoromethane (CF 4 ), fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), trifluoromethane (CHF 3 ), octafluoropropane (C 3 F 8 ), hexafluoroethane (C 2 F 6 ), other fluorine-containing precursor or various combinations thereof.
- the first precursor such as ammonia (NH 3 )
- the second precursor such as nitrogen trifluoride (NF 3 ) can be ionized as a plasma.
- the ionization process can be performed within the chamber that deposits the dielectric layer 120 . In some embodiments, the ionization process can be occurred externally and then introduced into the chamber that deposits the dielectric layer 120 . In some embodiments, the etch process 130 can be performed within an etch chamber different from the deposition chamber. In some embodiments, the etch process 130 may form an angle between slanted sidewalls of the trenches 115 b and a bottom of the trenches 115 b about 87° or less.
- NH 3 may have a flow rate between about 10 standard cubic centimeter per minute (sccm) and about 1,000 sccm. In some embodiments, NH 3 may have a flow rate of about 100 sccm.
- NF 3 can have a flow rate between about 10 sccm and about 1,000 sccm. In some embodiments, NF 3 may have a flow rate of about 100 sccm.
- the process temperature can be between about 0° C. and about 80° C. In some embodiment, the process temperature can be about 30° C.
- the process pressure can be between about 1 millitorr (mTorr) and about 1 atm. In some embodiments, the process pressure can be 3 Tons.
- the plasma power can be between about 10 Watts and about 2,000 Watts. In some embodiments, the plasma power can be about 45 Watts.
- the interaction of plasma of NH 3 and NF 3 can be represented by the formula described below:
- the reactant, NH 4 F (s) and/or NH 4 F y .HF (s) then can be introduced to interact with a portion of the silicon oxide layer.
- the reactant, NH 4 F (s) and/or NH 4 F y .HF (s) may interact with silicon oxide to form a solid product, e.g., (NF 4 ) 2 SiF 6 .
- the substrate 100 is disposed over a pedestal having a temperature between about ⁇ 100° C. and about 1,000° C. (e.g., about ⁇ 50° C. to about 200° C.). In other embodiments, the pedestal may have a temperature of about 30° C.
- the temperature of the pedestal may desirably enhance the interaction of the plasma and silicon nitride.
- the interaction of the silicon oxide and the reactant, NH 4 F (s) and/or NH 4 F y .HF (s) can be described as the following formula:
- step 240 can decompose the solid product, (NF 4 ) 2 SiF 6 .
- step 240 can include thermally treating the solid product to substantially sublimate the solid product (NF 4 ) 2 SiF 6 .
- the thermal process may be carried out by approaching the solid product (NF 4 ) 2 SiF 6 to a showerhead, which may be operative to provide a process temperature between about ⁇ 100° C. and about 1,000° C. (e.g., about ⁇ 50° C. to about 200° C.). In an embodiment, the process temperature is about 180° C.
- the thermal process may be carried out by, for example, an oven, a furnace, a rapid thermal anneal (RTA) apparatus, or other thermal apparatus.
- RTA rapid thermal anneal
- the decomposition and/or sublimation of the solid product (NF 4 ) 2 SiF 6 may be described as the following formula:
- Additional embodiments of a method to remove the solid product may include the steps of rinsing the product containing substrate with an aqueous solution (e.g., purified water) in lieu of sublimating the product with a thermal treatment.
- the substrate may also be rinsed with a polar solvent such as ethanol or glycol in lieu of (or in addition to) the aqueous solution rinse.
- the dielectric layer 120 can be a silicon oxide layer.
- a first precursor can be, for example, hydrogen (H 2 ), ammonia (NH 3 ), hydrazine (N 2 H 4 ), hydrazoic acid (HN 3 ), other hydrogen-containing precursor and various combinations thereof.
- a second precursor can be, for example, hydrogen fluoride (HF), nitrogen trifluoride (NF 3 ), silicon tetrafluorid (SiF 4 ), tetrafluoromethane (CF 4 ), fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), trifluoromethane (CHF 3 ), octafluoropropane (C 3 F 8 ), hexafluoroethane (C 2 F 6 ), other fluorine-containing precursor or various combinations thereof.
- the first precursor such as ammonia (NH 3 )
- the second precursor such as hydrogen fluoride (HF) can be used to interact with the dielectric layer 120 .
- NH 3 and HF can be introduced within the chamber that deposits the dielectric layer 120 .
- NH 3 and HF can be introduced within an etch chamber different from the deposition chamber so as to interact with the dielectric layer 120 .
- NH 3 may have a flow rate between about 10 standard cubic centimeter per minute (sccm) and about 1,000 sccm. In some embodiments, NH 3 may have a flow rate of about 100 sccm. HF can have a flow rate between about 10 sccm and about 2,000 sccm. In some embodiments, HF may have a flow rate of about 200 sccm.
- the process temperature can be between about 0° C. and about 80° C. In some embodiment, the process temperature can be about 30° C.
- the process pressure can be between about 1 millitorr (mTorr) and about 1 atm. In some embodiments, the process pressure can be 3 Tons.
- the interaction of NH 3 and HF may be represented as the formula described below:
- NH 3 and HF can be introduced into the chamber for interacting with a portion of the silicon oxide layer.
- NH 3 and HF may interact with silicon oxide to form a solid product, e.g., (NF 4 ) 2 SiF 6(s) .
- the substrate 100 is disposed over a pedestal having a temperature between about ⁇ 100° C. and about 1,000° C. (e.g., about ⁇ 50° C. to about 200° C.).
- the pedestal may have a temperature of about 30° C.
- the temperature of the pedestal may desirably enhance the interaction of the plasma and silicon nitride.
- the interaction of the silicon oxide and the plasma can be described as the following formula:
- step 240 can decompose the solid product, (NF 4 ) 2 SiF 6 .
- step 240 can include thermally treating the solid product to substantially sublimate the solid product (NF 4 ) 2 SiF 6 .
- the thermal process may be carried out by approaching the solid product (NF 4 ) 2 SiF 6 to a showerhead, which may be operative to provide a process temperature between about ⁇ 100° C. and about 1,000° C. (e.g., about ⁇ 50° C. to about 200° C.). In an embodiment, the process temperature is about 180° C.
- the thermal process may be carried out by, for example, an oven, a furnace, a rapid thermal anneal (RTA) apparatus, or other thermal apparatus.
- RTA rapid thermal anneal
- the decomposition and/or sublimation of the solid product (NF 4 ) 2 SiF 6 may be described as the following formula:
- additional embodiments of the method to remove the solid product may include the step of rinsing the product containing substrate with an aqueous solution (e.g., purified water) in lieu of (or in addition to) sublimating the product with a thermal treatment.
- the substrate may also be rinsed with a polar solvent such as ethanol or glycol in lieu of (or in addition to) the aqueous solution rinse.
- the dielectric layer 120 can be a silicon nitride layer.
- a first precursor can be, for example, hydrogen (H 2 ), ammonia (NH 3 ), hydrazine (N 2 H 4 ), hydrazoic acid (HN 3 ), other hydrogen-containing precursor and various combinations thereof.
- a second precursor can be, for example, hydrogen fluoride (HF), nitrogen trifluoride (NF 3 ), silicon tetrafluorid (SiF 4 ), tetrafluoromethane (CF 4 ), fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), trifluoromethane (CHF 3 ), octafluoropropane (C 3 F 8 ), hexafluoroethane (C 2 F 6 ), other fluorine-containing precursor or various combinations thereof.
- a first precursor such as hydrogen (H 2 ) and a second precursor such as nitrogen trifluoride (NF 3 ) can be ionized as a plasma.
- the ionization process can be occurred within the chamber that deposits the dielectric layer 120 . In some embodiments, the ionization process can be occurred externally and then introduced into the chamber that deposits the dielectric layer 120 . In some embodiments, the etch process 130 can be performed within an etch chamber different from the deposition chamber.
- NF 3 may have a flow rate between about 10 standard cubic centimeter per minute (sccm) and about 1,000 sccm. In some embodiments, NF 3 may have a flow rate of about 100 sccm.
- H 2 can have a flow rate between about 10 sccm and about 3,000 sccm. In some embodiments, H 2 may have a flow rate of about 600 sccm.
- the process temperature can be between about 0° C. and about 80° C. In some embodiment, the process temperature can be about 30° C.
- the process pressure can be between about 1 millitorr (mTorr) and about 1 atm. In some embodiments, the process pressure can be 3 Torrs.
- the plasma power can be between about 10 Watts and about 2,000 Watts. In some embodiments, the plasma power can be about 45 Watts.
- the plasma then may be introduced into the chamber for etching portions of the silicon oxide layer.
- the remote-generated plasmas may interact with silicon oxide to form a solid product, e.g., (NF 4 ) 2 SiF 6 .
- the substrate 100 is disposed over a pedestal having a temperature between about ⁇ 100° C. and about 1,000° C. (e.g., about ⁇ 50° C. to about 200° C.).
- the pedestal may have a temperature of about 30° C.
- the temperature of the pedestal may desirably enhance the interaction of the plasma and silicon nitride.
- the interaction of the silicon oxide and the plasma can be described as the following formula:
- step 240 can decompose the solid product, (NF 4 ) 2 SiF 6 .
- step 240 can include thermally treating the solid product to substantially sublimate the solid product (NF 4 ) 2 SiF 6 .
- the thermal process may be carried out by approaching the solid product (NF 4 ) 2 SiF 6 to a showerhead, which may be operative to provide a process temperature between about ⁇ 50° C. and about 1,000° C. In an embodiment, the process temperature is about 180° C.
- the thermal process may be carried out by, for example, an oven, a furnace, a rapid thermal anneal (RTA) apparatus, or other thermal apparatus.
- RTA rapid thermal anneal
- the decomposition and/or sublimation of the solid product (NF 4 ) 2 SiF 6 may be described as the following formula:
- additional embodiments of the method to remove the solid product may include the step of rinsing the product containing substrate with an aqueous solution (e.g., purified water) in lieu of (or in addition to) sublimating the product with a thermal treatment.
- the substrate may also be rinsed with a polar solvent such as ethanol or glycol in lieu of (or in addition to) the aqueous solution rinse.
- the pinch-off and the negative profile of the dielectric layer 120 may be substantially eliminated.
- the etched dielectric layer 120 a may have a desired profile, such that a subsequent dielectric layer such as dielectric layer 140 (shown in FIG. 1D ) can be desirably formed within the trenches 115 b.
- step 250 can form a dielectric layer 140 over the etched dielectric layer 120 a .
- the dielectric layer 140 may be formed of, for example, oxide, nitride, oxynitride, low-k dielectric material, ultra low-k dielectric material, other dielectric material or various combinations thereof.
- the dielectric layer 140 may be formed by, for example, a CVD process, a spin-coating process, other method that is adapted to form a dielectric layer or various combinations thereof.
- the dielectric layer 140 can be thermal CVD oxide, such as high aspect ratio process (HARP) oxide, eHARP oxide, atmosphere pressure CVD (APCVD) oxide, or high temperature undoped silicate glass (HTUSG), high density plasma (HDP) oxide, plasma-enhanced CVD (PECVD) oxide, furnace deposited oxide, such as high temperature oxide, medium temperature oxide or low temperature oxide, atomic layer deposition (ALD) oxide, and other oxide.
- the dielectric layer 140 can be, for example, PECVD nitride, furnace deposited nitride, HDP nitride, thermal CVD nitride, ALD nitride, and other nitride.
- the dielectric layer 140 may be referred to as a high aspect ratio process (HARP) cap layer. Since the pinch-off and negative profile of the dielectric layer 120 (shown in FIG. 1B ) is substantially removed, the dielectric layer 140 may be desirably filled within the gap between the etched dielectric layer 120 a.
- HTP high aspect ratio process
- an etch-back process and/or chemical mechanical planarization (CMP) process is performed to substantially planarize the top surface of the dielectric layer 140 .
- CMP chemical mechanical planarization
- FIG. 3 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure.
- steps 310 , 320 , 330 , 340 , and 350 are similar to steps 210 , 220 , 230 , 240 , and 250 described above in conjunction with FIG. 2 , respectively.
- step 312 may form a liner before forming the dielectric layer 120 .
- the liner can include at least one of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a silicon oxycarbide layer and other dielectric layer.
- an etch process may have an etch selectivity of the dielectric layer 120 to the liner about 8:1 or more.
- the liner is oxide and the dielectric layer 120 is nitride.
- the etch selectivity of the dielectric layer 120 to the liner can be modified by changing process temperatures, plasma powers, NF 3 flow rates, NH 3 flow rates and/or process pressure.
- the etch selectivity of the dielectric layer 120 to the liner can be modified by changing process temperatures, NH 3 flow rates, HF flow rates and/or process pressure.
- the liner is nitride and the dielectric layer 120 is oxide.
- the etch selectivity of the dielectric layer 120 to the liner can be modified by changing process temperatures, plasma powers, NF 3 flow rates, H 2 flow rates and/or process pressure.
- step 314 can interact the liner with a reactant derived from a third precursor and a fourth precursor to form a solid product.
- step 314 can be similar to step 230 set forth above in conjunction with FIG. 2 .
- Step 316 can decompose the solid product to remove a portion of the liner.
- step 316 can be similar to step 240 described above in conjunction with FIG. 2 .
- steps 314 , and 316 can remove a portion of the liner, such that the etched liner may provide a desired profile over which the dielectric layer 120 (shown in FIG. 1A ) can be formed.
- steps 314 , and 316 can etch a portion of the liner, the pinch-off and the negative profile of the dielectric layer 120 may be desirably eliminated.
- FIG. 4 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure.
- steps 410 , 420 , 430 , 440 , and 450 are similar to steps 210 , 220 , 230 , 240 , and 250 described above in conjunction with FIG. 2 , respectively.
- step 442 can interact another portion of the etched dielectric layer 120 a with a reactant derived from a third precursor and a fourth precursor to form a solid product.
- step 442 may be similar to step 230 described above in conjunction with FIG. 2 .
- Step 444 can decompose the solid product to remove the interacting portion of the etched dielectric layer 120 a .
- step 444 may be similar to step 240 described above in conjunction with FIG. 2 .
- steps 442 , and 444 can remove a portion of the etched dielectric layer 120 a , such that the etched dielectric layer 120 a may provide a desired profile over which the dielectric layer 140 (shown in FIG. 1A ) can be formed.
- steps 442 , and 444 can be repeated for one or more times to achieve a desired profile of the etched dielectric layer 120 a.
- steps 312 - 316 shown in FIG. 3 may be incorporated with steps 442 - 444 shown in FIG. 4 to desirably fill dielectric layers within gaps or openings of semiconductor substrates.
- Deposition systems that may deposit a dielectric layer may include high-density plasma chemical vapor deposition (HDP-CVD) systems, plasma enhanced chemical vapor deposition (PECVD) systems, sub-atmospheric chemical vapor deposition (SACVD) systems, and thermal chemical vapor deposition systems, among other types of systems.
- HDP-CVD high-density plasma chemical vapor deposition
- PECVD plasma enhanced chemical vapor deposition
- SACVD sub-atmospheric chemical vapor deposition
- thermal chemical vapor deposition systems among other types of systems.
- Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMATM HDP-CVD chambers/systems, and PRODUCERTM PECVD chambers/systems such as PRODUCERTM CeleraTM PECVD, available from Applied Materials, Inc. of Santa Clara, Calif.
- Examples of substrate processing systems that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled “PROCESS CHAMBER FOR DIELECTRIC GAPFILL,” the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.
- FIG. 5A vertical cross-sectional views of a CVD system 10 is shown that has a vacuum or processing chamber 15 that includes a chamber wall 15 a and a chamber lid assembly 15 b .
- the CVD system 10 may contain a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that can rest on a heated pedestal 12 centered within the process chamber 15 .
- Gas distribution manifold 11 may be formed from an electrically conducting material in order to serve as an electrode for forming a capacitive plasma.
- the substrate e.g. a semiconductor wafer
- the substrate can be positioned on a flat (or slightly convex) surface 12 a of the pedestal 12 .
- the pedestal 12 can be moved controllably between a lower loading/off-loading position (depicted in FIG. 5A ) and an upper processing position (indicated by dashed line 14 in FIG. 5A ), which is closely adjacent to the manifold 11 .
- a centerboard (not shown) may include sensors for providing information on the position of the wafers.
- Deposition and carrier gases can be introduced into the chamber 15 through perforated holes of a conventional flat, circular gas distribution faceplate 13 a .
- deposition process gases can flow into the chamber through the inlet manifold 11 , through a conventional perforated blocker plate and then through holes in gas distribution faceplate 13 a.
- deposition and carrier gases can be input from gas sources through gas supply lines into a mixing system where they can be combined and then sent to manifold 11 .
- the supply line for each process gas can include (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line.
- the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
- the deposition process performed in the CVD system 10 can be a thermal process and/or a plasma-enhanced process.
- an RF power supply can apply electrical power between the gas distribution faceplate 13 a and the pedestal 12 so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13 a and the pedestal 12 .
- This region will be referred to herein as the “reaction region”. Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12 .
- RF power supply can be a mixed frequency RF power supply that typically supplies power at a high RF frequency (RF 1 ) of 13.56 MHz and at a low RF frequency (RF 2 ) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15 .
- RF 1 high RF frequency
- RF 2 low RF frequency
- the RF power supply 44 would not be utilized, and the process gas mixture can thermally react to deposit the desired films on the surface of the semiconductor wafer supported on the pedestal 12 , which is resistively heated to provide thermal energy for the reaction.
- the plasma can heat the entire process chamber 10 , including the walls of the chamber body 15 a surrounding the exhaust passageway 23 and the shut-off valve 24 .
- a hot liquid is circulated through the walls 15 a of the process chamber 15 to maintain the chamber at an elevated temperature.
- the passages in the remainder of the chamber walls 15 a are not shown.
- Fluids used to heat the chamber walls 15 a can include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids.
- heating can desirably reduce and/or eliminate condensation of undesirable reactant products and improve the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
- the remainder of the gas mixture that is not deposited in a layer, including reaction byproducts, is evacuated from the chamber 15 by a vacuum pump (not shown).
- the gases can be exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17 .
- the annular slot 16 and the plenum 17 can be defined by the gap between the top of the chamber's cylindrical side wall 15 a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20 .
- the 360.degree. circular symmetry and uniformity of the slot orifice 16 and the plenum 17 can be configured to achieve a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.
- the gases may flow underneath a lateral extension portion 21 of the exhaust plenum 17 , past a viewing port (not shown), through a downward-extending gas passage 23 , past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15 a ), and into the exhaust outlet 25 that connects to the external vacuum pump (not shown) through a foreline (also not shown).
- the wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) can be resistively heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles.
- An outer portion of the heater element can run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
- the wiring to the heater element can pass through the stem of the pedestal 12 .
- any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware can be made out of material such as aluminum, anodized aluminum, or ceramic.
- An example of such a CVD apparatus is described in co-assigned U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber,” issued to Zhao et al, and hereby incorporated by reference in its entirety.
- a lift mechanism and motor 32 ( FIG. 5A ) can raise and/or lower the heater pedestal assembly 12 and its wafer lift pins 12 b as wafers are transferred into and out of the body of the chamber 15 by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10 .
- the motor 32 can raise and/or lower pedestal 12 between a processing position 14 and a lower, wafer-loading position.
- the motor, valves or flow controllers connected to the supply lines, gas delivery system, throttle valve, RF power supply, and chamber and substrate heating systems can be controlled by a system controller over control lines, of which only some are shown. Controller can rely on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller.
- the system controller can include a hard disk drive (memory), a floppy disk drive and a processor.
- the processor may contain a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
- SBC single-board computer
- Various parts of CVD system 10 can conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
- VME Versa Modular European
- the VME standard can define the bus structure as having a 16-bit data bus and a 24-bit address bus.
- System controller can control the activities of the CVD machine.
- the system controller executes system can control software, which is a computer program stored in a computer-readable medium such as a memory.
- the memory can be a hard disk drive or other kinds of memory.
- the computer program can include sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.
- Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller.
- a process for depositing a film on a substrate or a process for cleaning the chamber 15 can be implemented using a computer program product that is executed by the controller.
- the computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
- the interface between a user and the controller 34 can be via a CRT monitor 50 a and light pen 50 b , shown in FIG. 5B , which can be a simplified diagram of the system monitor and CVD system 10 in a substrate processing system, which may include one or more chambers.
- two monitors 50 a can be used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians.
- the monitors 50 a can simultaneously display the same information.
- only one light pen 50 b may be enabled.
- a light sensor in the tip of light pen 50 b can detect light emitted by CRT display. To select a particular screen or function, the operator can touch a designated area of the display screen and pushes the button on the pen 50 b .
- the touched area can change its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen.
- Other devices such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 50 b to allow the user to communicate with controller 34 .
- FIG. 5A shows a remote plasma generator 60 mounted on the lid assembly 15 b of the process chamber 15 including the gas distribution faceplate 13 a and the gas distribution manifold 11 .
- a mounting adaptor 64 can mount the remote plasma generator 60 on the lid assembly 15 b , as best seen in FIG. 5A .
- the adaptor 64 can be made of metal.
- the adaptor 64 may include a hole 95 , which is coupled to a ceramic isolator 66 .
- a mixing device 70 may be coupled to the upstream side of the gas distribution manifold 11 ( FIG. 5A ).
- the mixing device 70 can include a mixing insert 72 disposed inside a slot of a mixing block for mixing process gases.
- the ceramic isolator 66 can be placed between the mounting adaptor 64 and the mixing device 70 ( FIG.
- the ceramic isolator 66 may be made of a ceramic material such as Al 2 O 3 (99% purity), Teflon®, or the like. When installed, the mixing device 70 and ceramic isolator 66 may form part of the lid assembly 15 b .
- the isolator 66 can isolate the metal adaptor 64 from the mixing device 70 and gas distribution manifold 11 to minimize the potential for a secondary plasma to form in the lid assembly 15 b as discussed in more detail below.
- a three-way valve can control the flow of the process gases to the process chamber 15 either directly or through the remote plasma generator 60 .
- the remote plasma generator 60 can be desirably a compact, self-contained unit that can be conveniently mounted on the lid assembly 15 b and be easily retrofitted onto existing chambers without costly and time-consuming modifications.
- One suitable unit can be the ASTRON® generator available from Applied Science and Technology, Inc. of Woburn, Mass.
- the ASTRON® generator utilizes a low-field toroidal plasma to dissociate a process gas.
- the plasma dissociates a process gas including a fluorine-containing gas such as NF 3 and a carrier gas such as argon to generate free fluorine which is used to clean film deposits in the process chamber 15 .
- Etch systems that may implement an etch process may include, for example, a SiConiTM Preclean chamber/system, available from Applied Materials, Inc. of Santa Clara, Calif.
- FIG. 6 is a schematic cross-sectional view of an exemplary etch chamber.
- the etch chamber 600 may include a chamber wall 630 .
- the etch chamber 600 may include a plasma distribution apparatus 610 such as a tube, pipe and/or manifold for dispersing a process plasma 615 to the substrate 100 that rests on a pedestal 620 centered within the process chamber.
- the etch chamber 600 may be coupled to a plasma generator 605 through the plasma distribution apparatus 610 .
- the plasma generator 605 is configured to generate the plasma 615 .
- the substrate 100 may be moved controllably between a lower position/upper position near to a showerhead 650 by pins 640 .
- the substrate 100 may have the trenches 115 and the dielectric layer 120 (shown in FIG. 1B ) formed thereover.
- the plasma distribution apparatus 610 may introduce the plasmas 615 generated by, for example, steps 230 , 330 , 430 , 314 , and 442 described above in connection with FIGS. 2-4 , into the processing chamber 600 .
- the supply line for the etch plasmas 615 may include (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process plasmas into the chamber, and (ii) mass flow controllers (not shown) that measure the flow of the plasmas 615 through the supply line.
- the chamber wall 630 may have a temperature to substantially prevent condensations of etchants and/or byproducts thereon.
- the pedestal 620 may be operative to provide a desired temperature between about ⁇ 100° C. and about 1,000° C. (e.g., about ⁇ 50° C. to about 200° C.) to condense etchants on the surface of the substrate 100 , i.e., the dielectric layer 120 over the substrate 100 .
- the etchants then may desirably interact with the dielectric layer 120 formed over the substrate 100 so as to generate the solid product described above in conjunction with FIG. 2-4 .
- pins 640 may lift the substrate 100 approaching the showerhead 650 .
- the showerhead 650 may be operative to provide a process temperature between about ⁇ 50° C. and about 1,000° C. In some embodiments, the showerhead 650 may perform steps 240 , 340 , 440 , 316 , and 444 described above in conjunction with FIGS. 2-4 to decompose and/or sublimate the solid product to remove the portions of the dielectric layer 120 and liner.
- At least one pumping channel 660 may be configured within the etch chamber 600 to desirably remove the byproducts and/or the decomposed gases.
- the pumping channel 660 may be coupled to, for example, a pump or motor, such that the byproducts may be desirably removed.
- the pumping channel 660 may have at least one aperture (not shown) through which the byproducts can be desirably removed.
- an RF power supply (not shown) may be coupled to the plasma generator 605 to excite a process gas including a fluorine-containing precursor and a hydrogen-containing precursor to form the plasma 615 .
- the RF power supply may be operative to provide a RF power between about 5 watts and about 3,000 watts.
- the RF power supply may supply the power at a RF frequency between about 100 kHz and about 64 MHz.
- system controller may controls all of the activities of the etch system.
- the system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory.
- the memory is a hard disk drive, but the memory may also be other kinds of memory.
- the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature and other parameters of a particular process.
- Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller.
- a process for etching portions of a film over a substrate can be implemented using a computer program product that is executed by the controller described above.
- the computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others.
- Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Element Separation (AREA)
- Formation Of Insulating Films (AREA)
- Semiconductor Memories (AREA)
Abstract
A method for forming a semiconductor structure includes forming a plurality of features across a surface of a substrate, with at least one space being between two adjacent features. A first dielectric layer is formed on the features and within the at least one space. A portion of the first dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a first solid product. The first solid product is decomposed to substantially remove the portion of the first dielectric layer. A second dielectric layer is formed to substantially fill the at least one space.
Description
- This application is a continuation of U.S. patent application Ser. No. 11/947,674, filed Nov. 29, 2007, which claims the benefit of U.S. Provisional Application No. 60/869,066, filed Dec. 7, 2006. This application is also related to the U.S. patent application filed on the same day as the present application having Attorney Docket No. A11598/T76820, titled “Mult-step Dep-Etch-Dep High Aspect Ratio Process for Dielectric Gapfills.” The entire contents of both application are herein incorporated by reference for all purposes.
- This application is related to co-assigned U.S. Provisional Patent Application No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled “PROCESS CHAMBER FOR DIELECTRIC GAPFILL.” This application is related to co-assigned U.S. Pat. No. 6,387,207 to Janakiraman et al., issued May 14, 2002, and titled “INTEGRATION OF REMOTE PLASMA GENERATOR WITH SEMICONDUCTOR PROCESSING CHAMBER.” This application is related to and co-assigned U.S. Pat. No. 6,830,624 to Janakiraman et al., issued Dec. 14, 2004, and titled “BLOCKER PLATE BY-PASS FOR REMOTE PLASMA CLEAN.” This application is also related to co-assigned U.S. Pat. No. 5,558,717 to Zhao et al., and titled “CVD PROCESSING CHAMBER.” The entire contents of both related applications is hereby incorporated by reference for all purposes.
- Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produces devices with 250 nm, 180 nm, and 65 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The smaller sizes, however, mean device elements have to work closer together which can increase the chances of electrical interference, including cross-talk and parasitic capacitance.
- To reduce the degree of electrical interference, dielectric insulating materials are used to fill the gaps, trenches, and other spaces between the device elements, metal lines, and other device features. The aspect ratio of a gap is defined by the ratio of the gap's height or depth to its width. These spaces are difficult to fill using conventional CVD methods. A film's ability to completely fill such gaps is referred to as the film's “gapfilling” ability.
- Silicon oxide is one type of insulation film that is commonly used to fill the gaps in intermetal dielectric (IMD) applications, premetal dielectric (PMD) applications and shallow trench isolation (STI) applications among others. Such a silicon oxide film is often referred to as a gapfill film or a gapfill layer.
- Some integrated circuit manufacturers have turned to the use of high density plasma CVD (HDP-CVD) systems to deposit silicon oxide gapfill layers. HDP-CVD systems form a plasma that is approximately two orders of magnitude or greater than the density of a standard, capacitively-coupled plasma CVD system. Examples of HDP-CVD systems include inductively-coupled plasma systems and electron cyclotron resonance (ECR) plasma systems, among others. HDP-CVD systems generally operate at lower pressure ranges than low density plasma systems. The low chamber pressure employed in HDP-CVD systems provides active species having a long mean-free-path and reduced angular distribution. These factors, in combination with the plasma's density, contribute to a significant number of constituents from the plasma reaching even the deepest portions of closely spaced gaps, providing a film with improved gapfill capabilities as compared to films deposited in a low density plasma CVD system.
- Another factor that allows films deposited by HDP-CVD techniques to have improved gapfill characteristics as compared to films deposited by other CVD techniques is the occurrence of sputtering, promoted by the plasma's high density, simultaneous with film deposition. The sputtering element of HDP deposition slows deposition on certain features, such as the corners of raised surfaces, thereby contributing to the increased gapfill ability of IDP deposited films. Some HDP-CVD systems introduce argon or a similar heavy inert gas to further promote the sputtering effect. These HDP-CVD systems typically employ an electrode within the substrate support pedestal that enables the creation of an electric field to bias the plasma toward the substrate. The electric field can be applied throughout the HDP deposition process to generate sputtering and provide better gapfill characteristics for a given film. One HDP-CVD process commonly used to deposit a silicon oxide film forms a plasma from a process gas that includes silane (SiH4), molecular oxygen (O2) and argon (Ar).
- However, a limitation associated with sputtering is an angular redistribution of sputtered material. For example, in an STI gapfill, the sputtered SiO2 can be sputtered from above the trench and deposit on the sides of the trench, causing excess buildup, and limiting the opening through which bottom-up gapfill is achieved. If there is too much re-deposition, the trench can close off before the bottom is filled, leaving a buried void within the trench.
- Accordingly to an exemplary embodiment, a method for forming a semiconductor structure includes forming a plurality of features across a surface of a substrate, with at least one space being between two adjacent features. A first dielectric layer is formed on the features and within the at least one space. A portion of the first dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a first solid product. The first solid product is decomposed to substantially remove the portion of the first dielectric layer. A second dielectric layer is formed to substantially fill the at least one space.
- Accordingly to an exemplary embodiment, forming a plurality of features comprises forming at least a plurality of trenches, conductive lines, openings and transistor gates.
- Accordingly to an exemplary embodiment, forming the first dielectric layer includes forming a silicon oxide layer.
- Accordingly to an exemplary embodiment, interacting the portion of the first dielectric layer with a reactant includes ionizing the first precursor and the second precursor; and interacting the ionized first precursor and the ionized second precursor with the portion of the first dielectric layer.
- Accordingly to an exemplary embodiment, the first precursor is ammonia (NH3) and the second precursor is nitrogen trifluoride (NF3).
- Accordingly to an exemplary embodiment, interacting the portion of the first dielectric layer with a reactant includes interacting the portion of the first dielectric layer with the ionized first precursor and the second precursor.
- Accordingly to an exemplary embodiment, the first precursor is nitrogen trifluoride (NF3) and the second precursor is hydrogen fluoride (HF) or ammonia (NH3).
- Accordingly to an exemplary embodiment, interacting the portion of the first dielectric layer with a reactant includes interacting the portion of the first dielectric layer with the first precursor and the second precursor.
- Accordingly to an exemplary embodiment, the first precursor is ammonia (NH3) and the second precursor is hydrogen fluoride (HF).
- Accordingly to an exemplary embodiment, forming the first dielectric layer comprises forming a silicon nitride layer.
- Accordingly to an exemplary embodiment, interacting the portion of the first dielectric layer with a reactant includes ionizing the first precursor and the second precursor; and interacting the portion of the silicon nitride layer with the ionized first precursor and the ionized second precursor.
- Accordingly to an exemplary embodiment, the first precursor is hydrogen (H2) and the second precursor is nitrogen trifluoride (NF3).
- Accordingly to an exemplary embodiment, decomposing the first solid product includes thermally treating the first solid product to substantially sublimate the first solid product.
- Accordingly to an exemplary embodiment, the method further includes forming a liner under the first dielectric layer, wherein an etch selectivity of the first dielectric layer to the liner is about 8:1 or more.
- Accordingly to an exemplary embodiment, the method further includes interacting a portion of the liner with a reactant derived from a third precursor and a fourth precursor to generate a second solid product; and decomposing the second solid product to remove the portion of the liner.
- Accordingly to an exemplary embodiment, the method further includes interacting another portion of the first dielectric layer with a reactant derived from a third precursor and a fourth precursor to generate a second solid product; and decomposing the second solid product to remove the another portion of the first dielectric layer.
- Accordingly to an exemplary embodiment, forming the first dielectric layer on the features and within the at least one space has a process pressure of about 600 torr or more.
- Accordingly to an exemplary embodiment, decomposing the first solid product forms an angle between slanted sidewalls of the removed first dielectric layer and a bottom of the removed first dielectric layer about 87° or less.
- Accordingly to an exemplary embodiment, a method for forming a semiconductor structure includes forming a plurality of trenches across a surface of a substrate. A first dielectric layer is formed on and within the trenches. A portion of the first dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a first solid product. The first solid product is thermally treated to substantially sublimate the first solid product to substantially remove the portion of the first dielectric layer. A second dielectric layer is formed to substantially fill the spaces.
- Accordingly to exemplary embodiments, the steps in the above-described method may be repeated for one or more additional cycles of etching and depositing dielectric layers. For example, a portion of the second dielectric layer deposited at the end of the above-described method may further interact with the reactant to form a second solid product that is sublimated in a thermal treatment to remove that portion of the second dielectric layer. A third dielectric layer may be formed on the remaining (i.e., non-etched) portion of the second dielectric layer. Additional etching and dielectric deposition cycles may be performed until a final dielectric layer is deposited that substantially fills the remaining spaces (e.g., a dielectric cap layer).
- A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components.
-
FIGS. 1A-1D are schematic drawings showing an exemplary process method for forming an exemplary shallow trench isolation structure. -
FIG. 2 is a schematic drawing showing an exemplary flowchart of forming an exemplary shallow trench isolation structure. -
FIG. 3 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure. -
FIG. 4 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure. -
FIG. 5A shows a vertical cross-sectional view of an exemplary thin film deposition system. -
FIG. 5B is a simplified diagram of an exemplary system monitor/controller component of a thin film deposition system. -
FIG. 6 is a schematic cross-sectional view of an exemplary etch system. - Systems and methods are described for forming at least one dielectric layer over at least one feature, e.g., transistor gate, formed across a surface of a substrate. A portion of the dielectric layer interacts with a reactant derived from a first precursor and a second precursor to form a solid product. The solid product can be decomposed so as to substantially remove the portion of the dielectric layer. Another dielectric layer then may be formed over the etched dielectric layer, such that the aspect ratio gaps and/or trenches may be filled with dielectric materials substantially without gaps or seams.
-
FIGS. 1A-1D are schematic drawings showing an exemplary process method for forming an exemplary shallow trench isolation structure.FIG. 2 is a schematic drawing showing an exemplary flowchart of forming an exemplary shallow trench isolation structure. - Referring to
FIG. 1A , at least one feature, such as trenches 115, is formed across a surface of asubstrate 100. The trenches 115 can be, for example, transistors, transistor gates, trenches, openings, gaps, conductive lines or other feature that has an aspect ratio of about 5:1 or more. In some embodiments, the trenches 115 can be trenches. The trenches 115 can be formed across thesubstrate 100. Thesubstrate 100 may be a silicon substrate, a III-V compound substrate, a silicon/germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a liquid crystal display (LCD), a plasma display, an electro luminescence (EL) lamp display, or a light emitting diode (LED) substrate, for example. In some embodiments, thesubstrate 100 may be a semiconductor wafer (e.g., a 200 mm, 300 mm, 400 mm, etc. silicon wafer). - Referring to
FIG. 2 , anexemplary process 200 for forming an shallow trench isolation structure can include steps 210-250. Step 210 can form a plurality of trenches 115 across a surface of thesubstrate 100. - Referring again to
FIG. 1A , at least one pad dielectric layer 105, such as pad oxide, can be formed over thesubstrate 100. In some embodiments, at least onepad dielectric layer 110, such as pad nitride, can be formed over the pad oxide 105. The paddielectric layers 105 and 110 can be formed, for example, by a chemical vapor deposition (CVD) process, a thermal process and/or other process that can desirably form a dielectric film layer. In some embodiments, the trenches 115 can be formed by an etch process which removes portions of the paddielectric layers 105, 110 and thesubstrate 100. In some embodiments, the pad dielectric layer 105 is nitride and thepad dielectric layer 110 is oxide. In some embodiments, the paddielectric layers 105 and 110 can be different dielectric materials which have a desired etch selectivity. - Referring to
FIGS. 1B and 2 , step 220 can form at least one dielectric layer, such as dielectric layer 120, over the trenches 115. The dielectric layer 120 can reduce the dimensions of the trenches 115 to those of trenches 115 a. The dielectric layer 120 can include at least one of a silicon oxide layer, a silicon nitride layer, silicon oxynitride layer, a silicon oxycarbide layer and other dielectric layer. In some embodiments, the dielectric layer 120 can be thermal CVD oxide, such as high aspect ratio process (HARP) oxide, eHARP oxide, atmosphere pressure CVD (APCVD) oxide, or high temperature undoped silicate glass (HTUSG); high density plasma (HDP) oxide; plasma-enhanced CVD (PECVD) oxide; furnace deposited oxide, such as high temperature oxide, medium temperature oxide or low temperature oxide; atomic layer deposition (ALD) oxide, and other oxide. In some embodiments, the dielectric layer 120 can be, for example, PECVD nitride, furnace deposited nitride, HDP nitride, thermal CVD nitride, ALD nitride, and other nitride. In some embodiments, the dielectric layer 120 may be referred to as a high aspect ratio process (HARP) liner. In some embodiments, thestep 220 may have a process pressure of about 600 torrs or more. - In some embodiments, the dielectric layer 120 may be formed from a silicon-containing precursor such as silane (SiH4), dichlorosilane (SiH2Cl2), tricholorosilane (SiHCl3), and silicontetrachloride (SiCl4) and a nitrogen-containing precursor, such as nitrogen (N2) and ammonia (NH3). In some embodiments, the dielectric layer 125 may be formed from a silicon-containing precursor such as alkoxy disilanes, alkoxy-alkyl disilanes, alkoxy-acetoxy disilanes and polysilanes; and a nitrogen-containing precursor such as nitrogen and ammonia. For example, the alkoxy disilanes may include Si2(EtO)6 ethoxy disilanes, Si2(MeO)6 methoxy disilanes, and Si6(MeO)12 methoxy cyclohexylsilanes, where Et denotes ethyl group (C2H6) and Me denotes methyl group (CH3). In some embodiments, the alkoxy-alkyl disilanes may include Si2(EtO)4(Me)2 tetraethoxy-dimethyl disilanes, Si2(EtO)4(Et)2 tetraethoxy-diethyl disilanes, Si2(EtO)2(Me)4 diethoxy-tetramethyl disilanes, Si2(MeO)4(Me)2 tetramethoxy-dimethyl disilanes, and Si4O2(Me)8 methyl cyclohexylsiloxanes, Si6(MeO)6(Me)6 methoxy-methyl cyclohexylsilanes, Si4O2(H2)4 hydro-cyclohexylsiloxanes. In some embodiments, the alkoxy-acetoxy disilanes may include Si2(AcO)6 acetoxy disilanes, Si2(Me)4(AcO)2 tetramethyl-diacetoxy disilanes, and Si2(Me)2(AcO)4 dimethyl-tetracetoxy disilanes, where Ac denotes acetyl group. In some embodiments, the polysilanes may include cyclopentylsilanes or other substitutes.
- In some embodiments, the dielectric layer 120 can be formed over the
pad dielectric layer 110, such that the trenches 115 a may have a top gap smaller than the middle and/or bottom gap of the trenches 115 a. - Referring to
FIG. 1C , an etch process 130 can remove a portion of the dielectric layer 120, such that the etcheddielectric layer 120 a can have tapered sidewalls along thetrenches 115 b. In some embodiments, the etch process 130 can includesteps 230, and 240 shown inFIG. 2 . Step 230 may interact a portion of the dielectric layer 120 with a reactant to form a solid product. Step 240 can decompose the solid product to remove the interacted portion of the dielectric layer 120, resulting thedielectric layer 120 a. Following are exemplary embodiments of the etch process 130. - In some embodiments, the dielectric layer 120 can be a silicon oxide layer. A first precursor can be, for example, hydrogen (H2), ammonia (NH3), hydrazine (N2H4), hydrazoic acid (HN3), other hydrogen-containing precursor and various combinations thereof. A second precursor can be, for example, nitrogen trifluoride (NF3), silicon tetrafluorid (SiF4), tetrafluoromethane (CF4), fluoromethane (CH3F), difluoromethane (CH2F2), trifluoromethane (CHF3), octafluoropropane (C3F8), hexafluoroethane (C2F6), other fluorine-containing precursor or various combinations thereof. In some embodiments, the first precursor such as ammonia (NH3) and the second precursor such as nitrogen trifluoride (NF3) can be ionized as a plasma. In some embodiments, the ionization process can be performed within the chamber that deposits the dielectric layer 120. In some embodiments, the ionization process can be occurred externally and then introduced into the chamber that deposits the dielectric layer 120. In some embodiments, the etch process 130 can be performed within an etch chamber different from the deposition chamber. In some embodiments, the etch process 130 may form an angle between slanted sidewalls of the
trenches 115 b and a bottom of thetrenches 115 b about 87° or less. - In some embodiments, NH3 may have a flow rate between about 10 standard cubic centimeter per minute (sccm) and about 1,000 sccm. In some embodiments, NH3 may have a flow rate of about 100 sccm. NF3 can have a flow rate between about 10 sccm and about 1,000 sccm. In some embodiments, NF3 may have a flow rate of about 100 sccm. The process temperature can be between about 0° C. and about 80° C. In some embodiment, the process temperature can be about 30° C. The process pressure can be between about 1 millitorr (mTorr) and about 1 atm. In some embodiments, the process pressure can be 3 Tons. The plasma power can be between about 10 Watts and about 2,000 Watts. In some embodiments, the plasma power can be about 45 Watts. The interaction of plasma of NH3 and NF3 can be represented by the formula described below:
-
NH3(g)+NF3(g)→NH4F(s) and/or NH4Fy.HF(s) - The reactant, NH4F(s) and/or NH4Fy.HF(s), then can be introduced to interact with a portion of the silicon oxide layer. The reactant, NH4F(s) and/or NH4Fy.HF(s), may interact with silicon oxide to form a solid product, e.g., (NF4)2SiF6. In some embodiments, the
substrate 100 is disposed over a pedestal having a temperature between about −100° C. and about 1,000° C. (e.g., about −50° C. to about 200° C.). In other embodiments, the pedestal may have a temperature of about 30° C. The temperature of the pedestal may desirably enhance the interaction of the plasma and silicon nitride. The interaction of the silicon oxide and the reactant, NH4F(s) and/or NH4Fy.HF(s), can be described as the following formula: -
NH4F(s) and/or NH4Fy.HF(s)+SiO2(s)→(NF4)2SiF6(s)+H2O - Referring again to
FIG. 2 , step 240 can decompose the solid product, (NF4)2SiF6. In some embodiments, step 240 can include thermally treating the solid product to substantially sublimate the solid product (NF4)2SiF6. In some embodiments, the thermal process may be carried out by approaching the solid product (NF4)2SiF6 to a showerhead, which may be operative to provide a process temperature between about −100° C. and about 1,000° C. (e.g., about −50° C. to about 200° C.). In an embodiment, the process temperature is about 180° C. In other embodiments, the thermal process may be carried out by, for example, an oven, a furnace, a rapid thermal anneal (RTA) apparatus, or other thermal apparatus. The decomposition and/or sublimation of the solid product (NF4)2SiF6 may be described as the following formula: -
(NF4)2SiF6(s)→SiF4(g)+NH3(g)+HF(g) - Additional embodiments of a method to remove the solid product may include the steps of rinsing the product containing substrate with an aqueous solution (e.g., purified water) in lieu of sublimating the product with a thermal treatment. The substrate may also be rinsed with a polar solvent such as ethanol or glycol in lieu of (or in addition to) the aqueous solution rinse.
- In some embodiments, the dielectric layer 120 can be a silicon oxide layer. A first precursor can be, for example, hydrogen (H2), ammonia (NH3), hydrazine (N2H4), hydrazoic acid (HN3), other hydrogen-containing precursor and various combinations thereof. A second precursor can be, for example, hydrogen fluoride (HF), nitrogen trifluoride (NF3), silicon tetrafluorid (SiF4), tetrafluoromethane (CF4), fluoromethane (CH3F), difluoromethane (CH2F2), trifluoromethane (CHF3), octafluoropropane (C3F8), hexafluoroethane (C2F6), other fluorine-containing precursor or various combinations thereof. In some embodiments, the first precursor such as ammonia (NH3) and the second precursor such as hydrogen fluoride (HF) can be used to interact with the dielectric layer 120. In some embodiments, NH3 and HF can be introduced within the chamber that deposits the dielectric layer 120. In some embodiments, NH3 and HF can be introduced within an etch chamber different from the deposition chamber so as to interact with the dielectric layer 120.
- In some embodiments, NH3 may have a flow rate between about 10 standard cubic centimeter per minute (sccm) and about 1,000 sccm. In some embodiments, NH3 may have a flow rate of about 100 sccm. HF can have a flow rate between about 10 sccm and about 2,000 sccm. In some embodiments, HF may have a flow rate of about 200 sccm. The process temperature can be between about 0° C. and about 80° C. In some embodiment, the process temperature can be about 30° C. The process pressure can be between about 1 millitorr (mTorr) and about 1 atm. In some embodiments, the process pressure can be 3 Tons. The interaction of NH3 and HF may be represented as the formula described below:
-
NH3(g)+HF(g)→NH4F(s) and/or NH4Fy.HF(s) - In some embodiments, NH3 and HF can be introduced into the chamber for interacting with a portion of the silicon oxide layer. NH3 and HF may interact with silicon oxide to form a solid product, e.g., (NF4)2SiF6(s). In some embodiments, the
substrate 100 is disposed over a pedestal having a temperature between about −100° C. and about 1,000° C. (e.g., about −50° C. to about 200° C.). In other embodiments, the pedestal may have a temperature of about 30° C. The temperature of the pedestal may desirably enhance the interaction of the plasma and silicon nitride. The interaction of the silicon oxide and the plasma can be described as the following formula: -
NH4F(s) and/or NH4Fy.HF(s)+SiO2(s)→(NF4)2SiF6(s)+H2O - Referring again to
FIG. 2 , step 240 can decompose the solid product, (NF4)2SiF6. In some embodiments, step 240 can include thermally treating the solid product to substantially sublimate the solid product (NF4)2SiF6. In some embodiments, the thermal process may be carried out by approaching the solid product (NF4)2SiF6 to a showerhead, which may be operative to provide a process temperature between about −100° C. and about 1,000° C. (e.g., about −50° C. to about 200° C.). In an embodiment, the process temperature is about 180° C. In other embodiments, the thermal process may be carried out by, for example, an oven, a furnace, a rapid thermal anneal (RTA) apparatus, or other thermal apparatus. The decomposition and/or sublimation of the solid product (NF4)2SiF6 may be described as the following formula: -
(NF4)2SiF6(s)→SiF4(g)+NH3(g)+HF(g) - As noted above additional embodiments of the method to remove the solid product may include the step of rinsing the product containing substrate with an aqueous solution (e.g., purified water) in lieu of (or in addition to) sublimating the product with a thermal treatment. The substrate may also be rinsed with a polar solvent such as ethanol or glycol in lieu of (or in addition to) the aqueous solution rinse.
- In some embodiments, the dielectric layer 120 can be a silicon nitride layer. A first precursor can be, for example, hydrogen (H2), ammonia (NH3), hydrazine (N2H4), hydrazoic acid (HN3), other hydrogen-containing precursor and various combinations thereof. A second precursor can be, for example, hydrogen fluoride (HF), nitrogen trifluoride (NF3), silicon tetrafluorid (SiF4), tetrafluoromethane (CF4), fluoromethane (CH3F), difluoromethane (CH2F2), trifluoromethane (CHF3), octafluoropropane (C3F8), hexafluoroethane (C2F6), other fluorine-containing precursor or various combinations thereof. A first precursor such as hydrogen (H2) and a second precursor such as nitrogen trifluoride (NF3) can be ionized as a plasma. In some embodiments, the ionization process can be occurred within the chamber that deposits the dielectric layer 120. In some embodiments, the ionization process can be occurred externally and then introduced into the chamber that deposits the dielectric layer 120. In some embodiments, the etch process 130 can be performed within an etch chamber different from the deposition chamber.
- In some embodiments, NF3 may have a flow rate between about 10 standard cubic centimeter per minute (sccm) and about 1,000 sccm. In some embodiments, NF3 may have a flow rate of about 100 sccm. H2 can have a flow rate between about 10 sccm and about 3,000 sccm. In some embodiments, H2 may have a flow rate of about 600 sccm. The process temperature can be between about 0° C. and about 80° C. In some embodiment, the process temperature can be about 30° C. The process pressure can be between about 1 millitorr (mTorr) and about 1 atm. In some embodiments, the process pressure can be 3 Torrs. The plasma power can be between about 10 Watts and about 2,000 Watts. In some embodiments, the plasma power can be about 45 Watts.
- The plasma then may be introduced into the chamber for etching portions of the silicon oxide layer. The remote-generated plasmas may interact with silicon oxide to form a solid product, e.g., (NF4)2SiF6. In some embodiments, the
substrate 100 is disposed over a pedestal having a temperature between about −100° C. and about 1,000° C. (e.g., about −50° C. to about 200° C.). In other embodiments, the pedestal may have a temperature of about 30° C. The temperature of the pedestal may desirably enhance the interaction of the plasma and silicon nitride. The interaction of the silicon oxide and the plasma can be described as the following formula: -
NF3(g)+H2(g)+Si3N4→(NF4)2SiF6(s) - Referring again to
FIG. 2 , step 240 can decompose the solid product, (NF4)2SiF6. In some embodiments, step 240 can include thermally treating the solid product to substantially sublimate the solid product (NF4)2SiF6. In some embodiments, the thermal process may be carried out by approaching the solid product (NF4)2SiF6 to a showerhead, which may be operative to provide a process temperature between about −50° C. and about 1,000° C. In an embodiment, the process temperature is about 180° C. In other embodiments, the thermal process may be carried out by, for example, an oven, a furnace, a rapid thermal anneal (RTA) apparatus, or other thermal apparatus. The decomposition and/or sublimation of the solid product (NF4)2SiF6 may be described as the following formula: -
(NF4)2SiF6(s)→SiF4(g)+NH3(g)+HF(g) - As noted above additional embodiments of the method to remove the solid product may include the step of rinsing the product containing substrate with an aqueous solution (e.g., purified water) in lieu of (or in addition to) sublimating the product with a thermal treatment. The substrate may also be rinsed with a polar solvent such as ethanol or glycol in lieu of (or in addition to) the aqueous solution rinse.
- Referring again to
FIG. 1C , the pinch-off and the negative profile of the dielectric layer 120 may be substantially eliminated. The etcheddielectric layer 120 a may have a desired profile, such that a subsequent dielectric layer such as dielectric layer 140 (shown inFIG. 1D ) can be desirably formed within thetrenches 115 b. - Referring to
FIGS. 1D and 2 , step 250 can form adielectric layer 140 over the etcheddielectric layer 120 a. Thedielectric layer 140 may be formed of, for example, oxide, nitride, oxynitride, low-k dielectric material, ultra low-k dielectric material, other dielectric material or various combinations thereof. Thedielectric layer 140 may be formed by, for example, a CVD process, a spin-coating process, other method that is adapted to form a dielectric layer or various combinations thereof. In some embodiments, thedielectric layer 140 can be thermal CVD oxide, such as high aspect ratio process (HARP) oxide, eHARP oxide, atmosphere pressure CVD (APCVD) oxide, or high temperature undoped silicate glass (HTUSG), high density plasma (HDP) oxide, plasma-enhanced CVD (PECVD) oxide, furnace deposited oxide, such as high temperature oxide, medium temperature oxide or low temperature oxide, atomic layer deposition (ALD) oxide, and other oxide. In some embodiments, thedielectric layer 140 can be, for example, PECVD nitride, furnace deposited nitride, HDP nitride, thermal CVD nitride, ALD nitride, and other nitride. In some embodiments, thedielectric layer 140 may be referred to as a high aspect ratio process (HARP) cap layer. Since the pinch-off and negative profile of the dielectric layer 120 (shown inFIG. 1B ) is substantially removed, thedielectric layer 140 may be desirably filled within the gap between the etcheddielectric layer 120 a. - In some embodiments, an etch-back process and/or chemical mechanical planarization (CMP) process is performed to substantially planarize the top surface of the
dielectric layer 140. -
FIG. 3 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure. Inprocess 300,steps steps FIG. 2 , respectively. - In
FIG. 3 , step 312 may form a liner before forming the dielectric layer 120. In some embodiments, the liner can include at least one of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a silicon oxycarbide layer and other dielectric layer. In some embodiments, an etch process may have an etch selectivity of the dielectric layer 120 to the liner about 8:1 or more. - In some embodiments, the liner is oxide and the dielectric layer 120 is nitride. For embodiments using plasma reaction, the etch selectivity of the dielectric layer 120 to the liner can be modified by changing process temperatures, plasma powers, NF3 flow rates, NH3 flow rates and/or process pressure. For embodiments without using plasma reaction, the etch selectivity of the dielectric layer 120 to the liner can be modified by changing process temperatures, NH3 flow rates, HF flow rates and/or process pressure.
- In some embodiments, the liner is nitride and the dielectric layer 120 is oxide. For embodiments using plasma reaction, the etch selectivity of the dielectric layer 120 to the liner can be modified by changing process temperatures, plasma powers, NF3 flow rates, H2 flow rates and/or process pressure.
- Referring again to
FIG. 3 , step 314 can interact the liner with a reactant derived from a third precursor and a fourth precursor to form a solid product. In some embodiments, step 314 can be similar to step 230 set forth above in conjunction withFIG. 2 . Step 316 can decompose the solid product to remove a portion of the liner. In some embodiments, step 316 can be similar to step 240 described above in conjunction withFIG. 2 . - In some embodiments,
steps FIG. 1A ) can be formed. By usingsteps -
FIG. 4 is a schematic flowchart of an exemplary process for forming an exemplary trench isolation structure. Inprocess 400,steps steps FIG. 2 , respectively. - In
FIG. 4 , step 442 can interact another portion of the etcheddielectric layer 120 a with a reactant derived from a third precursor and a fourth precursor to form a solid product. In some embodiments,step 442 may be similar to step 230 described above in conjunction withFIG. 2 . Step 444 can decompose the solid product to remove the interacting portion of the etcheddielectric layer 120 a. In some embodiments, step 444 may be similar to step 240 described above in conjunction withFIG. 2 . - In some embodiments,
steps 442, and 444 can remove a portion of the etcheddielectric layer 120 a, such that the etcheddielectric layer 120 a may provide a desired profile over which the dielectric layer 140 (shown inFIG. 1A ) can be formed. By usingsteps 442, and 444 to etch a portion of the etcheddielectric layer 120 a, the pinch-off and the negative profile of the dielectric layer 120 can be desirably eliminated. In some embodiments,steps 442, and 444 can be repeated for one or more times to achieve a desired profile of the etcheddielectric layer 120 a. - It is noted that the present invention is not limited to the embodiments described above in conjunction with
FIGS. 1A-1D and 2-4. Other methods for desirably filling dielectric layers within gaps or openings of semiconductor substrates can be modified based on the exemplary embodiments set forth above. For example, steps 312-316 shown inFIG. 3 may be incorporated with steps 442-444 shown inFIG. 4 to desirably fill dielectric layers within gaps or openings of semiconductor substrates. - Deposition systems that may deposit a dielectric layer may include high-density plasma chemical vapor deposition (HDP-CVD) systems, plasma enhanced chemical vapor deposition (PECVD) systems, sub-atmospheric chemical vapor deposition (SACVD) systems, and thermal chemical vapor deposition systems, among other types of systems. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA™ HDP-CVD chambers/systems, and PRODUCER™ PECVD chambers/systems such as PRODUCER™ Celera™ PECVD, available from Applied Materials, Inc. of Santa Clara, Calif.
- Examples of substrate processing systems that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled “PROCESS CHAMBER FOR DIELECTRIC GAPFILL,” the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.
- Referring now to
FIG. 5A , vertical cross-sectional views of aCVD system 10 is shown that has a vacuum or processing chamber 15 that includes a chamber wall 15 a and a chamber lid assembly 15 b. TheCVD system 10 may contain a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that can rest on aheated pedestal 12 centered within the process chamber 15. Gas distribution manifold 11 may be formed from an electrically conducting material in order to serve as an electrode for forming a capacitive plasma. During processing, the substrate (e.g. a semiconductor wafer) can be positioned on a flat (or slightly convex) surface 12 a of thepedestal 12. Thepedestal 12 can be moved controllably between a lower loading/off-loading position (depicted inFIG. 5A ) and an upper processing position (indicated by dashed line 14 inFIG. 5A ), which is closely adjacent to the manifold 11. A centerboard (not shown) may include sensors for providing information on the position of the wafers. - Deposition and carrier gases can be introduced into the chamber 15 through perforated holes of a conventional flat, circular gas distribution faceplate 13 a. In some embodiments, deposition process gases can flow into the chamber through the inlet manifold 11, through a conventional perforated blocker plate and then through holes in gas distribution faceplate 13 a.
- Before reaching the manifold 11, deposition and carrier gases can be input from gas sources through gas supply lines into a mixing system where they can be combined and then sent to manifold 11. In some embodiments, the supply line for each process gas can include (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line. When toxic gases are used in the process, the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
- The deposition process performed in the
CVD system 10 can be a thermal process and/or a plasma-enhanced process. In a plasma-enhanced process, an RF power supply can apply electrical power between the gas distribution faceplate 13 a and thepedestal 12 so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13 a and thepedestal 12. (This region will be referred to herein as the “reaction region”). Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported onpedestal 12. RF power supply can be a mixed frequency RF power supply that typically supplies power at a high RF frequency (RF1) of 13.56 MHz and at a low RF frequency (RF2) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15. In a thermal process, the RF power supply 44 would not be utilized, and the process gas mixture can thermally react to deposit the desired films on the surface of the semiconductor wafer supported on thepedestal 12, which is resistively heated to provide thermal energy for the reaction. - During a plasma-enhanced deposition process, the plasma can heat the
entire process chamber 10, including the walls of the chamber body 15 a surrounding theexhaust passageway 23 and the shut-offvalve 24. When the plasma is not turned on or during a thermal deposition process, a hot liquid is circulated through the walls 15 a of the process chamber 15 to maintain the chamber at an elevated temperature. The passages in the remainder of the chamber walls 15 a are not shown. Fluids used to heat the chamber walls 15 a can include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids. This heating (referred to as heating by the “heat exchanger”) can desirably reduce and/or eliminate condensation of undesirable reactant products and improve the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow. - The remainder of the gas mixture that is not deposited in a layer, including reaction byproducts, is evacuated from the chamber 15 by a vacuum pump (not shown). In some embodiments, the gases can be exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17. The annular slot 16 and the plenum 17 can be defined by the gap between the top of the chamber's cylindrical side wall 15 a (including the upper dielectric lining 19 on the wall) and the bottom of the
circular chamber lid 20. The 360.degree. circular symmetry and uniformity of the slot orifice 16 and the plenum 17 can be configured to achieve a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer. - From the exhaust plenum 17, the gases may flow underneath a lateral extension portion 21 of the exhaust plenum 17, past a viewing port (not shown), through a downward-extending
gas passage 23, past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15 a), and into the exhaust outlet 25 that connects to the external vacuum pump (not shown) through a foreline (also not shown). - The wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) can be resistively heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element can run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element can pass through the stem of the
pedestal 12. - Typically, any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware can be made out of material such as aluminum, anodized aluminum, or ceramic. An example of such a CVD apparatus is described in co-assigned U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber,” issued to Zhao et al, and hereby incorporated by reference in its entirety.
- A lift mechanism and motor 32 (
FIG. 5A ) can raise and/or lower theheater pedestal assembly 12 and its wafer lift pins 12 b as wafers are transferred into and out of the body of the chamber 15 by a robot blade (not shown) through an insertion/removal opening 26 in the side of thechamber 10. The motor 32 can raise and/orlower pedestal 12 between a processing position 14 and a lower, wafer-loading position. The motor, valves or flow controllers connected to the supply lines, gas delivery system, throttle valve, RF power supply, and chamber and substrate heating systems can be controlled by a system controller over control lines, of which only some are shown. Controller can rely on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller. - In the exemplary embodiment, the system controller can include a hard disk drive (memory), a floppy disk drive and a processor. The processor may contain a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of
CVD system 10 can conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard can define the bus structure as having a 16-bit data bus and a 24-bit address bus. - System controller can control the activities of the CVD machine. The system controller executes system can control software, which is a computer program stored in a computer-readable medium such as a memory. In some embodiments, the memory can be a hard disk drive or other kinds of memory. The computer program can include sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller.
- A process for depositing a film on a substrate or a process for cleaning the chamber 15 can be implemented using a computer program product that is executed by the controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
- The interface between a user and the controller 34 can be via a CRT monitor 50 a and light pen 50 b, shown in
FIG. 5B , which can be a simplified diagram of the system monitor andCVD system 10 in a substrate processing system, which may include one or more chambers. In some embodiments, two monitors 50 a can be used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The monitors 50 a can simultaneously display the same information. In some embodiments, only one light pen 50 b may be enabled. A light sensor in the tip of light pen 50 b can detect light emitted by CRT display. To select a particular screen or function, the operator can touch a designated area of the display screen and pushes the button on the pen 50 b. The touched area can change its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 50 b to allow the user to communicate with controller 34. -
FIG. 5A shows a remote plasma generator 60 mounted on the lid assembly 15 b of the process chamber 15 including the gas distribution faceplate 13 a and the gas distribution manifold 11. A mountingadaptor 64 can mount the remote plasma generator 60 on the lid assembly 15 b, as best seen inFIG. 5A . Theadaptor 64 can be made of metal. Theadaptor 64 may include a hole 95, which is coupled to a ceramic isolator 66. A mixing device 70 may be coupled to the upstream side of the gas distribution manifold 11 (FIG. 5A ). The mixing device 70 can include a mixing insert 72 disposed inside a slot of a mixing block for mixing process gases. The ceramic isolator 66 can be placed between the mountingadaptor 64 and the mixing device 70 (FIG. 5A ). The ceramic isolator 66 may be made of a ceramic material such as Al2O3 (99% purity), Teflon®, or the like. When installed, the mixing device 70 and ceramic isolator 66 may form part of the lid assembly 15 b. The isolator 66 can isolate themetal adaptor 64 from the mixing device 70 and gas distribution manifold 11 to minimize the potential for a secondary plasma to form in the lid assembly 15 b as discussed in more detail below. A three-way valve can control the flow of the process gases to the process chamber 15 either directly or through the remote plasma generator 60. - The remote plasma generator 60 can be desirably a compact, self-contained unit that can be conveniently mounted on the lid assembly 15 b and be easily retrofitted onto existing chambers without costly and time-consuming modifications. One suitable unit can be the ASTRON® generator available from Applied Science and Technology, Inc. of Woburn, Mass. The ASTRON® generator utilizes a low-field toroidal plasma to dissociate a process gas. In one example, the plasma dissociates a process gas including a fluorine-containing gas such as NF3 and a carrier gas such as argon to generate free fluorine which is used to clean film deposits in the process chamber 15.
- Etch systems that may implement an etch process may include, for example, a SiConi™ Preclean chamber/system, available from Applied Materials, Inc. of Santa Clara, Calif.
-
FIG. 6 is a schematic cross-sectional view of an exemplary etch chamber. Theetch chamber 600 may include achamber wall 630. Theetch chamber 600 may include a plasma distribution apparatus 610 such as a tube, pipe and/or manifold for dispersing a process plasma 615 to thesubstrate 100 that rests on apedestal 620 centered within the process chamber. Theetch chamber 600 may be coupled to aplasma generator 605 through the plasma distribution apparatus 610. Theplasma generator 605 is configured to generate the plasma 615. Thesubstrate 100 may be moved controllably between a lower position/upper position near to a showerhead 650 bypins 640. Thesubstrate 100 may have the trenches 115 and the dielectric layer 120 (shown inFIG. 1B ) formed thereover. - In some embodiments, the plasma distribution apparatus 610 may introduce the plasmas 615 generated by, for example, steps 230, 330, 430, 314, and 442 described above in connection with
FIGS. 2-4 , into theprocessing chamber 600. In some embodiments, the supply line for the etch plasmas 615 may include (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process plasmas into the chamber, and (ii) mass flow controllers (not shown) that measure the flow of the plasmas 615 through the supply line. - Referring again to
FIG. 6 , thechamber wall 630 may have a temperature to substantially prevent condensations of etchants and/or byproducts thereon. In some embodiments, thepedestal 620 may be operative to provide a desired temperature between about −100° C. and about 1,000° C. (e.g., about −50° C. to about 200° C.) to condense etchants on the surface of thesubstrate 100, i.e., the dielectric layer 120 over thesubstrate 100. The etchants then may desirably interact with the dielectric layer 120 formed over thesubstrate 100 so as to generate the solid product described above in conjunction withFIG. 2-4 . After the generation of the byproduct, pins 640 may lift thesubstrate 100 approaching the showerhead 650. The showerhead 650 may be operative to provide a process temperature between about −50° C. and about 1,000° C. In some embodiments, the showerhead 650 may performsteps FIGS. 2-4 to decompose and/or sublimate the solid product to remove the portions of the dielectric layer 120 and liner. - Referring again to
FIG. 6 , at least onepumping channel 660 may be configured within theetch chamber 600 to desirably remove the byproducts and/or the decomposed gases. The pumpingchannel 660 may be coupled to, for example, a pump or motor, such that the byproducts may be desirably removed. In some embodiments, the pumpingchannel 660 may have at least one aperture (not shown) through which the byproducts can be desirably removed. - In some embodiments, an RF power supply (not shown) may be coupled to the
plasma generator 605 to excite a process gas including a fluorine-containing precursor and a hydrogen-containing precursor to form the plasma 615. The RF power supply may be operative to provide a RF power between about 5 watts and about 3,000 watts. The RF power supply may supply the power at a RF frequency between about 100 kHz and about 64 MHz. - system controller (not shown) may controls all of the activities of the etch system. The system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory. In some embodiments, the memory is a hard disk drive, but the memory may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller.
- A process for etching portions of a film over a substrate can be implemented using a computer program product that is executed by the controller described above. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
- Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
- Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
- As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a method” includes a plurality of such methods and reference to “the precursor” includes reference to one or more precursors and equivalents thereof known to those skilled in the art, and so forth.
- Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.
Claims (20)
1. A method of fabricating a semiconductor structure, the method comprising:
providing a substrate having a least one trench between two or more adjacent features;
depositing a first layer comprising silicon oxide within at least a portion of the trench;
reacting at least a portion of the first layer with reactive species from a reactant gas mixture comprising a fluorine-containing gas and a reducing gas, wherein the reaction forms a solid product comprising materials from the first layer and the reactive species;
sublimating the solid product from the substrate to leave a remaining portion of the first layer; and
depositing a second layer comprising a dielectric material on at least a part of the remaining portion of the first layer.
2. The method of claim 1 , wherein semiconductor substrate comprises a semiconductor wafer.
3. The method of claim 2 , wherein the semiconductor substrate comprises a plurality of semiconductor wafers.
4. The method of claim 1 , wherein fluorine-containing gas comprises hydrogen fluoride.
5. The method of claim 1 , wherein the fluorine-containing gas comprises nitrogen trifluoride.
6. The method of claim 1 , wherein the reducing gas comprises ammonia.
7. The method of claim 1 , wherein the solid product comprises an ammonia fluorosilicate.
8. The method of claim 7 , wherein the solid product comprises (NF4)2SiF6.
9. The method of claim 1 , wherein the second layer comprises a dielectric material selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, a low-κ dielectric material, and an ultra low-κ dielectric material.
10. The method of claim 1 , wherein the first layer is deposited by a process selected from the group consisting of a spin-coating process, a high aspect ratio process, an e-HARP process, an APCVD process, a HTUSG process, an HDP-CVD process, an PECVD process, a furnace deposited oxide process, and an atomic layer deposition process.
11. The method of claim 1 , wherein the second layer is deposited by a process selected from the group consisting of a spin-coating process, a high aspect ratio process, an e-HARP process, an APCVD process, a HTUSG process, an HDP-CVD process, an PECVD process, a furnace deposited oxide process, and an atomic layer deposition process.
12. A method of fabricating a semiconductor structure, the method comprising:
providing one or more wafer substrates each having at least one trench between two or more adjacent features;
depositing a silicon oxide layer within at least a portion of the trench;
etching at least a portion of the silicon oxide layer by sublimating an ammonia fluorosilicate solid product that is formed from the reaction of the silicon oxide with a reactive species generated from a gas mixture comprising hydrogen fluoride and ammonia; and
depositing a dielectric layer on a remaining portion of the silicon oxide layer within the trench.
13. The method of claim 12 , wherein the silicon oxide layer is deposited by a high aspect ratio process.
14. The method of claim 12 , wherein the remaining portion of the silicon oxide layer forms an angle between slanted sidewalls of the silicon oxide remaining in the trench and the silicon oxide remaining in the bottom of the trench of about 87° or less.
15. The method of claim 12 , wherein the dielectric layer comprises silicon oxide deposited by a high aspect ratio process.
16. A method of processing a semiconductor substrate, the method comprising:
depositing a first silicon oxide layer on the semiconductor substrate, wherein the substrate has at least one trench formed thereon;
exposing the semiconductor substrate at a first temperature to hydrogen fluoride and ammonia, wherein the hydrogen fluoride and ammonia react with a portion of the silicon oxide layer to form a solid product;
adjusting the substrate to a second temperature greater than the first temperature to remove the solid product and leave a remaining portion of the first silicon oxide layer; and
depositing a second silicon oxide layer on the remaining portion of the first silicon oxide layer, wherein the at least a portion of the second silicon oxide layer is deposited in the trench.
17. The method of claim 16 , wherein the first silicon oxide layer is deposited by a high aspect ratio process.
18. The method of claim 16 , wherein the second silicon oxide layer is deposited by a high aspect ratio process.
19. The method of claim 16 , wherein the solid product comprises an ammonia fluorosilicate.
20. The method of claim 16 , wherein the semiconductor substrate comprises a silicon substrate.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/039,724 US20110151676A1 (en) | 2006-12-07 | 2011-03-03 | Methods of thin film process |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US86906606P | 2006-12-07 | 2006-12-07 | |
US11/947,674 US7939422B2 (en) | 2006-12-07 | 2007-11-29 | Methods of thin film process |
US13/039,724 US20110151676A1 (en) | 2006-12-07 | 2011-03-03 | Methods of thin film process |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/947,674 Continuation US7939422B2 (en) | 2006-12-07 | 2007-11-29 | Methods of thin film process |
Publications (1)
Publication Number | Publication Date |
---|---|
US20110151676A1 true US20110151676A1 (en) | 2011-06-23 |
Family
ID=39668463
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/947,674 Expired - Fee Related US7939422B2 (en) | 2006-12-07 | 2007-11-29 | Methods of thin film process |
US13/039,724 Abandoned US20110151676A1 (en) | 2006-12-07 | 2011-03-03 | Methods of thin film process |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/947,674 Expired - Fee Related US7939422B2 (en) | 2006-12-07 | 2007-11-29 | Methods of thin film process |
Country Status (5)
Country | Link |
---|---|
US (2) | US7939422B2 (en) |
JP (1) | JP5530062B2 (en) |
KR (1) | KR101289021B1 (en) |
CN (2) | CN101299417B (en) |
TW (1) | TWI389251B (en) |
Cited By (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20120267340A1 (en) * | 2011-03-18 | 2012-10-25 | Tokyo Electron Limited | Film deposition method and film deposition apparatus |
WO2013049173A2 (en) * | 2011-09-26 | 2013-04-04 | Applied Materials, Inc. | Improved intrench profile |
US8461016B2 (en) | 2011-10-07 | 2013-06-11 | Micron Technology, Inc. | Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation |
US20130154101A1 (en) * | 2011-12-16 | 2013-06-20 | SK Hynix Inc. | Semiconductor device and method for manufacturing the same |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8975152B2 (en) | 2011-11-08 | 2015-03-10 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9023732B2 (en) | 2013-03-15 | 2015-05-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US20160096977A1 (en) * | 2014-10-03 | 2016-04-07 | Shin-Etsu Chemical Co., Ltd. | Composition for forming a coating type silicon-containing film, substrate, and patterning process |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
WO2019066830A1 (en) * | 2017-09-28 | 2019-04-04 | Intel Corporation | Filling openings by combining non-flowable and flowable processes |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US10256076B2 (en) | 2015-10-22 | 2019-04-09 | Applied Materials, Inc. | Substrate processing apparatus and methods |
US11508582B2 (en) | 2018-10-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut metal gate processes |
US12009228B2 (en) | 2015-02-03 | 2024-06-11 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
Families Citing this family (152)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7115516B2 (en) * | 2001-10-09 | 2006-10-03 | Applied Materials, Inc. | Method of depositing a material layer |
US8176101B2 (en) * | 2006-02-07 | 2012-05-08 | Google Inc. | Collaborative rejection of media for physical establishments |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
JP5691074B2 (en) * | 2008-08-20 | 2015-04-01 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US7910491B2 (en) * | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US9159808B2 (en) * | 2009-01-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective etch-back process for semiconductor devices |
US8404561B2 (en) * | 2009-05-18 | 2013-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating an isolation structure |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8211808B2 (en) * | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
KR20110024629A (en) * | 2009-09-02 | 2011-03-09 | 주식회사 하이닉스반도체 | Method for fabricating isolation in semiconductor device |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US8501629B2 (en) * | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
WO2011090626A2 (en) * | 2009-12-30 | 2011-07-28 | Applied Materials, Inc. | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
WO2011084812A2 (en) | 2010-01-06 | 2011-07-14 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
CN102714156A (en) | 2010-01-07 | 2012-10-03 | 应用材料公司 | In-situ ozone cure for radical-component CVD |
US8173516B2 (en) * | 2010-02-11 | 2012-05-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming shallow trench isolation structure |
SG183873A1 (en) | 2010-03-05 | 2012-10-30 | Applied Materials Inc | Conformal layers by radical-component cvd |
US8563095B2 (en) * | 2010-03-15 | 2013-10-22 | Applied Materials, Inc. | Silicon nitride passivation layer for covering high aspect ratio features |
US8435902B2 (en) * | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US9024273B2 (en) * | 2010-04-20 | 2015-05-05 | Varian Semiconductor Equipment Associates, Inc. | Method to generate molecular ions from ions with a smaller atomic mass |
US8475674B2 (en) * | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
JP5599350B2 (en) * | 2011-03-29 | 2014-10-01 | 東京エレクトロン株式会社 | Film forming apparatus and film forming method |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
CN102427049A (en) * | 2011-07-22 | 2012-04-25 | 上海华力微电子有限公司 | Method for improving shallow trench isolation process uniformity |
US8329552B1 (en) | 2011-07-22 | 2012-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
TWI492298B (en) * | 2011-08-26 | 2015-07-11 | Applied Materials Inc | Double patterning etching process |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
JP5794949B2 (en) * | 2012-05-29 | 2015-10-14 | 東京エレクトロン株式会社 | Silicon film forming method and apparatus therefor |
US9347696B2 (en) * | 2012-06-05 | 2016-05-24 | Applied Materials, Inc. | Compact ampoule thermal management system |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
KR101401455B1 (en) * | 2012-10-17 | 2014-05-30 | 피에스케이 주식회사 | method for treating substrate |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
CN103515289A (en) * | 2013-10-18 | 2014-01-15 | 上海华力微电子有限公司 | Method for forming shallow trench isolation structure |
CN103515291A (en) * | 2013-10-18 | 2014-01-15 | 上海华力微电子有限公司 | Forming method of shallow trench isolation structure |
CN103531521A (en) * | 2013-10-18 | 2014-01-22 | 上海华力微电子有限公司 | Method for forming shallow trench isolation structure |
US9472416B2 (en) * | 2013-10-21 | 2016-10-18 | Applied Materials, Inc. | Methods of surface interface engineering |
JP6246558B2 (en) * | 2013-10-29 | 2017-12-13 | 東京エレクトロン株式会社 | Silicon oxycarbonitride film, silicon oxycarbide film, silicon oxynitride film forming method and film forming apparatus |
CN103545243B (en) * | 2013-11-13 | 2016-06-29 | 上海华力微电子有限公司 | A kind of forming method of fleet plough groove isolation structure |
CN104752310A (en) * | 2013-12-27 | 2015-07-01 | 中芯国际集成电路制造(上海)有限公司 | Method for manufacturing semiconductor device |
US20150206803A1 (en) * | 2014-01-19 | 2015-07-23 | United Microelectronics Corp. | Method of forming inter-level dielectric layer |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN103928387A (en) * | 2014-04-28 | 2014-07-16 | 上海集成电路研发中心有限公司 | Method for padding shallow trench isolation structure and preparation method for semiconductor device |
CN105448801A (en) * | 2014-05-28 | 2016-03-30 | 中芯国际集成电路制造(上海)有限公司 | Method for forming shallow trench isolation |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
JP6294194B2 (en) * | 2014-09-02 | 2018-03-14 | 東京エレクトロン株式会社 | Substrate processing method and substrate processing apparatus |
JP6494226B2 (en) * | 2014-09-16 | 2019-04-03 | 東京エレクトロン株式会社 | Etching method |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9564341B1 (en) | 2015-08-04 | 2017-02-07 | Applied Materials, Inc. | Gas-phase silicon oxide selective etch |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6597296B2 (en) * | 2015-12-25 | 2019-10-30 | 東京エレクトロン株式会社 | Substrate processing method |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US20170110336A1 (en) * | 2016-12-31 | 2017-04-20 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq | Methods for minimizing sidewall damage during low k etch processes |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
JP6963900B2 (en) * | 2017-03-10 | 2021-11-10 | 東京エレクトロン株式会社 | Film formation method |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US11251047B2 (en) * | 2017-11-13 | 2022-02-15 | Applied Materials, Inc. | Clog detection in a multi-port fluid delivery system |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP7004608B2 (en) * | 2018-05-11 | 2022-01-21 | 東京エレクトロン株式会社 | Semiconductor film forming method and film forming equipment |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10991805B2 (en) | 2018-07-31 | 2021-04-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
EP3667745B1 (en) * | 2018-12-10 | 2023-03-22 | IMEC vzw | Method for obtaining light emitting diodes reconstituted over a carrier substrate |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN110265402B (en) * | 2019-06-27 | 2020-09-18 | 长江存储科技有限责任公司 | 3D NAND memory device and manufacturing method thereof |
US11164878B2 (en) | 2020-01-30 | 2021-11-02 | International Business Machines Corporation | Interconnect and memory structures having reduced topography variation formed in the BEOL |
CN112366205B (en) * | 2020-11-09 | 2021-10-22 | 长江存储科技有限责任公司 | Semiconductor device and preparation method thereof |
WO2023159012A1 (en) * | 2022-02-15 | 2023-08-24 | Lam Research Corporation | High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer |
Citations (95)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4468413A (en) * | 1982-02-15 | 1984-08-28 | U.S. Philips Corporation | Method of manufacturing fluorine-doped optical fibers |
US4690746A (en) * | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4851370A (en) * | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4892753A (en) * | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US4894352A (en) * | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5013691A (en) * | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US5030319A (en) * | 1988-12-27 | 1991-07-09 | Kabushiki Kaisha Toshiba | Method of oxide etching with condensed plasma reaction product |
US5061838A (en) * | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5089442A (en) * | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
US5156881A (en) * | 1987-03-18 | 1992-10-20 | Kabushiki Kaisha Toshiba | Method for forming a film on a substrate by activating a reactive gas |
US5215787A (en) * | 1991-01-23 | 1993-06-01 | Nec Corporation | Method of forming silicon oxide film containing fluorine |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
US5275977A (en) * | 1990-03-19 | 1994-01-04 | Hitachi, Ltd. | Insulating film forming method for semiconductor device interconnection |
US5279865A (en) * | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5288518A (en) * | 1991-06-07 | 1994-02-22 | Nec Corproation | Chemical vapor deposition method for forming fluorine containing silicon oxide film |
US5290382A (en) * | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5302233A (en) * | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
US5314724A (en) * | 1991-01-08 | 1994-05-24 | Fujitsu Limited | Process for forming silicon oxide film |
US5319247A (en) * | 1990-10-30 | 1994-06-07 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device having an interlayer insulating film of high crack resistance |
US5334552A (en) * | 1991-12-04 | 1994-08-02 | Nec Corporation | Method for fabricating a semiconductor device having a multi-layered interconnection structure |
US5399529A (en) * | 1992-05-27 | 1995-03-21 | Nec Corporation | Process for producing semiconductor devices |
US5413967A (en) * | 1991-05-16 | 1995-05-09 | Kabushiki Kaisha Toshiba | Method of manufacturing semiconductor devices |
US5416048A (en) * | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
US5420075A (en) * | 1992-04-15 | 1995-05-30 | Nec Corporation | Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator |
US5429995A (en) * | 1992-07-17 | 1995-07-04 | Kabushiki Kaisha Toshiba | Method of manufacturing silicon oxide film containing fluorine |
US5505816A (en) * | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
US5563105A (en) * | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
US5599740A (en) * | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5624582A (en) * | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5645645A (en) * | 1995-04-07 | 1997-07-08 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
US5648175A (en) * | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US5661093A (en) * | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5679606A (en) * | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
US5712185A (en) * | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US5719085A (en) * | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5756402A (en) * | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5804259A (en) * | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5858876A (en) * | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5872052A (en) * | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5872058A (en) * | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5891349A (en) * | 1995-10-11 | 1999-04-06 | Anelva Corporation | Plasma enhanced CVD apparatus and process, and dry etching apparatus and process |
US5913140A (en) * | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
US5915190A (en) * | 1995-12-27 | 1999-06-22 | Lam Research Corporation | Methods for filling trenches in a semiconductor wafer |
US5920792A (en) * | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US5937323A (en) * | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US5939831A (en) * | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US5944902A (en) * | 1997-02-10 | 1999-08-31 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US5953635A (en) * | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US5968610A (en) * | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
US6013584A (en) * | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US6013191A (en) * | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US6030881A (en) * | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6030666A (en) * | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US6037018A (en) * | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6039851A (en) * | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US6059643A (en) * | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US6087278A (en) * | 1998-06-16 | 2000-07-11 | Hyundai Electronics Industries Co., Ltd. | Method for fabricating semiconductor devices having an HDP-CVD oxide layer as a passivation layer |
US6099697A (en) * | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6136685A (en) * | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US6170428B1 (en) * | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US6190233B1 (en) * | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6191026B1 (en) * | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US6189483B1 (en) * | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6197705B1 (en) * | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6203863B1 (en) * | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6204200B1 (en) * | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US6228751B1 (en) * | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6335288B1 (en) * | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6372657B1 (en) * | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
US6395150B1 (en) * | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
US6503843B1 (en) * | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6596602B2 (en) * | 2001-01-29 | 2003-07-22 | Nec Corporation | Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD |
US6596654B1 (en) * | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6602434B1 (en) * | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6677247B2 (en) * | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US20040110354A1 (en) * | 2002-12-10 | 2004-06-10 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
US6794290B1 (en) * | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US20040211357A1 (en) * | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US6846745B1 (en) * | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US20050121750A1 (en) * | 2003-12-05 | 2005-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Microelectronic device having disposable spacer |
US6908862B2 (en) * | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7078312B1 (en) * | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US7205240B2 (en) * | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US20070123051A1 (en) * | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US20080124919A1 (en) * | 2006-11-06 | 2008-05-29 | Cheng-Lin Huang | Cleaning processes in the formation of integrated circuit interconnect structures |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US7390710B2 (en) * | 2004-09-02 | 2008-06-24 | Micron Technology, Inc. | Protection of tunnel dielectric using epitaxial silicon |
US20080182382A1 (en) * | 2006-12-07 | 2008-07-31 | Applied Materials, Inc. | Methods of thin film process |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0258836A (en) | 1988-08-24 | 1990-02-28 | Matsushita Electric Ind Co Ltd | Manufacture of semiconductor device |
JP2981243B2 (en) * | 1988-12-27 | 1999-11-22 | 株式会社東芝 | Surface treatment method |
JP3206916B2 (en) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light |
EP0584252B1 (en) | 1991-05-17 | 1998-03-04 | Lam Research Corporation | A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US20010028922A1 (en) * | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
US6313035B1 (en) * | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
FR2756663B1 (en) | 1996-12-04 | 1999-02-26 | Berenguer Marc | PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6479373B2 (en) | 1997-02-20 | 2002-11-12 | Infineon Technologies Ag | Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
EP0959496B1 (en) * | 1998-05-22 | 2006-07-19 | Applied Materials, Inc. | Methods for forming self-planarized dielectric layer for shallow trench isolation |
US6074954A (en) | 1998-08-31 | 2000-06-13 | Applied Materials, Inc | Process for control of the shape of the etch front in the etching of polysilicon |
KR100338768B1 (en) * | 1999-10-25 | 2002-05-30 | 윤종용 | Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer |
KR100360399B1 (en) * | 2000-03-07 | 2002-11-13 | 삼성전자 주식회사 | Method of manufacturing semiconductor capacitor having a hemispherical grain layer |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US6905940B2 (en) * | 2002-09-19 | 2005-06-14 | Applied Materials, Inc. | Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill |
US6802944B2 (en) * | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
JP4239750B2 (en) | 2003-08-13 | 2009-03-18 | セイコーエプソン株式会社 | Microlens and microlens manufacturing method, optical device, optical transmission device, laser printer head, and laser printer |
KR20070087196A (en) * | 2004-12-21 | 2007-08-27 | 어플라이드 머티어리얼스, 인코포레이티드 | An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
KR100745067B1 (en) * | 2005-05-18 | 2007-08-01 | 주식회사 하이닉스반도체 | Trench isolation in semicontuctor device and the method for fabricating the same |
-
2007
- 2007-11-29 US US11/947,674 patent/US7939422B2/en not_active Expired - Fee Related
- 2007-12-06 TW TW096146616A patent/TWI389251B/en active
- 2007-12-07 CN CN2007101990615A patent/CN101299417B/en not_active Expired - Fee Related
- 2007-12-07 CN CNA200710199062XA patent/CN101358336A/en active Pending
- 2007-12-07 KR KR1020070126937A patent/KR101289021B1/en active IP Right Grant
- 2007-12-07 JP JP2007317210A patent/JP5530062B2/en not_active Expired - Fee Related
-
2011
- 2011-03-03 US US13/039,724 patent/US20110151676A1/en not_active Abandoned
Patent Citations (99)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4468413A (en) * | 1982-02-15 | 1984-08-28 | U.S. Philips Corporation | Method of manufacturing fluorine-doped optical fibers |
US4690746A (en) * | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4892753A (en) * | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US6167834B1 (en) * | 1986-12-19 | 2001-01-02 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5156881A (en) * | 1987-03-18 | 1992-10-20 | Kabushiki Kaisha Toshiba | Method for forming a film on a substrate by activating a reactive gas |
US5385763A (en) * | 1987-03-18 | 1995-01-31 | Kabushiki Kaisha Toshiba | Method for forming a film on a substrate by activating a reactive gas |
US4851370A (en) * | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
US4894352A (en) * | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US5030319A (en) * | 1988-12-27 | 1991-07-09 | Kabushiki Kaisha Toshiba | Method of oxide etching with condensed plasma reaction product |
US5061838A (en) * | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5013691A (en) * | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US5275977A (en) * | 1990-03-19 | 1994-01-04 | Hitachi, Ltd. | Insulating film forming method for semiconductor device interconnection |
US5089442A (en) * | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
US5319247A (en) * | 1990-10-30 | 1994-06-07 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device having an interlayer insulating film of high crack resistance |
US5314724A (en) * | 1991-01-08 | 1994-05-24 | Fujitsu Limited | Process for forming silicon oxide film |
US5215787A (en) * | 1991-01-23 | 1993-06-01 | Nec Corporation | Method of forming silicon oxide film containing fluorine |
US5413967A (en) * | 1991-05-16 | 1995-05-09 | Kabushiki Kaisha Toshiba | Method of manufacturing semiconductor devices |
US5288518A (en) * | 1991-06-07 | 1994-02-22 | Nec Corproation | Chemical vapor deposition method for forming fluorine containing silicon oxide film |
US5279865A (en) * | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5334552A (en) * | 1991-12-04 | 1994-08-02 | Nec Corporation | Method for fabricating a semiconductor device having a multi-layered interconnection structure |
US5290382A (en) * | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5420075A (en) * | 1992-04-15 | 1995-05-30 | Nec Corporation | Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator |
US5399529A (en) * | 1992-05-27 | 1995-03-21 | Nec Corporation | Process for producing semiconductor devices |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
US5429995A (en) * | 1992-07-17 | 1995-07-04 | Kabushiki Kaisha Toshiba | Method of manufacturing silicon oxide film containing fluorine |
US5756402A (en) * | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5624582A (en) * | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5302233A (en) * | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
US5416048A (en) * | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
US5505816A (en) * | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
US5563105A (en) * | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
US6039851A (en) * | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5645645A (en) * | 1995-04-07 | 1997-07-08 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
US6228751B1 (en) * | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) * | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5891349A (en) * | 1995-10-11 | 1999-04-06 | Anelva Corporation | Plasma enhanced CVD apparatus and process, and dry etching apparatus and process |
US5599740A (en) * | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5679606A (en) * | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
US5915190A (en) * | 1995-12-27 | 1999-06-22 | Lam Research Corporation | Methods for filling trenches in a semiconductor wafer |
US6191026B1 (en) * | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5872052A (en) * | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) * | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US5858876A (en) * | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) * | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US6170428B1 (en) * | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US6182602B1 (en) * | 1996-07-15 | 2001-02-06 | Applied Materials, Inc. | Inductively coupled HDP-CVD reactor |
US5661093A (en) * | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5804259A (en) * | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5939831A (en) * | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US5953635A (en) * | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US5913140A (en) * | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
US5944902A (en) * | 1997-02-10 | 1999-08-31 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6013584A (en) * | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US6190233B1 (en) * | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6059643A (en) * | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US6030666A (en) * | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US5968610A (en) * | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
US6204200B1 (en) * | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US6189483B1 (en) * | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US5937323A (en) * | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6217658B1 (en) * | 1997-06-03 | 2001-04-17 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing |
US6136685A (en) * | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US5872058A (en) * | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US6013191A (en) * | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US5920792A (en) * | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6602434B1 (en) * | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6395150B1 (en) * | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
US6030881A (en) * | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6087278A (en) * | 1998-06-16 | 2000-07-11 | Hyundai Electronics Industries Co., Ltd. | Method for fabricating semiconductor devices having an HDP-CVD oxide layer as a passivation layer |
US6037018A (en) * | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6203863B1 (en) * | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6197705B1 (en) * | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6099697A (en) * | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6503843B1 (en) * | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6335288B1 (en) * | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6372657B1 (en) * | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
US6596602B2 (en) * | 2001-01-29 | 2003-07-22 | Nec Corporation | Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD |
US6846745B1 (en) * | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
US6596654B1 (en) * | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6794290B1 (en) * | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US6677247B2 (en) * | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6908862B2 (en) * | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
US20040110354A1 (en) * | 2002-12-10 | 2004-06-10 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
US20040211357A1 (en) * | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US7205240B2 (en) * | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7078312B1 (en) * | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US20050121750A1 (en) * | 2003-12-05 | 2005-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Microelectronic device having disposable spacer |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20070123051A1 (en) * | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US7390710B2 (en) * | 2004-09-02 | 2008-06-24 | Micron Technology, Inc. | Protection of tunnel dielectric using epitaxial silicon |
US20080124919A1 (en) * | 2006-11-06 | 2008-05-29 | Cheng-Lin Huang | Cleaning processes in the formation of integrated circuit interconnect structures |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20080182382A1 (en) * | 2006-12-07 | 2008-07-31 | Applied Materials, Inc. | Methods of thin film process |
Cited By (92)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US20120267340A1 (en) * | 2011-03-18 | 2012-10-25 | Tokyo Electron Limited | Film deposition method and film deposition apparatus |
US9005459B2 (en) * | 2011-03-18 | 2015-04-14 | Tokyo Electron Limited | Film deposition method and film deposition apparatus |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US9236266B2 (en) | 2011-08-01 | 2016-01-12 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
CN103748666A (en) * | 2011-08-26 | 2014-04-23 | 应用材料公司 | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US9012302B2 (en) | 2011-09-26 | 2015-04-21 | Applied Materials, Inc. | Intrench profile |
WO2013049173A3 (en) * | 2011-09-26 | 2013-06-13 | Applied Materials, Inc. | Improved intrench profile |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
WO2013049173A2 (en) * | 2011-09-26 | 2013-04-04 | Applied Materials, Inc. | Improved intrench profile |
US8461016B2 (en) | 2011-10-07 | 2013-06-11 | Micron Technology, Inc. | Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation |
US8575716B2 (en) | 2011-10-07 | 2013-11-05 | Micron Technology, Inc. | Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8975152B2 (en) | 2011-11-08 | 2015-03-10 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9048133B2 (en) * | 2011-12-16 | 2015-06-02 | SK Hynix Inc. | Semiconductor device and method for manufacturing the same |
US20130154101A1 (en) * | 2011-12-16 | 2013-06-20 | SK Hynix Inc. | Semiconductor device and method for manufacturing the same |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US9449845B2 (en) | 2012-12-21 | 2016-09-20 | Applied Materials, Inc. | Selective titanium nitride etching |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9093390B2 (en) | 2013-03-07 | 2015-07-28 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9991134B2 (en) | 2013-03-15 | 2018-06-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9093371B2 (en) | 2013-03-15 | 2015-07-28 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9153442B2 (en) | 2013-03-15 | 2015-10-06 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9184055B2 (en) | 2013-03-15 | 2015-11-10 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9023732B2 (en) | 2013-03-15 | 2015-05-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9209012B2 (en) | 2013-09-16 | 2015-12-08 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9880470B2 (en) * | 2014-10-03 | 2018-01-30 | Shin-Etsu Chemical Co., Ltd. | Composition for forming a coating type silicon-containing film, substrate, and patterning process |
US20160096977A1 (en) * | 2014-10-03 | 2016-04-07 | Shin-Etsu Chemical Co., Ltd. | Composition for forming a coating type silicon-containing film, substrate, and patterning process |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US12009228B2 (en) | 2015-02-03 | 2024-06-11 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10256076B2 (en) | 2015-10-22 | 2019-04-09 | Applied Materials, Inc. | Substrate processing apparatus and methods |
WO2019066830A1 (en) * | 2017-09-28 | 2019-04-04 | Intel Corporation | Filling openings by combining non-flowable and flowable processes |
US11978657B2 (en) | 2017-09-28 | 2024-05-07 | Intel Corporation | Filling openings by combining non-flowable and flowable processes |
US11990364B2 (en) | 2017-09-28 | 2024-05-21 | Intel Corporation | Filling openings by combining non-flowable and flowable processes |
US11990341B2 (en) | 2018-10-26 | 2024-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut metal gate processes |
US11508582B2 (en) | 2018-10-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut metal gate processes |
Also Published As
Publication number | Publication date |
---|---|
CN101299417B (en) | 2011-04-27 |
US20080182382A1 (en) | 2008-07-31 |
JP2008235857A (en) | 2008-10-02 |
JP5530062B2 (en) | 2014-06-25 |
KR101289021B1 (en) | 2013-07-23 |
KR20080052500A (en) | 2008-06-11 |
US7939422B2 (en) | 2011-05-10 |
CN101358336A (en) | 2009-02-04 |
TWI389251B (en) | 2013-03-11 |
CN101299417A (en) | 2008-11-05 |
TW200843025A (en) | 2008-11-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7939422B2 (en) | Methods of thin film process | |
US7871926B2 (en) | Methods and systems for forming at least one dielectric layer | |
US7943531B2 (en) | Methods for forming a silicon oxide layer over a substrate | |
US7902080B2 (en) | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide | |
KR101329285B1 (en) | Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes | |
US7825038B2 (en) | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen | |
US7972968B2 (en) | High density plasma gapfill deposition-etch-deposition process etchant | |
KR101115750B1 (en) | A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide | |
US7888273B1 (en) | Density gradient-free gap fill | |
US6867086B1 (en) | Multi-step deposition and etch back gap fill process | |
US20050032382A1 (en) | Staggered in-situ deposition and etching of a dielectric layer for HDP CVD | |
JP2009539268A (en) | Chemical vapor deposition of high quality fluidized silicon dioxide using silicon-containing precursors and atomic oxygen | |
KR20110104062A (en) | Precursor addition to silicon oxide cvd for improved low temperature gapfill | |
US7176039B1 (en) | Dynamic modification of gap fill process characteristics | |
US7674684B2 (en) | Deposition methods for releasing stress buildup | |
WO2001013420A1 (en) | Integration scheme using self-planarized dielectric layer for shallow trench isolation (sti) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |